palaniappan-r's picture
Add markdown sources
d59bb1b verified

I have some trouble when running Openroad tools for foreign pdk.

Tool: Initialize Floorplan

Subcategory: Floorplan initialization issue

Conversation

OuDret

Hello, I have been reading documentation and trying stuff with given pdks for about two weeks. My goal was to run OpenRoadFlowScripts but, since there is a lot of stuff, I’ve took its makefile, config files and scripts and just extracted the openroad commands that I really need, so I can exactly know what args and what files i need and create them. Also running the commands 1 by 1 alongside GUI application helps me understanding what’s going on.

When calling the commands tho, I had some issues i could not solve. If you have an idea of any of the following problems I'm facing or any advice let me know.

1. I didn’t manage to initialize floorplan with custom die area. It doesn’t matter which values I use as die_are or core_area, it just doesn’t work properly.

When I run something like the command below, having stdSite size defined as 400 by 400 um it just does nothing. The verilog file provided is a simple inverter already synthesized using yosys into a single NAND cell which size is set in .lef as 200 by 200.

Screenshot 2023-09-15 101107

Meanwhile, if I just let openroad to decide what size to give it just works for small core utilization value, which kinda makes sense.

Screenshot 2023-09-15 101209

Do you think I’m forgetting any step? The commands I run before getting to that point are the following:

read_liberty /path/lib/NandCellLibrary.lib
read_lef /path/lef/NandCellLibrary.tech.lef
read_lef /path/lef/NandCellLibrary.macro.lef  
read_verilog /path//inverter.v
link_design inverter
read_sdc /path/constraint.sdc

initialize_floorplan -die_area {0 0 10000 10000} -core_area {1000 1000 5000 5000} -site stdSite

2. I have no macros stored in odb despite having them declared in lef/NandCellLibrary.macro.lef

If I just use utilization_area floorplan_initialization and keep running the following commands all seems to be working properly

make_tracks met1 -x_offset 10 -x_pitch 10 -y_offset 10 -y_pitch 10
make_tracks met2 -x_offset 10 -x_pitch 10 -y_offset 10 -y_pitch 10
make_tracks met3 -x_offset 10 -x_pitch 10 -y_offset 10 -y_pitch 10
remove_buffers
place_pins -hor_layer met2 -ver_layer met3 -random
global_placement -density 0.5 -pad_left 0 -pad_right 0
Screenshot 2023-09-15 101442

until I try to run macro placement

Screenshot 2023-09-15 101654

the error raised means that when running the following tcl procedure it has not found any macro

proc find_macros {} {
  set macros ""

  set db [ord::get_db]
  set block [[$db getChip] getBlock]
  foreach inst [$block getInsts] {
    set inst_master [$inst getMaster]

    # BLOCK means MACRO cells
    if { [string match [$inst_master getType] "BLOCK"] } {
      append macros " " $inst
    }
  }
  return $macros
}

the thing is that I have the NAND macro and another one called FILL defined in my .lefs and .lib . Then why could it be that there is no macro in db ?

Some things to be said. When running read_liberty, it prints 1 into terminal. Does it mean that there’s an error? It seems I can keep running things. If so, is there any way to properly debug it? It also happens if I run check_status command after reading all input files, which I didn’t even find in documentation, so I just ignored it.

Again, if you had any idea or advice of what I’m doing wrong, if I skipt some important step, etc. let me know, please.

If i manage to make it work i will try to post the whole flow command by command. It may help someone someday

Thank you.

maliberty

What is the size of stdSite? Is this a PDK you can share or is it proprietary?

Do you have any instances of the macro in your netlist?

maliberty

SITE stdSite
  SYMMETRY Y ;
  CLASS CORE ;
  SIZE 500 BY 500 ;
END stdSite

is a huge site (500um x 500um) - I'm guessing that is a mistake though it doesn't explain the problem.

maliberty

Your LEF is missing the units for " DATABASE MICRONS <val>;". There is a bug when this is unspecified that I'll fix but you should set it (the LEF default value is very old).