code
stringlengths 3
84.9M
|
|---|
// this program was cloned from: https://github.com/mister-devel/neogeo_mister
// license: gnu general public license v2.0
/* this file is part of jt12.
jt12 is free software: you can redistribute it and/or modify
it under the terms of the gnu general public license as published by
the free software foundation, either version 3 of the license, or
(at your option) any later version.
jt12 is distributed in the hope that it will be useful,
but without any warranty; without even the implied warranty of
merchantability or fitness for a particular purpose. see the
gnu general public license for more details.
you should have received a copy of the gnu general public license
along with jt12. if not, see <http://www.gnu.org/licenses/>.
author: jose tejada gomez. twitter: @topapate
version: 1.0
date: 1-31-2017
*/
`timescale 1ns / 1ps
module jt12_sh24 #(parameter width=5 )
(
input clk,
input clk_en /* synthesis direct_enable */,
input [width-1:0] din,
output reg [width-1:0] st1,
output reg [width-1:0] st2,
output reg [width-1:0] st3,
output reg [width-1:0] st4,
output reg [width-1:0] st5,
output reg [width-1:0] st6,
output reg [width-1:0] st7,
output reg [width-1:0] st8,
output reg [width-1:0] st9,
output reg [width-1:0] st10,
output reg [width-1:0] st11,
output reg [width-1:0] st12,
output reg [width-1:0] st13,
output reg [width-1:0] st14,
output reg [width-1:0] st15,
output reg [width-1:0] st16,
output reg [width-1:0] st17,
output reg [width-1:0] st18,
output reg [width-1:0] st19,
output reg [width-1:0] st20,
output reg [width-1:0] st21,
output reg [width-1:0] st22,
output reg [width-1:0] st23,
output reg [width-1:0] st24
);
always @(posedge clk) if(clk_en) begin
st24<= st23;
st23<= st22;
st22<= st21;
st21<= st20;
st20<= st19;
st19<= st18;
st18<= st17;
st17<= st16;
st16<= st15;
st15<= st14;
st14<= st13;
st13<= st12;
st12<= st11;
st11<= st10;
st10<= st9;
st9 <= st8;
st8 <= st7;
st7 <= st6;
st6 <= st5;
st5 <= st4;
st4 <= st3;
st3 <= st2;
st2 <= st1;
st1 <= din;
end
endmodule
|
//
// Copyright (C) 2015 Markus Hiienkari <mhiienka@niksula.hut.fi>
//
// This file is part of Open Source Scan Converter project.
//
// This program is free software: you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation, either version 3 of the License, or
// (at your option) any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program. If not, see <http://www.gnu.org/licenses/>.
//
`include "output_sel.v"
module videoproc(
input clk50,
input [3:0] button,
input [17:0] switch,
input ir_rx,
inout scl,
inout sda,
output reset_n_TVP,
inout [7:0] LCD_DATA,
output LCD_ON,
//output LCD_BLON, //No backlight on the default LCD module
output LCD_EN,
output LCD_RS,
output LCD_RW,
input [7:0] R_in,
input [7:0] G_in,
input [7:0] B_in,
input FID_in,
input VSYNC_in,
input HSYNC_in,
input PCLK_in,
`ifdef OUTPUT_VGA
output [7:0] VGA_R,
output [7:0] VGA_G,
output [7:0] VGA_B,
output VGA_CLK,
output VGA_BLANK_N,
output VGA_SYNC_N,
output VGA_HS,
output VGA_VS,
`endif
`ifdef OUTPUT_HDMI
output HDMI_TX_PCSCL,
inout HDMI_TX_PCSDA,
output HDMI_TX_RST_N,
output [11:0] HDMI_TX_RD,
output [11:0] HDMI_TX_GD,
output [11:0] HDMI_TX_BD,
output HDMI_TX_DE,
output HDMI_TX_HS,
output HDMI_TX_VS,
output HDMI_TX_PCLK,
inout HDMI_TX_CEC,
input HDMI_TX_INT_N,
output [3:0] HDMI_TX_DSD_L,
output [3:0] HDMI_TX_DSD_R,
output [3:0] HDMI_TX_I2S,
output HDMI_TX_SCK,
output HDMI_TX_SPDIF,
output HDMI_TX_WS,
output HDMI_TX_MCLK,
output HDMI_TX_DCLK,
`endif
`ifdef DEBUG
output PCLK_out_debug,
output HSYNC_out_debug,
output VSYNC_out_debug,
output pclk_2x_lock_debug,
`endif
output [7:0] LED_G,
output [17:0] LED_R,
output [6:0] HEX0,
output [6:0] HEX1,
output [6:0] HEX2,
output [6:0] HEX3,
output [6:0] HEX4,
output [6:0] HEX5,
output [6:0] HEX6,
output [6:0] HEX7,
output SD_CLK,
inout SD_CMD,
inout [3:0] SD_DAT,
inout SD_WP_N
);
wire [7:0] led_out;
wire [31:0] char_vec;
wire [55:0] seg_vec;
wire [31:0] ir_code;
wire reset_n;
wire [20:0] controls_in;
wire [1:0] FID_ID;
wire h_unstable;
wire [1:0] fpga_vsyncgen;
wire [2:0] pclk_lock;
wire [2:0] pll_lock_lost;
wire [31:0] h_info;
wire [31:0] v_info;
wire [10:0] lines_out;
wire [7:0] R_out, G_out, B_out;
wire HSYNC_out;
wire VSYNC_out;
wire PCLK_out;
wire DATA_enable;
assign reset_n_TVP = reset_n;
assign LCD_BLON = 1'b1;
assign LED_R[17:10] = 8'h00;
assign LED_G[7:6] = FID_ID; // Active FID (0 --- 1)
assign LED_G[4] = (ir_code != 0);
assign LED_G[2] = pclk_lock[2];
assign LED_G[1] = pclk_lock[1];
assign LED_G[0] = pclk_lock[0];
assign LED_R[2] = pll_lock_lost[2];
assign LED_R[1] = pll_lock_lost[1];
assign LED_R[0] = pll_lock_lost[0];
assign LED_R[7:5] = {h_unstable, h_unstable, h_unstable};
assign LED_R[4:3] = fpga_vsyncgen;
assign HEX7 = seg_vec[55:49];
assign HEX6 = seg_vec[48:42];
assign HEX5 = seg_vec[41:35];
assign HEX4 = seg_vec[34:28];
assign HEX3 = seg_vec[27:21];
assign HEX2 = seg_vec[20:14];
assign HEX1 = seg_vec[13:7];
assign HEX0 = seg_vec[6:0];
assign SD_DAT[1] = 1'b0;
assign SD_DAT[2] = 1'b0;
assign SD_WP_N = 1'b1;
assign controls_in = {switch[17:0], button[3:1]};
assign reset_n = button[0];
`ifdef DEBUG
assign PCLK_out_debug = PCLK_in;
assign HSYNC_out_debug = HSYNC_in;
assign VSYNC_out_debug = VSYNC_in;
assign pclk_2x_lock_debug = pclk_lock[2];
`endif
`ifdef OUTPUT_VGA
assign VGA_R = R_out;
assign VGA_G = G_out;
assign VGA_B = B_out;
assign VGA_HS = HSYNC_out;
assign VGA_VS = VSYNC_out;
assign VGA_CLK = PCLK_out;
assign VGA_BLANK_N = DATA_enable;
assign VGA_SYNC_N = 1'b0;
`endif
`ifdef OUTPUT_HDMI
assign HDMI_TX_RD[11:4] = R_out;
assign HDMI_TX_GD[11:4] = G_out;
assign HDMI_TX_BD[11:4] = B_out;
assign HDMI_TX_RD[3:0] = 4'h0;
assign HDMI_TX_GD[3:0] = 4'h0;
assign HDMI_TX_BD[3:0] = 4'h0;
assign HDMI_TX_HS = HSYNC_out;
assign HDMI_TX_VS = VSYNC_out;
assign HDMI_TX_PCLK = PCLK_out;
assign HDMI_TX_DE = DATA_enable;
assign HDMI_TX_RST_N = reset_n;
assign HDMI_TX_DSD_L = 4'b0;
assign HDMI_TX_DSD_R = 4'b0;
assign HDMI_TX_I2S = 4'b0;
assign HDMI_TX_SCK = 1'b0;
assign HDMI_TX_SPDIF = 1'b0;
assign HDMI_TX_WS = 1'b0;
assign HDMI_TX_MCLK = 1'b0;
assign HDMI_TX_DCLK = 1'b0;
`endif
reg clk25;
always @(posedge clk50)
begin
clk25 <= ~clk25;
end
sys sys_inst(
.clk_clk (clk50),
.reset_reset_n (reset_n),
.pio_0_ir_code_in_export (ir_code),
.pio_1_controls_in_export ({11'h000, controls_in}),
.pio_2_horizontal_info_out_export (h_info),
.pio_3_vertical_info_out_export (v_info),
.pio_4_linecount_in_export ({21'h000000, lines_out}),
.pio_5_charsegment_disp_out_export (char_vec),
.i2c_opencores_0_export_scl_pad_io (scl),
.i2c_opencores_0_export_sda_pad_io (sda),
`ifdef OUTPUT_HDMI
.i2c_opencores_1_export_scl_pad_io (HDMI_TX_PCSCL),
.i2c_opencores_1_export_sda_pad_io (HDMI_TX_PCSDA),
`else
.i2c_opencores_1_export_scl_pad_io (),
.i2c_opencores_1_export_sda_pad_io (),
`endif
.character_lcd_0_external_interface_DATA (LCD_DATA), // character_lcd_0_external_interface.DATA
.character_lcd_0_external_interface_ON (LCD_ON), // .ON
.character_lcd_0_external_interface_EN (LCD_EN), // .EN
.character_lcd_0_external_interface_RS (LCD_RS), // .RS
.character_lcd_0_external_interface_RW (LCD_RW), // .RW
.character_lcd_0_external_interface_BLON (), // .BLON
.sdcard_0_interface_b_SD_cmd (SD_CMD), // sdcard_0_interface.b_SD_cmd
.sdcard_0_interface_b_SD_dat (SD_DAT[0]), // .b_SD_dat
.sdcard_0_interface_b_SD_dat3 (SD_DAT[3]), // .b_SD_dat3
.sdcard_0_interface_o_SD_clock (SD_CLK), // .o_SD_clock
.clk_flashctrl_clk (clk25) // clk_0.clk
);
scanconverter scanconverter_inst (
.HSYNC_in (HSYNC_in),
.VSYNC_in (VSYNC_in),
.PCLK_in (PCLK_in),
.FID_in (FID_in),
.R_in (R_in),
.G_in (G_in),
.B_in (B_in),
.h_info (h_info),
.v_info (v_info),
.R_out (R_out),
.G_out (G_out),
.B_out (B_out),
.HSYNC_out (HSYNC_out),
.VSYNC_out (VSYNC_out),
.PCLK_out (PCLK_out),
.DATA_enable (DATA_enable),
.FID_ID (FID_ID),
.h_unstable (h_unstable),
.fpga_vsyncgen (fpga_vsyncgen),
.pclk_lock (pclk_lock),
.pll_lock_lost (pll_lock_lost),
.lines_out (lines_out)
);
// TODO: check why this degrades hold times
ir_rcv ir0 (
.clk50 (clk50),
.reset_n (reset_n),
.ir_rx (ir_rx),
.ir_code (ir_code),
.ir_code_ack ()
);
genvar i;
generate
for (i=0; i<8; i=i+1)
begin
:SEGROW seg7_ctrl M (
.char_id (char_vec[(4*(i+1)-1):(4*i)]),
.segs (seg_vec[(7*(i+1)-1):(7*i)])
);
end
endgenerate
endmodule
|
/*
* MBus Copyright 2015 Regents of the University of Michigan
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
// Simulation only, not synthesisable
`include "include/mbus_def.v"
module int_action_rom(
int_func_id,
int_payload
);
parameter LC_INT_DEPTH = 8;
parameter LC_RF_DEPTH = 128; // 1 ~ 2^8
parameter LC_MEM_DEPTH = 65536; // 1 ~ 2^30
output [`FUNC_WIDTH*LC_INT_DEPTH-1:0] int_func_id;
output [(`DATA_WIDTH<<1)*LC_INT_DEPTH-1:0] int_payload;
reg [`FUNC_WIDTH-1:0] int_func_array [0:LC_INT_DEPTH-1];
reg [(`DATA_WIDTH<<1)-1:0] int_payload_array [0:LC_INT_DEPTH-1];
genvar idx;
generate
for (idx=0; idx<LC_INT_DEPTH; idx=idx+1)
begin: INT_ACTION
assign int_func_id[`FUNC_WIDTH*(idx+1)-1:`FUNC_WIDTH*idx] = int_func_array[idx];
assign int_payload[(`DATA_WIDTH<<1)*(idx+1)-1:(`DATA_WIDTH<<1)*idx] = int_payload_array[idx];
end
endgenerate
integer i;
initial
begin
for (i=0; i<LC_INT_DEPTH; i=i+1)
begin
int_func_array[i] <= 0;
int_payload_array[i] <= 0;
end
// read 2 x 3 bytes from address 0, send it to 0x03 (broadcast, ch 3)
int_func_array[0] <= `LC_CMD_RF_READ;
int_payload_array[0] <= (((8'h0<<24 | 8'h1<<16 | 8'h03<<8 | 8'h0)<<`DATA_WIDTH) | {(`DATA_WIDTH){1'b0}}); // from, length, destination, don't care, 32-bit un-use
// read 3 x 3 bytes from address LC_RF_DEPTH-1 , send it to 0x0c (broadcast, ch 3)
// should only read 1 x 3 bytes,
int_func_array[1] <= `LC_CMD_RF_READ;
int_payload_array[1] <= ((((LC_RF_DEPTH-1'b1)<<24 | 8'h2<<16 | 8'h03<<8 | 8'h0)<<`DATA_WIDTH) | {(`DATA_WIDTH){1'b0}}); // from, length, destination, don't care, 32-bit un-use
// read 2 words from address 0, send it to 0x03
int_func_array[2] <= `LC_CMD_MEM_READ;
int_payload_array[2] <= (((30'h0<<2) | 2'b0)<<32) | ((8'h03<<24) | 24'h1); // from (30-bit), destination (8-bit), length (24-bit)
// read 3 words from address LC_MEM_DEPTH-1, send it to 0x03
// should only read 1 word
int_func_array[3] <= `LC_CMD_MEM_READ;
int_payload_array[3] <= ((((LC_MEM_DEPTH-1'b1)<<2) | 2'b0)<<32) | ((8'h03<<24) | 24'h2); // from (30-bit), destination (8-bit), length (24-bit)
// Error commands
int_func_array[4] <= `LC_CMD_RF_WRITE;
int_func_array[5] <= `LC_CMD_RF_WRITE;
int_func_array[6] <= `LC_CMD_MEM_WRITE;
int_func_array[7] <= `LC_CMD_MEM_WRITE;
end
endmodule
|
/*
* lzw - Binary to Unary Thermometer Unit Test
* Copyright (C) 2015 Sean Ryan Moore
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
`ifdef INC_TEST_THERMOMETER
`else
`define INC_TEST_THERMOMETER
`timescale 1 ns / 100 ps
module sub_test_Thermometer();
localparam LOGWORD = 7;
localparam WORD = (1<<LOGWORD);
reg [LOGWORD-1:0] value;
wire [WORD-1:0] gauge;
reg [32-1:0] test_state;
reg [WORD+1-1:0] test_validate;
reg test_error;
initial begin
test_error = 0;
for(test_state=0; test_state<WORD; test_state=test_state+1) begin
value <= test_state;
#5;
test_validate = 1;
test_validate = test_validate<<test_state;
test_validate = test_validate-1;
if(gauge !== test_validate) begin
$display("ERROR: Input:(value:%d) => Actual:(gauge:%x) Expected(gauge:%x)", value, gauge, test_validate[WORD-1:0]);
test_error = 1;
end
#5;
end
if(test_error) begin
$display("TEST FAILED");
$exit(-1);
end
else begin
$display("TEST PASSED");
$finish();
end
end
Thermometer #(
.LOGWORD(LOGWORD)
)
i_thermometer(
.value (value ),
.gauge (gauge )
);
endmodule
module test_Thermometer();
sub_test_Thermometer i_test();
initial begin
$dumpfile("test_Thermometer.vcd");
$dumpvars(0, i_test);
end
endmodule
`endif
|
/*
copyright 2020 efabless corp.
author: mohamed shalan (mshalan@efabless.com)
adjusted for kianv-riscv rv32ima tapeout by hirosh dabui <hirosh@dabui.de>
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at:
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and
limitations under the license.
*/
// a behavioral model for a quad i/o spi psram
module psram (
input sck,
inout [3:0] dio,
input ce_n
);
localparam st_idle = 3'h0,
st_cmd = 3'h1,
st_addr = 3'h2,
st_dummy= 3'h3,
st_dr = 3'h4,
st_dw = 3'h5;
reg [2:0] state = st_idle;
reg [7:0] cmd;
reg [23:0] addr;
reg [7:0] data;
reg [7:0] cycle_count;
reg [7:0] ram[8*1024*1024-1:0]; // 8kbytes
always @(negedge ce_n or posedge ce_n)
if (!ce_n) begin
state <= st_cmd;
cycle_count <= 0;
addr = 24'hffffff;
data = 0;
end else if (ce_n) state <= st_idle;
always @(posedge sck)
case (state)
st_cmd: if (cycle_count == 7) state <= st_addr;
st_addr:
if (cycle_count == 13)
if (cmd == 8'heb) state <= st_dummy;
else if (cmd == 8'h38) state <= st_dw;
st_dummy: if (cycle_count == 19) state <= st_dr;
endcase
always @(posedge sck)
case (state)
st_cmd: cmd <= {cmd[6:0], dio[0]};
st_addr: addr <= {addr[20:0], dio};
st_dw: data <= {data[3:0], dio};
endcase
always @(posedge sck) cycle_count <= cycle_count + 1;
always @(negedge sck or ce_n)
if (state == st_dw)
if (cycle_count >= 16)
if ((cycle_count - 16) % 2 == 0 || ce_n) begin
ram[addr] = data;
// $display("psram: write to %x, value: %x", addr, ram[addr]);
addr = addr + 1;
end
always @(posedge sck)
if (state == st_dummy || state == st_dr)
if (cycle_count >= 19)
if ((cycle_count - 19) % 2 == 0) begin
data = ram[addr];
addr = addr + 1;
// $display("psram: read from %x, value: %x", addr-1, data);
end
reg [3:0] do_;
always @(negedge sck)
if (state == st_dr) begin
do_ = data[7:4];
data = data << 4;
end
assign dio = (state == st_dr) ? do_ : 4'bz;
endmodule
|
/*
Legal Notice: (C)2009 Altera Corporation. All rights reserved. Your
use of Altera Corporation's design tools, logic functions and other
software and tools, and its AMPP partner logic functions, and any
output files any of the foregoing (including device programming or
simulation files), and any associated documentation or information are
expressly subject to the terms and conditions of the Altera Program
License Subscription Agreement or other applicable license agreement,
including, without limitation, that your use is for the sole purpose
of programming logic devices manufactured by Altera and sold by Altera
or its authorized distributors. Please refer to the applicable
agreement for further details.
*/
/*
Author: JCJB
Date: 06/29/2009
This block is used to breakout the 256 bit streaming ports to and from the write master.
The information sent through the streaming ports is a bundle of wires and buses so it's
fairly inconvenient to constantly refer to them by their position amungst the 256 lines.
This block also provides a layer of abstraction since the descriptor buffers block has
no clue what format the descriptors are in except that the 'go' bit is written to. This
means that using this block you could move descriptor information around without affecting
the top level dispatcher logic.
1.0 06/29/2009 - First version of this block of wires
1.1 11/15/2012 - Added in an additional 32 bits of address for extended descriptors
*/
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module write_signal_breakout (
write_command_data_in, // descriptor from the write FIFO
write_command_data_out, // reformated descriptor to the write master
// breakout of command information
write_address,
write_length,
write_park,
write_end_on_eop,
write_transfer_complete_IRQ_mask,
write_early_termination_IRQ_mask,
write_error_IRQ_mask,
write_burst_count, // when 'ENHANCED_FEATURES' is 0 this will be driven to ground
write_stride, // when 'ENHANCED_FEATURES' is 0 this will be driven to ground
write_sequence_number, // when 'ENHANCED_FEATURES' is 0 this will be driven to ground
// additional control information that needs to go out asynchronously with the command data
write_stop,
write_sw_reset
);
parameter DATA_WIDTH = 256; // 256 bits when enhanced settings are enabled otherwise 128 bits
input [DATA_WIDTH-1:0] write_command_data_in;
output wire [255:0] write_command_data_out;
output wire [63:0] write_address;
output wire [31:0] write_length;
output wire write_park;
output wire write_end_on_eop;
output wire write_transfer_complete_IRQ_mask;
output wire write_early_termination_IRQ_mask;
output wire [7:0] write_error_IRQ_mask;
output wire [7:0] write_burst_count;
output wire [15:0] write_stride;
output wire [15:0] write_sequence_number;
input write_stop;
input write_sw_reset;
assign write_address[31:0] = write_command_data_in[63:32];
assign write_length = write_command_data_in[95:64];
generate
if (DATA_WIDTH == 256)
begin
assign write_park = write_command_data_in[235];
assign write_end_on_eop = write_command_data_in[236];
assign write_transfer_complete_IRQ_mask = write_command_data_in[238];
assign write_early_termination_IRQ_mask = write_command_data_in[239];
assign write_error_IRQ_mask = write_command_data_in[247:240];
assign write_burst_count = write_command_data_in[127:120];
assign write_stride = write_command_data_in[159:144];
assign write_sequence_number = write_command_data_in[111:96];
assign write_address[63:32] = write_command_data_in[223:192];
end
else
begin
assign write_park = write_command_data_in[107];
assign write_end_on_eop = write_command_data_in[108];
assign write_transfer_complete_IRQ_mask = write_command_data_in[110];
assign write_early_termination_IRQ_mask = write_command_data_in[111];
assign write_error_IRQ_mask = write_command_data_in[119:112];
assign write_burst_count = 8'h00;
assign write_stride = 16'h0000;
assign write_sequence_number = 16'h0000;
assign write_address[63:32] = 32'h00000000;
end
endgenerate
// big concat statement to glue all the signals back together to go out to the write master (MSBs to LSBs)
assign write_command_data_out = {{132{1'b0}}, // zero pad the upper 132 bits
write_address[63:32],
write_stride,
write_burst_count,
write_sw_reset,
write_stop,
1'b0, // used to be the early termination bit so now it's reserved
write_end_on_eop,
write_length,
write_address[31:0]};
endmodule
|
/*
* Milkymist VJ SoC
* Copyright (C) 2007, 2008, 2009, 2010 Sebastien Bourdeauducq
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, version 3 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
module tmu2_ctlif #(
parameter csr_addr = 4'h0,
parameter fml_depth = 26
) (
input sys_clk,
input sys_rst,
input [13:0] csr_a,
input csr_we,
input [31:0] csr_di,
output reg [31:0] csr_do,
output reg irq,
output reg start,
input busy,
output reg [6:0] vertex_hlast,
output reg [6:0] vertex_vlast,
output reg [5:0] brightness,
output reg chroma_key_en,
output reg [15:0] chroma_key,
output reg [28:0] vertex_adr,
output reg [fml_depth-1-1:0] tex_fbuf,
output reg [10:0] tex_hres,
output reg [10:0] tex_vres,
output reg [17:0] tex_hmask,
output reg [17:0] tex_vmask,
output reg [fml_depth-1-1:0] dst_fbuf,
output reg [10:0] dst_hres,
output reg [10:0] dst_vres,
output reg signed [11:0] dst_hoffset,
output reg signed [11:0] dst_voffset,
output reg [10:0] dst_squarew,
output reg [10:0] dst_squareh,
output reg alpha_en,
output reg [5:0] alpha,
input [21:0] c_req_a,
input [21:0] c_hit_a,
input [21:0] c_req_b,
input [21:0] c_hit_b,
input [21:0] c_req_c,
input [21:0] c_hit_c,
input [21:0] c_req_d,
input [21:0] c_hit_d
);
reg old_busy;
always @(posedge sys_clk) begin
if(sys_rst)
old_busy <= 1'b0;
else
old_busy <= busy;
end
wire csr_selected = csr_a[13:10] == csr_addr;
always @(posedge sys_clk) begin
if(sys_rst) begin
csr_do <= 32'd0;
irq <= 1'b0;
start <= 1'b0;
vertex_hlast <= 7'd32;
vertex_vlast <= 7'd24;
brightness <= 6'd63;
chroma_key_en <= 1'b0;
chroma_key <= 16'd0;
vertex_adr <= 29'd0;
tex_fbuf <= {fml_depth{1'b0}};
tex_hres <= 11'd512;
tex_vres <= 11'd512;
tex_hmask <= {18{1'b1}};
tex_vmask <= {18{1'b1}};
dst_fbuf <= {fml_depth{1'b0}};
dst_hres <= 11'd640;
dst_vres <= 11'd480;
dst_hoffset <= 12'd0;
dst_voffset <= 12'd0;
dst_squarew <= 11'd16;
dst_squareh <= 11'd16;
alpha_en <= 1'b0;
alpha <= 6'd63;
end else begin
irq <= old_busy & ~busy;
csr_do <= 32'd0;
start <= 1'b0;
if(csr_selected) begin
if(csr_we) begin
case(csr_a[4:0])
5'b00000: begin
start <= csr_di[0];
chroma_key_en <= csr_di[1];
end
5'b00001: vertex_hlast <= csr_di[6:0];
5'b00010: vertex_vlast <= csr_di[6:0];
5'b00011: brightness <= csr_di[5:0];
5'b00100: chroma_key <= csr_di[15:0];
5'b00101: vertex_adr <= csr_di[31:3];
5'b00110: tex_fbuf <= csr_di[fml_depth-1:1];
5'b00111: tex_hres <= csr_di[10:0];
5'b01000: tex_vres <= csr_di[10:0];
5'b01001: tex_hmask <= csr_di[17:0];
5'b01010: tex_vmask <= csr_di[17:0];
5'b01011: dst_fbuf <= csr_di[fml_depth-1:1];
5'b01100: dst_hres <= csr_di[10:0];
5'b01101: dst_vres <= csr_di[10:0];
5'b01110: dst_hoffset <= csr_di[11:0];
5'b01111: dst_voffset <= csr_di[11:0];
5'b10000: dst_squarew <= csr_di[10:0];
5'b10001: dst_squareh <= csr_di[10:0];
5'b10010: begin
alpha_en <= csr_di[5:0] != 6'd63;
alpha <= csr_di[5:0];
end
default:;
endcase
end
case(csr_a[4:0])
5'b00000: csr_do <= {chroma_key_en, busy};
5'b00001: csr_do <= vertex_hlast;
5'b00010: csr_do <= vertex_vlast;
5'b00011: csr_do <= brightness;
5'b00100: csr_do <= chroma_key;
5'b00101: csr_do <= {vertex_adr, 3'd0};
5'b00110: csr_do <= {tex_fbuf, 1'd0};
5'b00111: csr_do <= tex_hres;
5'b01000: csr_do <= tex_vres;
5'b01001: csr_do <= tex_hmask;
5'b01010: csr_do <= tex_vmask;
5'b01011: csr_do <= {dst_fbuf, 1'd0};
5'b01100: csr_do <= dst_hres;
5'b01101: csr_do <= dst_vres;
5'b01110: csr_do <= dst_hoffset;
5'b01111: csr_do <= dst_voffset;
5'b10000: csr_do <= dst_squarew;
5'b10001: csr_do <= dst_squareh;
5'b10010: csr_do <= alpha;
5'b10100: csr_do <= c_req_a;
5'b10101: csr_do <= c_hit_a;
5'b10110: csr_do <= c_req_b;
5'b10111: csr_do <= c_hit_b;
5'b11000: csr_do <= c_req_c;
5'b11001: csr_do <= c_hit_c;
5'b11010: csr_do <= c_req_d;
5'b11011: csr_do <= c_hit_d;
default: csr_do <= 32'bx;
endcase
end
end
end
endmodule
|
// -*- verilog -*-
//
// USRP - Universal Software Radio Peripheral
//
// Copyright (C) 2003 Matt Ettus
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or
// (at your option) any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA
//
// Vendor Independent FIFO module
// Width and Depth should be parameterizable
// Asynchronous clocks for each side
// Read side is read-acknowledge, not read-request
// FIFO does not enforce "don't write when full, don't read when empty"
// That is up to the connecting modules
// The FIFO only holds 2^N-1 entries, not 2^N
module fifo (reset,data,write,wrclk,wr_used,q,read_ack,rdclk,rd_used);
parameter width=32;
parameter depth=10;
input reset; // Asynchronous
input [width-1:0] data;
input write;
input wrclk;
output [depth-1:0] wr_used;
output [width-1:0] q;
input read_ack;
input rdclk;
output [depth-1:0] rd_used;
reg [depth-1:0] read_addr, write_addr,
read_addr_gray, read_addr_gray_sync,
write_addr_gray, write_addr_gray_sync;
// Pseudo-dual-port RAM
dpram #(.depth(10),.width(width),.size(1024))
fifo_ram (.wclk(wrclk),.wdata(data),.waddr(write_addr),.wen(write),
.rclk(rdclk), .rdata(q),.raddr(read_addr) );
wire [depth-1:0] wag,rag;
// Keep track of own side's pointer
always @(posedge wrclk or posedge reset)
if(reset) write_addr <= #1 0;
else if(write) write_addr <= #1 write_addr + 1;
always @(posedge rdclk or posedge reset)
if(reset) read_addr <= #1 0;
else if(read_ack) read_addr <= #1 read_addr + 1;
// Convert own side pointer to gray
bin2gray #(depth) write_b2g (write_addr,wag);
bin2gray #(depth) read_b2g (read_addr,rag);
// Latch it
always @(posedge wrclk or posedge reset)
if(reset) write_addr_gray <= #1 0;
else write_addr_gray <= #1 wag;
always @(posedge rdclk or posedge reset)
if(reset) read_addr_gray <= #1 0;
else read_addr_gray <= #1 rag;
// Send it to other side and latch
always @(posedge wrclk or posedge reset)
if(reset) read_addr_gray_sync <= #1 0;
else read_addr_gray_sync <= #1 read_addr_gray;
always @(posedge rdclk or posedge reset)
if(reset) write_addr_gray_sync <= #1 0;
else write_addr_gray_sync <= #1 write_addr_gray;
wire [depth-1:0] write_addr_sync, read_addr_sync;
// Convert back to binary
gray2bin #(depth) write_g2b (write_addr_gray_sync, write_addr_sync);
gray2bin #(depth) read_g2b (read_addr_gray_sync, read_addr_sync);
assign rd_used = write_addr_sync - read_addr;
assign wr_used = write_addr - read_addr_sync;
endmodule // fifo
module bin2gray(bin_val,gray_val);
parameter width = 8;
input [width-1:0] bin_val;
output reg [width-1:0] gray_val;
integer i;
always @*
begin
gray_val[width-1] = bin_val[width-1];
for(i=0;i<width-1;i=i+1)
gray_val[i] = bin_val[i] ^ bin_val[i+1];
end
endmodule // bin2gray
module gray2bin(gray_val,bin_val);
parameter width = 8;
input [width-1:0] gray_val;
output reg [width-1:0] bin_val;
integer i;
always @*
begin
bin_val[width-1] = gray_val[width-1];
for(i=width-2;i>=0;i=i-1)
bin_val[i] = bin_val[i+1] ^ gray_val[i];
end
endmodule // gray2bin
|
// spdx-filecopyrighttext: 2020 efabless corporation
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
// spdx-license-identifier: apache-2.0
`default_nettype none
/*
*-------------------------------------------------------------
*
* user_proj_example
*
* this is an example of a (trivially simple) user project,
* showing how the user project can connect to the logic
* analyzer, the wishbone bus, and the i/o pads.
*
* this project generates an integer count, which is output
* on the user area gpio pads (digital output only). the
* wishbone connection allows the project to be controlled
* (start and stop) from the management soc program.
*
* see the testbenches in directory "mprj_counter" for the
* example programs that drive this user project. the three
* testbenches are "io_ports", "la_test1", and "la_test2".
*
*-------------------------------------------------------------
*/
module user_proj_example #(
parameter bits = 32,
parameter delays=10
)(
`ifdef use_power_pins
inout vccd1, // user area 1 1.8v supply
inout vssd1, // user area 1 digital ground
`endif
// wishbone slave ports (wb mi a)
input wb_clk_i,
input wb_rst_i,
input wbs_stb_i,
input wbs_cyc_i,
input wbs_we_i,
input [3:0] wbs_sel_i,
input [31:0] wbs_dat_i,
input [31:0] wbs_adr_i,
output wbs_ack_o,
output [31:0] wbs_dat_o,
// logic analyzer signals
input [127:0] la_data_in,
output [127:0] la_data_out,
input [127:0] la_oenb,
// ios
input [`mprj_io_pads-1:0] io_in,
output [`mprj_io_pads-1:0] io_out,
output [`mprj_io_pads-1:0] io_oeb,
// irq
output [2:0] irq
);
//tap_ram
wire tap_we;
wire tap_en;
wire [11:0]tap_aw;
wire [11:0]tap_ar;
wire [31:0]tap_di;
wire [31:0]tap_do;
//data_ram
wire data_we;
wire data_en;
wire [11:0]data_aw;
wire [11:0]data_ar;
wire [31:0]data_di;
wire [31:0]data_do;
wire clk;
wire rst;
wire [`mprj_io_pads-1:0] io_in;
wire [`mprj_io_pads-1:0] io_out;
wire [`mprj_io_pads-1:0] io_oeb;
wire [31:0] exmem_rdata;
wire [31:0] wdata;
wire [bits-1:0] count;
wire valid;
wire [3:0] wstrb;
wire [31:0] la_write;
wire decoded;
wire [31:0]exmem_addr;
assign exmem_addr = { {8{1'b0}}, wbs_adr_i[23:0]};
reg ready;
reg [bits-17:0] delayed_count;
// wb mi a
assign valid = wbs_cyc_i && wbs_stb_i && decoded;
assign wstrb = wbs_sel_i & {4{wbs_we_i}};
assign wbs_dat_o = decoded == 1'b1 ? exmem_rdata :
axi_l_decoded == 1'b1 ? rdata : sm_tdata ;
assign wdata = wbs_dat_i;
assign wbs_ack_o = ready || wready || arready || ss_tready || sm_tready;
// io
assign io_out = count;
assign io_oeb = {(`mprj_io_pads-1){rst}};
// irq
assign irq = 3'b000; // unused
// la
assign la_data_out = {{(127-bits){1'b0}}, count};
// assuming la probes [63:32] are for controlling the count register
assign la_write = ~la_oenb[63:32] & ~{bits{valid}};
// assuming la probes [65:64] are for controlling the count clk & reset
assign clk = (~la_oenb[64]) ? la_data_in[64]: wb_clk_i;
assign rst = (~la_oenb[65]) ? la_data_in[65]: wb_rst_i;
assign decoded = wbs_adr_i[31:20] == 12'h380 ? 1'b1 : 1'b0;
//axi lite
wire [11:0]awaddr;
wire [11:0]araddr;
wire wvalid;
wire awvalid;
wire wready;
wire arvalid;
wire [31:0]rdata;
wire axi_l_decoded;
wire arready;
wire rready;
wire rvalid;
assign axi_l_decoded = {wbs_adr_i[31:24],wbs_adr_i[7] } == 9'b1100000 ? 1'b1 : 1'b0;
assign wvalid = wbs_cyc_i && wbs_stb_i && axi_l_decoded && wbs_sel_i && wbs_we_i;
assign awvalid = wbs_cyc_i && wbs_stb_i && axi_l_decoded && wbs_sel_i && wbs_we_i;
assign awaddr = wbs_adr_i[11:0];
assign araddr = wbs_adr_i[11:0];
assign arvalid = wbs_cyc_i && wbs_stb_i && axi_l_decoded && wbs_sel_i && !wbs_we_i;
//axi stream
wire axi_s_decoded;
wire [11:0]axi_s_addr;
wire ss_tvalid;
wire ss_tready;
wire ss_tlast;
wire sm_tvalid;
wire sm_tlast;
wire [31:0]sm_tdata;
reg sm_tready;
assign axi_s_decoded = {wbs_adr_i[31:24],wbs_adr_i[7] }== 9'b1100001 ? 1'b1 : 1'b0;
assign ss_tvalid = wbs_cyc_i && wbs_stb_i && axi_s_decoded && wbs_sel_i && wbs_we_i;
integer sm_delays =10;
always @(posedge clk) begin
sm_tready <= 1'b0;
if ( sm_tvalid && !sm_tready && axi_s_decoded) begin
if ( delayed_count == sm_delays ) begin
delayed_count <= 16'b0;
sm_tready <= 1'b1;
end else begin
delayed_count <= delayed_count + 1;
end
end
end
always @(posedge clk) begin
if (rst) begin
ready <= 1'b0;
delayed_count <= 16'b0;
end else begin
ready <= 1'b0;
if ( valid && !ready ) begin
if ( delayed_count == delays ) begin
delayed_count <= 16'b0;
ready <= 1'b1;
end else begin
delayed_count <= delayed_count + 1;
end
end
end
end
bram user_bram (
.clk(clk),
.we0(wstrb),
.en0(valid),
.di0(wbs_dat_i),
.do0(exmem_rdata),
.a0(exmem_addr)
);
bram11 tap_ram (
.clk(clk),
.we(tap_we),
.re(tap_en),
.waddr(tap_aw),
.raddr(tap_ar),
.wdi(tap_di),
.rdo(tap_do)
);
bram11 data_ram (
.clk(clk),
.we(data_we),
.re(data_en),
.waddr(data_aw),
.raddr(data_ar),
.wdi(data_di),
.rdo(data_do)
);
fir fir_dut(
.awready(wready),
.wready(wready),
.awvalid(awvalid),
.awaddr(awaddr),
.wvalid(wvalid),
.wdata(wdata),
.arready(arready),
.rready(rready),
.arvalid(arvalid),
.araddr(araddr),
.rvalid(rvalid),
.rdata(rdata),
.ss_tvalid(ss_tvalid),
.ss_tdata(wdata),
.ss_tlast(ss_tlast),
.ss_tready(ss_tready),
.sm_tready(sm_tready),
.sm_tvalid(sm_tvalid),
.sm_tdata(sm_tdata),
.sm_tlast(sm_tlast),
// ram for tap
.tap_we(tap_we),
.tap_en(tap_en),
.tap_di(tap_di),
.tap_aw(tap_aw),
.tap_ar(tap_ar),
.tap_do(tap_do),
// ram for data
.data_we(data_we),
.data_en(data_en),
.data_di(data_di),
.data_aw(data_aw),
.data_ar(data_ar),
.data_do(data_do),
.axis_clk(clk),
.axis_rst_n(rst)
);
endmodule
`default_nettype wire
|
/*
*
* Copyright (c) 2011 fpgaminer@bitcoin-mining.com
*
*
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
`timescale 1ns/1ps
module e0 (x, y);
input [31:0] x;
output [31:0] y;
assign y = {x[1:0],x[31:2]} ^ {x[12:0],x[31:13]} ^ {x[21:0],x[31:22]};
endmodule
module e1 (x, y);
input [31:0] x;
output [31:0] y;
assign y = {x[5:0],x[31:6]} ^ {x[10:0],x[31:11]} ^ {x[24:0],x[31:25]};
endmodule
module ch (x, y, z, o);
input [31:0] x, y, z;
output [31:0] o;
assign o = z ^ (x & (y ^ z));
endmodule
module maj (x, y, z, o);
input [31:0] x, y, z;
output [31:0] o;
assign o = (x & y) | (z & (x | y));
endmodule
module s0 (x, y);
input [31:0] x;
output [31:0] y;
assign y[31:29] = x[6:4] ^ x[17:15];
assign y[28:0] = {x[3:0], x[31:7]} ^ {x[14:0],x[31:18]} ^ x[31:3];
endmodule
module s1 (x, y);
input [31:0] x;
output [31:0] y;
assign y[31:22] = x[16:7] ^ x[18:9];
assign y[21:0] = {x[6:0],x[31:17]} ^ {x[8:0],x[31:19]} ^ x[31:10];
endmodule
|
/*
*
* Copyright (c) 2013 fpgaminer@bitcoin-mining.com
*
*
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
/*
* Golden nonces are sent over UART, hex encoded, with new-lines between each
* result.
*
* New work is received over UART, hex encoded, little-endian, and with
* new-lines between each new piece of work.
*
* If using the getwork protocol, new work should be sent as follows (Python
* pseudo-code):
* uart_write (data[128:128+24] + midstate + '\n')
*
*/
module comm_uart # (
parameter comm_clk_frequency = 100000000,
parameter baud_rate = 115200
) (
input comm_clk,
input uart_rx,
output uart_tx,
input hash_clk,
input rx_new_golden_ticket,
input [31:0] rx_golden_ticket,
output reg tx_new_work = 1'b0,
output [255:0] tx_midstate,
output [95:0] tx_blockdata
);
localparam temperature_report_delay = comm_clk_frequency * 4; // Every 4 seconds
//-----------------------------------------------------------------------------
// Transfer golden tickets from hashing clock domain to comm clock domain.
//-----------------------------------------------------------------------------
reg fifo_rd = 1'b0;
wire fifo_full, fifo_empty;
wire [31:0] fifo_q;
golden_ticket_fifo golden_ticket_fifo_blk (
.wr_clk (hash_clk),
.din (rx_golden_ticket),
.wr_en (rx_new_golden_ticket & ~fifo_full),
.rd_clk (comm_clk),
.rd_en (fifo_rd),
.dout (fifo_q),
.full (fifo_full),
.empty (fifo_empty)
);
//-----------------------------------------------------------------------------
// UART Transmitter
//-----------------------------------------------------------------------------
reg uart_tx_new_byte = 1'b0;
reg [7:0] uart_tx_byte = 8'd0;
wire uart_tx_ready;
uart_transmitter # (
.comm_clk_frequency (comm_clk_frequency),
.baud_rate (baud_rate)
) uart_transmitter_blk (
.clk (comm_clk),
.uart_tx (uart_tx),
.rx_new_byte (uart_tx_new_byte),
.rx_byte (uart_tx_byte),
.tx_ready (uart_tx_ready)
);
//-----------------------------------------------------------------------------
// Temperature Measurement
//-----------------------------------------------------------------------------
wire [15:0] measured_temperature;
comm_temperature_measurement temperature_blk (
.clk (comm_clk),
.tx_temp (measured_temperature)
);
//-----------------------------------------------------------------------------
// Comm Clock Domain
//-----------------------------------------------------------------------------
reg [31:0] temperature_timeout = 32'd0;
reg [4:0] transmit_state = 5'b00001;
reg [31:0] outgoing_nonce = 32'd0;
reg [7:0] outgoing_state = 8'd0;
// Read golden tickets and output over UART.
always @ (posedge comm_clk)
begin
if (temperature_timeout != temperature_report_delay)
temperature_timeout <= temperature_timeout + 32'd1;
uart_tx_new_byte <= 1'b0;
fifo_rd <= 1'b0;
case (transmit_state)
5'b00001: if (!fifo_empty) begin
transmit_state <= 5'b00010;
fifo_rd <= 1'b1;
end
else if (temperature_timeout == temperature_report_delay)
begin
temperature_timeout <= 32'd0;
outgoing_nonce <= {measured_temperature, 16'h0000};
outgoing_state <= 8'h0F;
transmit_state <= 5'b10000;
end
5'b00010: transmit_state <= 5'b00100;
5'b00100: transmit_state <= 5'b01000;
5'b01000: begin
outgoing_nonce <= fifo_q;
outgoing_state <= 8'hFF;
transmit_state <= 5'b10000;
end
5'b10000: if (uart_tx_ready) begin
if (outgoing_state == 8'd0)
begin
transmit_state <= 5'b00001;
uart_tx_new_byte <= 1'b1;
uart_tx_byte <= 8'd10;
end
else
begin
outgoing_state <= {1'b0, outgoing_state[7:1]};
outgoing_nonce <= outgoing_nonce << 4;
uart_tx_new_byte <= 1'b1;
// Hex encode 4 bits of nonce
if (outgoing_nonce[31:28] < 10)
uart_tx_byte <= outgoing_nonce[31:28] + 8'd48;
else
uart_tx_byte <= outgoing_nonce[31:28] + 8'd65 - 8'd10;
end
end
default: transmit_state <= 5'b00001;
endcase
end
//-----------------------------------------------------------------------------
// Receive new work
//-----------------------------------------------------------------------------
wire uart_rx_new_byte;
wire [7:0] uart_rx_byte;
uart_receiver # (
.comm_clk_frequency (comm_clk_frequency),
.baud_rate (baud_rate)
) uart_receiver_blk (
.clk (comm_clk),
.uart_rx (uart_rx),
.tx_new_byte (uart_rx_new_byte),
.tx_byte (uart_rx_byte)
);
reg [351:0] job;
reg new_job_flag = 1'b0;
reg [256+96-1:0] incoming_work = 352'd0;
reg digit = 1'b0;
reg [3:0] uart_rx_hex, uart_last_digit;
always @ (posedge comm_clk)
begin
// Decode incoming UART byte as hexidecimal
if (uart_rx_byte >= "A" && uart_rx_byte <= "F")
uart_rx_hex = uart_rx_byte - "A" + 4'd10;
else if (uart_rx_byte >= "a" && uart_rx_byte <= "f")
uart_rx_hex = uart_rx_byte - "A" + 4'd10;
else
uart_rx_hex = uart_rx_byte - "0";
if (uart_rx_new_byte)
begin
uart_last_digit <= uart_rx_hex;
digit <= ~digit;
if (digit == 1'b1)
incoming_work <= {uart_last_digit, uart_rx_hex, incoming_work[351:8]};
if (uart_rx_byte == "\n")
begin
digit <= 1'b0;
job <= incoming_work;
new_job_flag <= ~new_job_flag;
end
end
end
// Transfer job from comm clock domain to hash clock domain
reg [351:0] job_meta0, job_meta1;
reg [2:0] new_job_meta;
always @ (posedge hash_clk)
begin
{job_meta1, job_meta0} <= {job_meta0, job};
new_job_meta <= {new_job_meta[1:0], new_job_flag};
if (new_job_meta[2] != new_job_meta[1])
tx_new_work <= 1'b1;
else
tx_new_work <= 1'b0;
end
assign {tx_midstate, tx_blockdata} = job_meta1;
endmodule
module comm_temperature_measurement (
input clk,
output reg [15:0] tx_temp
);
wire drdy;
wire [15:0] do;
xadc_temp_sensor xadc_blk (
.daddr_in (7'd0),
.dclk_in (clk),
.den_in (1'b1),
.di_in (16'd0),
.dwe_in (1'b0),
.vp_in (),
.vn_in (),
.busy_out (),
.channel_out (),
.do_out (do),
.drdy_out (drdy),
.eoc_out (),
.eos_out (),
.ot_out (),
.vccaux_alarm_out (),
.vccint_alarm_out (),
.user_temp_alarm_out (),
.alarm_out ()
);
always @ (posedge clk)
begin
if (drdy)
tx_temp <= do;
end
endmodule
|
// spdx-filecopyrighttext: 2020 efabless corporation
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
// spdx-license-identifier: apache-2.0
`default_nettype none
/*
*-------------------------------------------------------------
*
* user_proj_example
*
* this is an example of a (trivially simple) user project,
* showing how the user project can connect to the logic
* analyzer, the wishbone bus, and the i/o pads.
*
* this project generates an integer count, which is output
* on the user area gpio pads (digital output only). the
* wishbone connection allows the project to be controlled
* (start and stop) from the management soc program.
*
* see the testbenches in directory "mprj_counter" for the
* example programs that drive this user project. the three
* testbenches are "io_ports", "la_test1", and "la_test2".
*
*-------------------------------------------------------------
*/
module user_proj_example #(
parameter bits = 32
)(
`ifdef use_power_pins
inout vccd1, // user area 1 1.8v supply
inout vssd1, // user area 1 digital ground
`endif
// wishbone slave ports (wb mi a)
input wb_clk_i,
input wb_rst_i,
input wbs_stb_i,
input wbs_cyc_i,
input wbs_we_i,
input [3:0] wbs_sel_i,
input [31:0] wbs_dat_i,
input [31:0] wbs_adr_i,
output wbs_ack_o,
output [31:0] wbs_dat_o,
// logic analyzer signals
input [127:0] la_data_in,
output [127:0] la_data_out,
input [127:0] la_oenb,
// ios
input [`mprj_io_pads-1:0] io_in,
output [`mprj_io_pads-1:0] io_out,
output [`mprj_io_pads-1:0] io_oeb,
// irq
output [2:0] irq
);
wire clk;
wire [7:0] a,b;
wire [2:0] op;
wire [7:0] r;
assign {clk,a,b,op} = io_in[`mprj_io_pads-1:18];
assign io_out[`mprj_io_pads-1:30] = r;
iiitb_alu instance(clk,a,b,op,r);
endmodule
module iiitb_alu(
clk,
a,
b,
op,
r );
//inputs,outputs and internal variables declared here
input clk;
input [7:0] a,b;
input [2:0] op;
output [7:0] r;
wire [7:0] reg1,reg2;
reg [7:0] reg3;
//assign a and b to internal variables for doing operations
assign reg1 = a;
assign reg2 = b;
//assign the output
assign r = reg3;
//always block with inputs in the sensitivity list.
always @(posedge clk)
begin
case (op)
0 : reg3 = reg1 + reg2; //addition
1 : reg3 = reg1 - reg2; //subtraction
2 : reg3 = ~reg1; //not gate
3 : reg3 = ~(reg1 & reg2); //nand gate
4 : reg3 = ~(reg1 | reg2); //nor gate
5 : reg3 = reg1 & reg2; //and gate
6 : reg3 = reg1 | reg2; //or gate
7 : reg3 = reg1 ^ reg2; //xor gate
endcase
end
endmodule
`default_nettype wire
|
// -*- verilog -*-
//
// USRP - Universal Software Radio Peripheral
//
// Copyright (C) 2003 Matt Ettus
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or
// (at your option) any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA
//
// DDC block
module ddc(input clock,
input reset,
input enable,
input [3:0] rate1,
input [3:0] rate2,
output strobe,
input [31:0] freq,
input [15:0] i_in,
input [15:0] q_in,
output [15:0] i_out,
output [15:0] q_out
);
parameter bw = 16;
parameter zw = 16;
wire [15:0] i_cordic_out, q_cordic_out;
wire [31:0] phase;
wire strobe1, strobe2;
reg [3:0] strobe_ctr1,strobe_ctr2;
always @(posedge clock)
if(reset | ~enable)
strobe_ctr2 <= #1 4'd0;
else if(strobe2)
strobe_ctr2 <= #1 4'd0;
else
strobe_ctr2 <= #1 strobe_ctr2 + 4'd1;
always @(posedge clock)
if(reset | ~enable)
strobe_ctr1 <= #1 4'd0;
else if(strobe1)
strobe_ctr1 <= #1 4'd0;
else if(strobe2)
strobe_ctr1 <= #1 strobe_ctr1 + 4'd1;
assign strobe2 = enable & ( strobe_ctr2 == rate2 );
assign strobe1 = strobe2 & ( strobe_ctr1 == rate1 );
assign strobe = strobe1;
function [2:0] log_ceil;
input [3:0] val;
log_ceil = val[3] ? 3'd4 : val[2] ? 3'd3 : val[1] ? 3'd2 : 3'd1;
endfunction
wire [2:0] shift1 = log_ceil(rate1);
wire [2:0] shift2 = log_ceil(rate2);
cordic #(.bitwidth(bw),.zwidth(zw),.stages(16))
cordic(.clock(clock), .reset(reset), .enable(enable),
.xi(i_in), .yi(q_in), .zi(phase[31:32-zw]),
.xo(i_cordic_out), .yo(q_cordic_out), .zo() );
cic_decim_2stage #(.bw(bw),.N(4))
decim_i(.clock(clock),.reset(reset),.enable(enable),
.strobe1(1'b1),.strobe2(strobe2),.strobe3(strobe1),.shift1(shift2),.shift2(shift1),
.signal_in(i_cordic_out),.signal_out(i_out));
cic_decim_2stage #(.bw(bw),.N(4))
decim_q(.clock(clock),.reset(reset),.enable(enable),
.strobe1(1'b1),.strobe2(strobe2),.strobe3(strobe1),.shift1(shift2),.shift2(shift1),
.signal_in(q_cordic_out),.signal_out(q_out));
phase_acc #(.resolution(32))
nco (.clk(clock),.reset(reset),.enable(enable),
.freq(freq),.phase(phase));
endmodule
|
// (C) 2001-2017 Intel Corporation. All rights reserved.
// Your use of Intel Corporation's design tools, logic functions and other
// software and tools, and its AMPP partner logic functions, and any output
// files from any of the foregoing (including device programming or simulation
// files), and any associated documentation or information are expressly subject
// to the terms and conditions of the Intel Program License Subscription
// Agreement, Intel FPGA IP License Agreement, or other applicable
// license agreement, including, without limitation, that your use is for the
// sole purpose of programming logic devices manufactured by Intel and sold by
// Intel or its authorized distributors. Please refer to the applicable
// agreement for further details.
// $Id: //acds/rel/17.1std/ip/merlin/altera_reset_controller/altera_reset_synchronizer.v#1 $
// $Revision: #1 $
// $Date: 2017/07/30 $
// $Author: swbranch $
// -----------------------------------------------
// Reset Synchronizer
// -----------------------------------------------
`timescale 1 ns / 1 ns
module altera_reset_synchronizer
#(
parameter ASYNC_RESET = 1,
parameter DEPTH = 2
)
(
input reset_in /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */,
input clk,
output reset_out
);
// -----------------------------------------------
// Synchronizer register chain. We cannot reuse the
// standard synchronizer in this implementation
// because our timing constraints are different.
//
// Instead of cutting the timing path to the d-input
// on the first flop we need to cut the aclr input.
//
// We omit the "preserve" attribute on the final
// output register, so that the synthesis tool can
// duplicate it where needed.
// -----------------------------------------------
(*preserve*) reg [DEPTH-1:0] altera_reset_synchronizer_int_chain;
reg altera_reset_synchronizer_int_chain_out;
generate if (ASYNC_RESET) begin
// -----------------------------------------------
// Assert asynchronously, deassert synchronously.
// -----------------------------------------------
always @(posedge clk or posedge reset_in) begin
if (reset_in) begin
altera_reset_synchronizer_int_chain <= {DEPTH{1'b1}};
altera_reset_synchronizer_int_chain_out <= 1'b1;
end
else begin
altera_reset_synchronizer_int_chain[DEPTH-2:0] <= altera_reset_synchronizer_int_chain[DEPTH-1:1];
altera_reset_synchronizer_int_chain[DEPTH-1] <= 0;
altera_reset_synchronizer_int_chain_out <= altera_reset_synchronizer_int_chain[0];
end
end
assign reset_out = altera_reset_synchronizer_int_chain_out;
end else begin
// -----------------------------------------------
// Assert synchronously, deassert synchronously.
// -----------------------------------------------
always @(posedge clk) begin
altera_reset_synchronizer_int_chain[DEPTH-2:0] <= altera_reset_synchronizer_int_chain[DEPTH-1:1];
altera_reset_synchronizer_int_chain[DEPTH-1] <= reset_in;
altera_reset_synchronizer_int_chain_out <= altera_reset_synchronizer_int_chain[0];
end
assign reset_out = altera_reset_synchronizer_int_chain_out;
end
endgenerate
endmodule
|
/*copyright 2020-2021 t-head semiconductor co., ltd.
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and
limitations under the license.
*/
`define clk_period 10
//`include "../../../cpu/cpu_cfig.h"
module e906_bht_mem_test(bht_mem_test_pass);
output bht_mem_test_pass;
`ifdef bht_2k
parameter local_data_addr_width = 7;
`elsif bht_4k
parameter local_data_addr_width = 8;
`elsif bht_8k
parameter local_data_addr_width = 9;
`elsif bht_16k
parameter local_data_addr_width = 10;
`endif
parameter local_data_data_width = 16;
parameter local_data_we_width = 16;
reg forever_clk;
reg [local_data_addr_width-1:0] bht_data_idx;
reg [local_data_data_width-1:0] bht_data_din;
wire [local_data_data_width-1:0] bht_data_dout;
reg [local_data_data_width-1:0] data_mask;
reg [local_data_data_width-1:0] data_mask_ff;
reg [local_data_data_width-1:0] golden_data;
reg bht_data_gwen;
reg [local_data_we_width-1:0] bht_data_wen;
reg bht_data_cen;
reg bht_data_clk;
reg bht_mem_test_pass;
//bht data array
`ifdef bht_2k
pa_spsram_128x16 x_bht_array(
`elsif bht_4k
pa_spsram_256x16 x_bht_array(
`elsif bht_8k
pa_spsram_512x16 x_bht_array(
`elsif bht_16k
pa_spsram_1024x16 x_bht_array(
`endif
.a (bht_data_idx[local_data_addr_width-1:0] ),
.cen (bht_data_cen ),
.clk (bht_data_clk ),
.d (bht_data_din[local_data_data_width-1:0] ),
.gwen (bht_data_gwen ),
.q (bht_data_dout[local_data_data_width-1:0] ),
.wen (bht_data_wen[local_data_we_width-1:0] )
);
always
#(`clk_period/2) bht_data_clk = ~bht_data_clk;
always
#(`clk_period/2) forever_clk = ~forever_clk;
always@(posedge bht_data_clk)
begin
data_mask <= bht_data_wen[local_data_we_width-1:0];
end
integer i;
initial
begin
bht_data_clk = 0;
forever_clk = 0;
bht_mem_test_pass = 0;
repeat(50)@(posedge bht_data_clk)
//cen = 0 test
bht_data_cen = 1;
bht_data_wen = {local_data_we_width{1'b1}};
bht_data_gwen = 1'b1;
bht_data_idx = 0;
bht_data_din = 0;
for(i=0;i<10;i=i+1)
begin
@(posedge bht_data_clk)
bht_data_cen <= 0;
bht_data_gwen <= 1'b0;
bht_data_wen <= {local_data_we_width{1'b0}};
bht_data_idx <= {local_data_addr_width{1'b0}} + i;
bht_data_din <= {local_data_data_width{1'b0}} + i;
@(posedge bht_data_clk)
bht_data_gwen <= 1'b1;
bht_data_wen <= {local_data_we_width{1'b1}};
golden_data <= bht_data_din;
@(posedge bht_data_clk)
@(posedge bht_data_clk)
if(bht_data_dout != golden_data)
begin
$display("bht array cen == 0 test fail when i is %d!!!\n", i);
repeat(10)@(posedge bht_data_clk)
$finish;
end
end
//cen = 1 test
bht_data_cen = 1;
bht_data_gwen = 1'b1;
bht_data_wen = {local_data_we_width{1'b1}};
bht_data_idx = 0;
bht_data_din = 0;
for(i=10;i<20;i=i+1)
begin
@(posedge bht_data_clk)
bht_data_cen <= 1;
bht_data_gwen <= 1'b0;
bht_data_wen <= {local_data_we_width{1'b0}};
bht_data_idx <= {local_data_addr_width{1'b0}} + i;
bht_data_din <= {local_data_data_width{1'b0}} + i;
@(posedge bht_data_clk)
bht_data_gwen <= 1'b1;
bht_data_wen <= {local_data_we_width{1'b1}};
golden_data <= bht_data_din;
@(posedge bht_data_clk)
@(posedge bht_data_clk)
if(bht_data_dout == golden_data)
begin
$display("bht array cen == 1 test fail when i is %d!!!\n", i);
repeat(10)@(posedge bht_data_clk)
$finish;
end
end
//wen test
bht_data_cen = 1;
bht_data_gwen = 1'b1;
bht_data_wen = {local_data_we_width{1'b1}};
bht_data_idx = 0;
bht_data_din = 0;
for(i=0;i<local_data_we_width;i=i+1)
begin
@(posedge bht_data_clk)
bht_data_idx <= 20;
bht_data_gwen <= 1'b0;
bht_data_wen <= {local_data_we_width{1'b1}} >> i;
bht_data_din <= {local_data_data_width{1'b1}};
@(posedge bht_data_clk)
bht_data_gwen <= 1'b1;
bht_data_wen <= {local_data_we_width{1'b1}};
golden_data <= bht_data_din;
data_mask_ff <= data_mask;
@(posedge bht_data_clk)
@(posedge bht_data_clk)
if(golden_data != bht_data_dout & (~data_mask_ff))
begin
$display("bht array wen test fail when i is %d, golden_data is 0x%h, data out is 0x%h\n", i, golden_data, bht_data_dout);
repeat(10)@(posedge bht_data_clk)
$finish;
end
end
//read and write test
bht_data_cen = 1;
bht_data_gwen = 1'b1;
bht_data_wen = {local_data_we_width{1'b1}};
bht_data_idx = 0;
bht_data_din = 0;
for(i=0;i<={local_data_addr_width{1'b1}};i=i+1)
begin
@(posedge bht_data_clk)
bht_data_cen <= 0;
bht_data_gwen <= 1'b0;
bht_data_wen <= {local_data_we_width{1'b0}};
bht_data_idx <= {local_data_addr_width{1'b0}} + i;
bht_data_din <= {local_data_data_width{1'b1}};
@(posedge bht_data_clk)
bht_data_gwen <=1'b1;
bht_data_wen <= {local_data_we_width{1'b1}};
@(posedge bht_data_clk)
@(posedge bht_data_clk)
bht_data_idx <= {local_data_addr_width{1'b0}} + i + 1;
if(bht_data_dout != {local_data_data_width{1'b1}})
begin
$display("bht array read/write test fail when addr is %d\n", i);
repeat(10)@(posedge bht_data_clk)
$finish;
end
end
bht_mem_test_pass = 1;
end
endmodule
|
/*
* cache_byte.v - Byte oriented memory cache
*
* This cache sits between the SDRAM controller and the CPU to provide single bytes from the
* SDRAM data blocks
*
* Part of the CPC2 project: http://intelligenttoasters.blog
*
* Copyright (C)2017 Intelligent.Toasters@gmail.com
*
* This program is free software; you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation; either version 3 of the License, or
* (at your option) any later version.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program; if not, you can find a copy here:
* https://www.gnu.org/licenses/gpl-3.0.en.html
*
*/
`timescale 1ns/1ns
`default_nettype none
module cache_byte (
// Control
input wire clock_i,
input wire reset_i,
output wire busy_o,
// Byte interface - ack now needed as this is exclusive
input wire benable_i,
input wire brd_i,
input wire bwr_i,
output reg bvalid_o, // Signals bdat_o is valid for a read or write is complete
input wire [23:0] badr_i, // 24 bits/16M byte range
input wire [7:0] bdat_i,
output reg [7:0] bdat_o,
// Word interface - ack used to transfer through an arbiter
output reg wenable_o,
input wire wack_i,
output reg wrd_o,
output reg wwr_o,
input wire wvalid_i, // Is the SDRAM controller outputting a VALID signal (input or output in progress)
output reg [22:0] wadr_o, // 23 bits/8M word range
output wire [15:0] wdat_o, // Data to SDRAM controller
input wire [15:0] wdat_i // Data from SDRAM controller
);
// Parameters =================================================================================
// Constants ==================================================================================
parameter IDLE = 0, INIT1 = 1, INIT2 = 2, SEARCH = 3, READ1 = 4, READ2 = 5, READ3 = 6, OUTPUT1 = 7,
WRITE1 = 8, XXXXXX = 9, OUTPUT2 = 10, FLUSH1 = 11, FLUSH2 = 12, FLUSH3 = 13;
// Wire definitions ===========================================================================
// Convenience wires
wire [12:0] calc_tag; // Tag (address) for current row, used to store in tags list
wire [6:0] calc_row; // Cache row (either way)
wire [3:0] calc_index; // Cache byte index (byte/word location within row)
wire [1:0] way0_flag; // Direct pointer to row flag
wire [1:0] way1_flag; // Direct pointer to row flag
wire [12:0] way0_tag; // Direct pointer to row tag
wire [12:0] way1_tag; // Direct pointer to row tag
wire [7:0] bdato_wire; // Wire from cache memory
wire [15:0] wdato_wire; // Wire from cache memory
// Simulation wires
`ifdef SIM
wire calc_mru; // Most recently used for current row
wire [15:0] fifo0, fifo1; // Allows debug/GTKWave on fifo array
`endif
// Registers ==================================================================================
reg [12:0] way0_tags [0:127]; // Way 0 tags (addresses) 14-bits
reg [12:0] way1_tags [0:127]; // Way 1 tags (addresses) 14-bits
reg [1:0] way0_flags [0:127]; // Way 0 Flags
reg [1:0] way1_flags [0:127]; // Way 1 Flags
reg mru [0:127]; // Most recently used flags for victim selection
reg hit_way = 0; // Which way achieved the hit?
reg write_ctl = 0; // Cache write control signal for byte port (A)
reg [3:0] state = INIT1; // State machine state
// Working registers, word port enable, word read, word write, byte port valid, word port write enable
reg wenable = 0, wrd = 0, wwr = 0, bvalid = 0, wwe = 0;
// Word address (note it's 23 bits)
reg [22:0] wadr;
// Byte data output holding register
reg [7:0] bdato;
// Word (SDRAM) output fifo buffer
reg [15:0] wdat_fifo[0:1];
// Alternate edge SDRAM data capture (read data is on posedge SDRAM_CLK but NEGEDGE of memory logic clock)
reg [15:0] mem_dat_alt;
// Captured instruction
reg [23:0] badr; // Byte address is full 24-bit range (16MB)
reg [7:0] bdati; // Input data from the byte port to be stored
reg brd, bwr; // Which instruction? Rd/Wr?
// Read/Write row index pointer, addresses each word in turn on the row
reg [2:0] block_ptr; // Cycles for read/write store, cycles through words on the row
// GP counter - used for timeouts, counting bytes/words etc
reg [7:0] general_cntr;
reg advance_cntr = 0; // Flag that signals if the general counter should advance
// Assignments ================================================================================
// Pre-calculate the tag for later, so we can refer to the tag rather than recalculate it
assign calc_tag = badr[23:11];
// Which row of the cache?
assign calc_row = badr[10:4];
// Note this cale index is 4 bits, indicating byte port operation
assign calc_index = badr[3:0];
// Quick handle to the flags
assign way0_flag = way0_flags[calc_row];
assign way1_flag = way1_flags[calc_row];
// Quick handle to the tags
assign way0_tag = way0_tags[calc_row];
assign way1_tag = way1_tags[calc_row];
// Output to the word interface is out of the bottom of the 3 word FIFO
assign wdat_o = wdat_fifo[0];
`ifdef SIM
assign calc_mru = mru[calc_row]; // For GTKWave debugging
assign fifo1 = wdat_fifo[1]; // Allows debug/GTKWave on fifo array
assign fifo0 = wdat_fifo[0]; // Allows debug/GTKWave on fifo array
`endif
// Busy Flag
assign busy_o = (state != IDLE);
// Module connections =========================================================================
// This is an altera module to the block ram for the cache data. Port A is the byte port and
// port B is the word port, so the address lines are one bit bigger on the A port
cache_d cache_inst (
// The byte address is Way, then row, then index, and switch the LSB to give correct byte order
.address_a ( {hit_way,calc_row,calc_index[3:1],~calc_index[0] } ),
// Way is MSB, then Row, then Index
.address_b ( {hit_way,calc_row,block_ptr} ),
// Both clocks are the same, but could be independent
.clock_a ( clock_i ),
.clock_b ( clock_i ),
// Port A data comes from the byte port
.data_a ( bdati ),
// Port B data comes from the SDRAM port
.data_b ( mem_dat_alt/*wdat_i TODO: Check */),
// Port A is write then the byte port requests it
.wren_a ( write_ctl ),
// Port B is write when we're in a read mode (write enable signalled) and the SDRAM signals it's outputting data
.wren_b ( wvalid_i & wwe ),
// Output ports for A+B
.q_a ( bdato_wire ),
.q_b ( wdato_wire )
);
// Simulation branches and control ============================================================
// Other logic ================================================================================
// Main finite state machine, positive clock edge logic
always @(posedge clock_i)
if( reset_i ) state <= INIT1;
else case( state )
// Clear out key variables. Note the cache data is not cleared
INIT1: begin
wenable <= 1'b0; wrd <= 1'b0; wwr <= 1'b0; bvalid <= 1'b0;
wadr <= 23'd0; bdato <= 8'd0;
state <= INIT2;
end
// Clear out flags array and MRU - if these are clean, then the tags and cache don't need to be 0'd
INIT2: begin
way0_flags[general_cntr] <= 2'd0;
way1_flags[general_cntr] <= 2'd0;
mru[general_cntr] <= 1'b0;
if( ~general_cntr[6:0] == 7'd0 ) state <= IDLE; // Last byte 7f
end
// Wait for a command, note that the byte port is the master port. No requests appear on the word port
IDLE: begin
// If we were advancing the counter, then it's no longer necessary here
advance_cntr <= 1'b0;
// Disable the write enable flag for the cache memory, to prevent overwriting if another port causes valid_i
wwe <= 1'b0;
if( benable_i & (brd_i | bwr_i))
begin
// Store instruction parameters for later as the command will cease after one clock cycle
brd <= brd_i;
bwr <= bwr_i;
badr <= badr_i;
bdati <= bdat_i;
bvalid <= 1'b0; // Reset valid from last operation
// Point to next state
state <= SEARCH;
end
end
// Try to locate the correct cache row, if it's in the cache
SEARCH : begin
// Not empty way and tag hit (address matched) - cache hit!
if( ( way0_flag != 2'd0 ) && (way0_tag == calc_tag) )
begin
// Indicate this was WAY0
hit_way <= 1'b0;
// Update the most recently used flag
mru[calc_row] <= 1'b0;
$display("Cache HIT! Way 0"); // Debug messages
// If we're reading from cache, go straight to output
if( brd ) state <= OUTPUT1;
else
// Otherwise store the data received on the byte port
if( bwr ) state <= WRITE1;
// Fail safe - if we somehow get here, then go back to idle
else state <= IDLE;
end
else
// Not empty way and tag hit (address matched) - cache hit!
if( ( way1_flag != 2'd0 ) && (way1_tag == calc_tag) )
begin
// Indicate this was WAY1
hit_way <= 1'b1;
// Update the most recently used flag
mru[calc_row] <= 1'b1;
$display("Cache HIT! Way 1"); // Debug messages
// If we're reading from cache, go straight to output
if( brd ) state <= OUTPUT1;
else
// Otherwise store the data received on the byte port
if( bwr ) state <= WRITE1;
// Fail safe - if we somehow get here, then go back to idle
else state <= IDLE;
end
// Otherwise it's a cache miss, so select a victim cache way/line
else begin
// If way 0 or way 1 is empty, just read/write to that way
if( way0_flag == 2'd0 )
begin
hit_way <= 1'b0;
state <= READ1;
end
else
if ( way1_flag == 2'd0 )
begin
hit_way <= 1'b1;
state <= READ1;
end
// Otherwise both ways full, so select a row for replacement
else begin
// Calculate victim way
hit_way <= ~mru[calc_row]; // Select the way least recently used (not (~) most recent used)
$display("At %08d Row replacement for %d, 0x%06x, victim way %d, victim tag: 0x%04x", $time, calc_row, badr, ~mru[calc_row], ~mru[calc_row] ? way1_tags[calc_row] : way0_tags[calc_row]);
// If way is clean (no writes, so way is consistent with underlying SDRAM) then just discard way data
if( ( way0_flag == 2'b01 ) && mru[calc_row] ) state <= READ1;
else
if( ( way1_flag == 2'b01 ) && ~mru[calc_row] ) state <= READ1;
// Otherwise, if the way is dirty (has a write added to it since reading from SDRAM), flush it to memory first
else begin
$display("At %08d dirty flush for %d, 0x%06x, victim way %d", $time, calc_row, badr, ~mru[calc_row]);
state = FLUSH1;
end
end
end
end
// Reading from memory is a multi-state operation, first set the word (SDRAM) read signals
// This work in conjunction with the 2-clock R-C delay in the SDRAM
READ1 : begin
if( ~wack_i ) // If ACK is high then still ACKing a previous cycle(such as a flush), so wait
begin
wenable <= 1'b1; // Enable the word port
// wwe <= 1'b1; // Write enable the cache data block ram
wrd <= 1'b1; // Signal a read from the word port
// Discard LSB because we're reading words not bytes, but start from the first address needed,
// so we can immediately output the required byte after the first word is read from the SDRAM
wadr <= badr[23:1];
state <= READ2;
end
end
// Wait for the arbiter ack signal. If the SDRAM bus is in use, then we have to wait for the operation to complete
// before we can assert our request. The ACK input from the arbiter gives us that signal
READ2 : begin
if( wack_i ) begin
wwe <= 1'b1; // Write enable the cache data block ram
wenable <= 1'b0; // Deassert the word enable signal
wrd <= 1'b0; // and the read signal - the SDRAM controller has recorded the operation already
advance_cntr <= 0; // This signal ensures we don't advance the counter until ready
state <= READ3;
end
end
// Wait for the valid signal from the SDRAM controller, indicating data is available on the word input port
READ3 : if( wvalid_i ) begin
$display("Read %04x in to cache word memory location %x", mem_dat_alt/*wdat_i*/, block_ptr);
// Return the first byte immediately, for performance reasons
if( general_cntr == 3'd7 ) begin
// bdato <= badr[0] ? wdat_i[7:0] : wdat_i[15:8]; // Return the correct byte from the word
bdato <= badr[0] ? mem_dat_alt[7:0] : mem_dat_alt[15:8]; // Return the correct byte from the word
if( brd ) bvalid <= 1'b1; // Signal to the byte port that the data on the output is valid
end
// Update the flags and tag with the read data upon completion
if( general_cntr == 0 ) begin
// Update the flags + tag
if( hit_way == 1'b0 )
begin
way0_flags[calc_row] <= 2'b01;
way0_tags[calc_row] <= calc_tag;
end
else
begin
way1_flags[calc_row] <= 2'b01;
way1_tags[calc_row] <= calc_tag;
end
// Mark the way recently used
mru[calc_row] <= hit_way;
// If read, then we're done
if( ~bwr ) state <= IDLE;
// Otherwise go write to the cache ram from the byte port
else state <= WRITE1;
end
// Signal that we start to advance the counter every clock now (on the falling edge, next)
else advance_cntr <= 1;
end
// The data requested from the byte port is in the cache, so simply return that
// Give the cache ram 1 cycle to retrieve the data, then output
OUTPUT1 : state <= OUTPUT2;
// The cache ram itself is clocked constantly, so it always presents data on its output port, one clock delayed
OUTPUT2 : begin
// This data is transferred to the output ports on the falling edge of the clock, see the negedge block below
bdato <= bdato_wire[7:0];
bvalid <= 1;
state <= IDLE;
end
// Cache holds the correct memory line, so now just write data into the cache data memory
WRITE1 : begin
// Indicate cached write is complete (early indicator)
bvalid <= 1'b1;
// Update the flags with the dirty bit for either way 0 or 1
if( hit_way == 1'b0 ) way0_flags[calc_row] <= 2'b11;
else way1_flags[calc_row] <= 2'b11;
// Finished write to cache data, so return idle
state <= IDLE;
end
// This state flushes a dirty cache (written) back to the SDRAM
FLUSH1 : begin
// Stop the valid signal overwriting the cache, by disabling the write enable flag
wwe <= 1'b0;
// Enable the word port
wenable <= 1'b1;
// Indicate it's a write operation
wwr <= 1'b1;
// Output the address to the SDRAM port
wadr <= {(hit_way) ? way1_tag : way0_tag, calc_row, block_ptr }; // 23 bits of word address
// Move to the next step
state <= FLUSH2;
end
// This state waits for the SDRAM controller to indicate it's accepted the command
FLUSH2 : begin
if( wack_i ) begin
// Deassert the enable and write signals, but even while we're waiting,
// the data is being queued through the FIFO
wenable <= 1'b0;
wwr <= 1'b0;
advance_cntr <= 1'b0;
state <= FLUSH3;
end
end
// By now two bytes are queued in the FIFO, we wait for the valid signal before queuing any more
FLUSH3 : begin
advance_cntr <= wvalid_i; // Advance the counter if the SDRAM is ready
if( general_cntr == 7'd0 ) state <= READ1; // Flushed finished, go to read process
end
// Default operation just in case of a corruption - default is to reinitialise controller
default: state <= INIT1; // Self reset on unknown
endcase
// Update output signals on falling edge
always @(negedge clock_i)
begin
// Store memory line state for reading
mem_dat_alt <= wdat_i;
// Take action based on state
case( state )
// This state prepares to fill the arrays
INIT1: general_cntr <= ~8'd0;
// This state points to the next array location
INIT2: general_cntr <= general_cntr + 1'b1;
// Idle / wait processing
IDLE: begin
// Stop the write to the byte cache ram
write_ctl <= 1'b0;
end
// This state prepares to read 8 words
READ1: begin
general_cntr <= 3'd7;
// Move data through the FIFO - just in case the last operation was a flush - takes an extra clock cycle to output
wdat_fifo[0] <= wdat_fifo[1];
end
// This state prepares the cache line pointer
READ2: block_ptr <= wadr[2:0];
// This state will advance the counter if the SDRAM has signalled it's ready
READ3: begin
if( advance_cntr ) begin
// Adjust the address to the next location - this wraps if reading from a the middle of 16 byte block
block_ptr <= block_ptr + 1'b1;
general_cntr <= general_cntr - 1'b1;
end
end
// Write signal for the byte cache memory, it's reset automatically on idle
WRITE1 : write_ctl <= 1'b1;
// Flush 8 words, 2 is pre-loaded in FLUSH2 state, so counter is 6 rather than 8
FLUSH1 : begin
general_cntr <= 3'd6;
block_ptr <= 0;
end
// Fill top of cache, BUT only 2 bytes - if WACK takes a while we dont want to overflow the fifo!
FLUSH2 : if(block_ptr != 3'd2) begin
{wdat_fifo[0],wdat_fifo[1]} <= {wdat_fifo[1], wdato_wire};
block_ptr <= block_ptr + 1'b1;
end
// If the advance cntr flag is set, then we can continue to fill the FIFO
FLUSH3 : if( advance_cntr ) begin
// fill the fifo from the top
{wdat_fifo[0],wdat_fifo[1]} <= {wdat_fifo[1], wdato_wire};
// Adjust the address to the next location - this wraps if reading from a the middle of 8 word block
block_ptr <= block_ptr + 1'b1;
// Count down from 7 to 0
general_cntr <= general_cntr - 1'b1;
end
endcase
// Always update these output state signals for the word port
wenable_o <= wenable;
wrd_o <= wrd;
wwr_o <= wwr;
wadr_o <= wadr;
// Always update these output state signals for the byte port
bvalid_o <= bvalid;
bdat_o <= bdato;
end
endmodule
|
// spdx-filecopyrighttext: 2020 efabless corporation
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
// spdx-license-identifier: apache-2.0
`default_nettype none
/*
*-------------------------------------------------------------
*
* user_project_wrapper
*
* this wrapper enumerates all of the pins available to the
* user for the user project.
*
* an example user project is provided in this wrapper. the
* example should be removed and replaced with the actual
* user project.
*
*-------------------------------------------------------------
*/
module user_project_wrapper #(
parameter bits = 32
) (
`ifdef use_power_pins
inout vdda1, // user area 1 3.3v supply
inout vdda2, // user area 2 3.3v supply
inout vssa1, // user area 1 analog ground
inout vssa2, // user area 2 analog ground
inout vccd1, // user area 1 1.8v supply
inout vccd2, // user area 2 1.8v supply
inout vssd1, // user area 1 digital ground
inout vssd2, // user area 2 digital ground
`endif
// wishbone slave ports (wb mi a)
input wb_clk_i,
input wb_rst_i,
input wbs_stb_i,
input wbs_cyc_i,
input wbs_we_i,
input [3:0] wbs_sel_i,
input [31:0] wbs_dat_i,
input [31:0] wbs_adr_i,
output wbs_ack_o,
output [31:0] wbs_dat_o,
// logic analyzer signals
input [127:0] la_data_in,
output [127:0] la_data_out,
input [127:0] la_oenb,
// ios
input [`mprj_io_pads-1:0] io_in,
output [`mprj_io_pads-1:0] io_out,
output [`mprj_io_pads-1:0] io_oeb,
// analog (direct connection to gpio pad---use with caution)
// note that analog i/o is not available on the 7 lowest-numbered
// gpio pads, and so the analog_io indexing is offset from the
// gpio indexing by 7 (also upper 2 gpios do not have analog_io).
inout [`mprj_io_pads-10:0] analog_io,
// independent clock (on independent integer divider)
input user_clock2,
// user maskable interrupt signals
output [2:0] user_irq
);
/*--------------------------------------*/
/* user project is instantiated here */
/*--------------------------------------*/
/*user_proj_example mprj (
`ifdef use_power_pins
.vccd1(vccd1), // user area 1 1.8v power
.vssd1(vssd1), // user area 1 digital ground
`endif
.wb_clk_i(wb_clk_i),
.wb_rst_i(wb_rst_i),
// mgmt soc wishbone slave
.wbs_cyc_i(wbs_cyc_i),
.wbs_stb_i(wbs_stb_i),
.wbs_we_i(wbs_we_i),
.wbs_sel_i(wbs_sel_i),
.wbs_adr_i(wbs_adr_i),
.wbs_dat_i(wbs_dat_i),
.wbs_ack_o(wbs_ack_o),
.wbs_dat_o(wbs_dat_o),
// logic analyzer
.la_data_in(la_data_in),
.la_data_out(la_data_out),
.la_oenb (la_oenb),
// io pads
.io_in (io_in),
.io_out(io_out),
.io_oeb(io_oeb),
// irq
.irq(user_irq)
);*/
wire [8:0] rom_addr;
wire [31:0] rom_value;
wire rom_csb;
wire wb_rom_csb;
wire wb_rom_web;
wire [8:0] wb_rom_adrb;
wire [31:0] wb_rom_val;
sky130_sram_2kbyte_1rw1r_32x512_8 openram_2kb(
`ifdef use_power_pins
.vccd1 (vccd1),
.vssd1 (vssd1),
`endif
.clk0 (wb_clk_i),
.csb0 (wb_rom_csb),
.web0 (wb_rom_web),
.wmask0 (wbs_sel_i),
.addr0 (wb_rom_adrb),
.din0 (wbs_dat_i),
.dout0 (wb_rom_val),
.clk1 (wb_clk_i),
.csb1 (rom_csb),
.addr1 (rom_addr),
.dout1 (rom_value)
);
wire [6:0] ram_addr;
wire [3:0] ram_val_in;
wire [3:0] ram_val_out;
wire ram_we;
tms1x00_ram ram(
`ifdef use_power_pins
.vccd1 (vccd1),
.vssd1 (vssd1),
`endif
.ram_addr(ram_addr),
.r_val(ram_val_in),
.wen(ram_we),
.clk(wb_clk_i),
.w_val(ram_val_out)
);
wrapped_tms1x00 wrapped_tms1x00(
`ifdef use_power_pins
.vccd1(vccd1), // user area 1 1.8v power
.vssd1(vssd1), // user area 1 digital ground
`endif
.wb_clk_i(wb_clk_i),
.wb_rst_i(wb_rst_i),
.io_in (io_in),
.io_out(io_out),
.irq(user_irq),
.io_oeb(io_oeb),
.rom_addr(rom_addr),
.rom_csb(rom_csb),
.rom_value(rom_value),
.ram_addr(ram_addr),
.ram_val_in(ram_val_in),
.ram_val_out(ram_val_out),
.ram_we(ram_we),
.wbs_cyc_i(wbs_cyc_i),
.wbs_stb_i(wbs_stb_i),
.wbs_adr_i(wbs_adr_i),
.wbs_dat_i(wbs_dat_i),
.wbs_dat_o(wbs_dat_o),
.wbs_we_i(wbs_we_i),
.wbs_ack_o(wbs_ack_o),
.wb_rom_csb(wb_rom_csb),
.wb_rom_web(wb_rom_web),
.wb_rom_adrb(wb_rom_adrb),
.wb_rom_val(wb_rom_val)
);
endmodule // user_project_wrapper
`default_nettype wire
|
// This is a component of pluto_step_spi, a stepper driver for linuxcnc over SPI.
// based on the main.v from Jeff Epler <jepler@unpythonic.net>
// Copyright 2013 by Matsche <matsche@play-pla.net>
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or
// (at your option) any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
//**********************************************************************
module rpi_fpga_stepper(clk, SCK, MOSI, MISO, SSEL, nRESET, LED, nConfig, dout, din, step, dir);
parameter W=10;
parameter F=11;
parameter T=4;
input clk;
input SCK, SSEL, MOSI, nRESET;
output MISO, nConfig = 1'bZ;
output LED;
input [15:0] din;
assign nConfig = nRESET;
//assign nConfig = 1'b1;
reg Spolarity;
reg[13:0] real_dout; output [13:0] dout = do_tristate ? 14'bZ : real_dout;
wire[3:0] real_step; output [3:0] step = do_tristate ? 4'bZ : real_step ^ {4{Spolarity}};
wire[3:0] real_dir; output [3:0] dir = do_tristate ? 4'bZ : real_dir;
wire [W+F-1:0] pos0, pos1, pos2, pos3;
reg [F:0] vel0, vel1, vel2, vel3;
reg [T-1:0] dirtime, steptime;
reg [1:0] tap;
reg [10:0] div2048;
wire stepcnt = ~|(div2048[5:0]);
always @(posedge clk) begin
div2048 <= div2048 + 1'd1;
end
wire do_enable_wdt, do_tristate;
wdt w(clk, do_enable_wdt, &div2048, do_tristate);
stepgen #(W,F,T) s0(clk, stepcnt, pos0, vel0, dirtime, steptime, real_step[0], real_dir[0], tap);
stepgen #(W,F,T) s1(clk, stepcnt, pos1, vel1, dirtime, steptime, real_step[1], real_dir[1], tap);
stepgen #(W,F,T) s2(clk, stepcnt, pos2, vel2, dirtime, steptime, real_step[2], real_dir[2], tap);
stepgen #(W,F,T) s3(clk, stepcnt, pos3, vel3, dirtime, steptime, real_step[3], real_dir[3], tap);
//**********************************************************************
// SPI zeugs
// synchronizing the handshakes
//
reg [2:0] SCKr;
always @(posedge clk) SCKr <= {SCKr[1:0], SCK};
wire SCK_risingedge = (SCKr[2:1]==2'b01); // now we can detect SCK rising edges
wire SCK_fallingedge = (SCKr[2:1]==2'b10); // and falling edges
wire SCK_high = SCKr[1]; // SCK is high
// same thing for SSEL
reg [2:0] SSELr;
always @(posedge clk) SSELr <= {SSELr[1:0], SSEL};
wire SSEL_active = ~SSELr[1]; // SSEL is active low
wire SSEL_startmessage = (SSELr[2:1]==2'b10); // message starts at falling edge
wire SSEL_endmessage = (SSELr[2:1]==2'b01); // message stops at rising edge
wire MOSI_data = MOSI;
// we handle SPI in 8-bits format, so we need a 3 bits counter to count the bits as they come in
reg [2:0] bitcnt;
reg byte_received; // high when 8 bit has been received
reg [4:0] spibytecnt;
reg [7:0] data_recvd;
reg [7:0] data_sent;
reg [7:0] data_outbuf;
always @(posedge clk) begin
if(SSEL_startmessage) begin
//data_sent <= data_outbuf;
bitcnt <= 3'b000;
spibytecnt <= 5'b00000;
end
if(SSEL_active) begin
if(SCK_risingedge) begin
data_recvd <= {data_recvd[6:0], MOSI_data};
bitcnt <= bitcnt + 3'b001;
if(bitcnt==3'b000)
data_sent <= data_outbuf;
end
else if(SCK_fallingedge) begin
data_sent <= {data_sent[6:0], 1'b0};
if(bitcnt==3'b000) begin
spibytecnt <= spibytecnt + 5'b00001;
end
end
byte_received <= SCK_risingedge && (bitcnt==3'b111);
end
end
assign MISO = data_sent[7]; // send MSB first
// we assume that there is only one slave on the SPI bus
// so we don't bother with a tri-state buffer for MISO
// otherwise we would need to tri-state MISO when SSEL is inactive
reg [7:0] data_inbuf;
always @(posedge clk) begin
if(SSEL_active) begin
//------------------------------------------------- word 0
if(spibytecnt == 5'b00000) begin // 0
data_outbuf <= pos0[7:0];
if(byte_received)
data_inbuf <= data_recvd; //vel0[7:0]
end
else if(spibytecnt == 5'b00001) begin // 1
data_outbuf <= pos0[15:8];
if(byte_received)
vel0 <= {data_recvd,data_inbuf}; //vel0
end
else if(spibytecnt == 5'b00010) begin // 2
data_outbuf <= pos0[W+F-1:16];
if(byte_received)
data_inbuf <= data_recvd; //vel1[7:0]
end
else if(spibytecnt == 5'b00011) begin // 3
data_outbuf <= 8'b0;
if(byte_received)
vel1 <= {data_recvd,data_inbuf}; //vel1
end
//------------------------------------------------- word 1
else if(spibytecnt == 5'b00100) begin // 4
data_outbuf <= pos1[7:0];
if(byte_received)
data_inbuf <= data_recvd; //vel2[7:0]
end
else if(spibytecnt == 5'b00101) begin // 5
data_outbuf <= pos1[15:8];
if(byte_received)
vel2 <= {data_recvd,data_inbuf}; //vel2
end
else if(spibytecnt == 5'b00110) begin // 6
data_outbuf <= pos1[W+F-1:16];
if(byte_received)
data_inbuf <= data_recvd; //vel3[7:0]
end
else if(spibytecnt == 5'b00111) begin // 7
data_outbuf <= 8'b0;
if(byte_received)
vel3 <= {data_recvd,data_inbuf}; //vel3
end
//------------------------------------------------- word 2
else if(spibytecnt == 5'b01000) begin // 8
data_outbuf <= pos2[7:0];
if(byte_received)
data_inbuf <= data_recvd; //real_dout[7:0]
end
else if(spibytecnt == 5'b01001) begin // 9
data_outbuf <= pos2[15:8];
if(byte_received) begin
real_dout <= {data_recvd[5:0],data_inbuf}; //real_dout
end
end
else if(spibytecnt == 5'b01010) begin // 10
data_outbuf <= pos2[W+F-1:16];
if(byte_received)
data_inbuf <= data_recvd;
end
else if(spibytecnt == 5'b01011) begin // 11
data_outbuf <= 8'b0;
if(byte_received) begin
tap <= data_recvd[7:6];
steptime <= data_recvd[T-1:0];
Spolarity <= data_inbuf[7];
dirtime <= data_inbuf[T-1:0];
end
end
//------------------------------------------------- word 3
else if(spibytecnt == 5'b01100) data_outbuf <= pos3[7:0];
else if(spibytecnt == 5'b01101) data_outbuf <= pos3[15:8];
else if(spibytecnt == 5'b01110) data_outbuf <= pos3[W+F-1:16];
else if(spibytecnt == 5'b01111) data_outbuf <= 8'b0;
//------------------------------------------------- word 4
else if(spibytecnt == 5'b10000) data_outbuf <= din[7:0];
else if(spibytecnt == 5'b10001) data_outbuf <= din[15:8];
else if(spibytecnt == 5'b10010) data_outbuf <= 8'b0;
else if(spibytecnt == 5'b10011) data_outbuf <= 8'b0;
else data_outbuf <= spibytecnt;
end
end
assign LED = do_tristate ? 1'bZ : (real_step[0] ^ real_dir[0]);
assign do_enable_wdt = data_recvd[6] & (spibytecnt == 5'b01001) & byte_received;
endmodule
|
/*
copyright 2020 ali raheem <ali.raheem@gmail.com>
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and limitations under the license.
*/
module em4100_tb();
reg clk;
reg tx;
reg [39:0] data;
wire q;
em4100 dut(
.clk(clk),
.tx(tx),
.data(data),
.q(q));
initial begin
$dumpfile("em4100.vcd");
$dumpvars(0, dut);
clk = 0;
tx = 0;
data = {{20{1'b1}}, {20{1'b0}}};
#4
tx = 1;
#1000
$finish;
end // initial begin
always #1 clk = !clk;
endmodule // em4100_tb
|
/*
* Milkymist SoC
* Copyright (C) 2007, 2008, 2009 Sebastien Bourdeauducq
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, version 3 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
module pfpu_alu(
input sys_clk,
input alu_rst,
input [31:0] a,
input [31:0] b,
input ifb,
input [3:0] opcode,
output [31:0] r,
output r_valid,
output reg dma_en,
output err_collision
);
/* Compensate for the latency cycle of the register file SRAM. */
reg [3:0] opcode_r;
always @(posedge sys_clk) begin
if(alu_rst)
opcode_r <= 4'd0;
else
opcode_r <= opcode;
end
/* Detect VECTOUT opcodes and trigger DMA */
always @(posedge sys_clk) begin
if(alu_rst)
dma_en <= 1'b0;
else
dma_en <= opcode == 4'h7;
end
/* Computation units */
wire faddsub_valid;
wire [31:0] r_faddsub;
pfpu_faddsub u_faddsub(
.sys_clk(sys_clk),
.alu_rst(alu_rst),
.a(a),
.b(b),
.sub(~opcode_r[0]),
.valid_i((opcode_r == 4'h1) | (opcode_r == 4'h2)),
.r(r_faddsub),
.valid_o(faddsub_valid)
);
wire fmul_valid;
wire [31:0] r_fmul;
pfpu_fmul u_fmul(
.sys_clk(sys_clk),
.alu_rst(alu_rst),
.a(a),
.b(b),
.valid_i(opcode_r == 4'h3),
.r(r_fmul),
.valid_o(fmul_valid)
);
wire tsign_valid;
wire [31:0] r_tsign;
pfpu_tsign u_tsign(
.sys_clk(sys_clk),
.alu_rst(alu_rst),
.a(a),
.b(b),
.tsign(opcode_r[3]),
.valid_i((opcode_r == 4'h4) | (opcode_r == 4'he)),
.r(r_tsign),
.valid_o(tsign_valid)
);
wire f2i_valid;
wire [31:0] r_f2i;
pfpu_f2i u_f2i(
.sys_clk(sys_clk),
.alu_rst(alu_rst),
.a(a),
.valid_i(opcode_r == 4'h5),
.r(r_f2i),
.valid_o(f2i_valid)
);
wire i2f_valid;
wire [31:0] r_i2f;
pfpu_i2f u_i2f(
.sys_clk(sys_clk),
.alu_rst(alu_rst),
.a(a),
.valid_i(opcode_r == 4'h6),
.r(r_i2f),
.valid_o(i2f_valid)
);
wire sincos_valid;
wire [31:0] r_sincos;
pfpu_sincos u_sincos(
.sys_clk(sys_clk),
.alu_rst(alu_rst),
.a(a),
.cos(opcode_r[0]),
.valid_i((opcode_r == 4'h8) | (opcode_r == 4'h9)),
.r(r_sincos),
.valid_o(sincos_valid)
);
wire above_valid;
wire [31:0] r_above;
pfpu_above u_above(
.sys_clk(sys_clk),
.alu_rst(alu_rst),
.a(a),
.b(b),
.valid_i(opcode_r == 4'ha),
.r(r_above),
.valid_o(above_valid)
);
wire equal_valid;
wire [31:0] r_equal;
pfpu_equal u_equal(
.sys_clk(sys_clk),
.alu_rst(alu_rst),
.a(a),
.b(b),
.valid_i(opcode_r == 4'hb),
.r(r_equal),
.valid_o(equal_valid)
);
wire copy_valid;
wire [31:0] r_copy;
pfpu_copy u_copy(
.sys_clk(sys_clk),
.alu_rst(alu_rst),
.a(a),
.valid_i(opcode_r == 4'hc),
.r(r_copy),
.valid_o(copy_valid)
);
wire if_valid;
wire [31:0] r_if;
pfpu_if u_if(
.sys_clk(sys_clk),
.alu_rst(alu_rst),
.a(a),
.b(b),
.ifb(ifb),
.valid_i(opcode_r == 4'hd),
.r(r_if),
.valid_o(if_valid)
);
wire quake_valid;
wire [31:0] r_quake;
pfpu_quake u_quake(
.sys_clk(sys_clk),
.alu_rst(alu_rst),
.a(a),
.valid_i(opcode_r == 4'hf),
.r(r_quake),
.valid_o(quake_valid)
);
/* Generate output */
assign r =
({32{faddsub_valid}} & r_faddsub)
|({32{fmul_valid}} & r_fmul)
|({32{tsign_valid}} & r_tsign)
|({32{f2i_valid}} & r_f2i)
|({32{i2f_valid}} & r_i2f)
|({32{sincos_valid}} & r_sincos)
|({32{above_valid}} & r_above)
|({32{equal_valid}} & r_equal)
|({32{copy_valid}} & r_copy)
|({32{if_valid}} & r_if)
|({32{quake_valid}} & r_quake);
assign r_valid =
faddsub_valid
|fmul_valid
|tsign_valid
|f2i_valid
|i2f_valid
|sincos_valid
|above_valid
|equal_valid
|copy_valid
|if_valid
|quake_valid;
assign err_collision =
(faddsub_valid & (fmul_valid|tsign_valid|f2i_valid|i2f_valid|sincos_valid|above_valid|equal_valid|copy_valid|if_valid|quake_valid))
|(fmul_valid & (tsign_valid|f2i_valid|i2f_valid|sincos_valid|above_valid|equal_valid|copy_valid|if_valid|quake_valid))
|(tsign_valid & (f2i_valid|i2f_valid|sincos_valid|above_valid|equal_valid|copy_valid|if_valid|quake_valid))
|(f2i_valid & (i2f_valid|sincos_valid|above_valid|equal_valid|copy_valid|if_valid|quake_valid))
|(i2f_valid & (sincos_valid|above_valid|equal_valid|copy_valid|if_valid|quake_valid))
|(sincos_valid & (above_valid|equal_valid|copy_valid|if_valid|quake_valid))
|(above_valid & (equal_valid|copy_valid|if_valid|quake_valid))
|(equal_valid & (copy_valid|if_valid|quake_valid))
|(copy_valid & (if_valid|quake_valid))
|(if_valid & (quake_valid));
endmodule
|
/*
* Milkymist VJ SoC
* Copyright (C) 2007, 2008, 2009 Sebastien Bourdeauducq
* adjusted to FML 8x16 by Zeus Gomez Marmolejo <zeus@aluzina.org>
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, version 3 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
module hpdmc_ctlif #(
parameter csr_addr = 1'b0,
parameter sdram_addrdepth = 12
) (
input sys_clk,
input sys_rst,
input [ 2:0] csr_a,
input csr_we,
input [15:0] csr_di,
output reg [15:0] csr_do,
output reg bypass,
output reg sdram_rst,
output reg sdram_cke,
output reg sdram_cs_n,
output reg sdram_we_n,
output reg sdram_cas_n,
output reg sdram_ras_n,
output reg [sdram_addrdepth-1:0] sdram_adr,
output [ 1:0] sdram_ba,
/* Clocks we must wait following a PRECHARGE command (usually tRP). */
output reg [2:0] tim_rp,
/* Clocks we must wait following an ACTIVATE command (usually tRCD). */
output reg [2:0] tim_rcd,
/* CAS latency, 0 = 2 */
output reg tim_cas,
/* Auto-refresh period (usually tREFI). */
output reg [10:0] tim_refi,
/* Clocks we must wait following an AUTO REFRESH command (usually tRFC). */
output reg [3:0] tim_rfc,
/* Clocks we must wait following the last word written to the SDRAM (usually tWR). */
output reg [1:0] tim_wr
);
localparam low_addr_bits16 = 16 - sdram_addrdepth;
localparam low_addr_bits12 = sdram_addrdepth - 12;
wire csr_selected = csr_a[2] == csr_addr;
// We assume sdram_ba will be always zero, so we can truncate the bus to 16 bits
assign sdram_ba = 2'b00;
always @(posedge sys_clk) begin
if(sys_rst) begin
csr_do <= 16'd0;
bypass <= 1'b1;
sdram_rst <= 1'b1;
sdram_cke <= 1'b0;
sdram_adr <= {sdram_addrdepth{1'd0}};
tim_rp <= 3'd2;
tim_rcd <= 3'd2;
tim_cas <= 1'b0;
tim_refi <= 11'd740;
tim_rfc <= 4'd8;
tim_wr <= 2'd2;
end else begin
sdram_cs_n <= 1'b1;
sdram_we_n <= 1'b1;
sdram_cas_n <= 1'b1;
sdram_ras_n <= 1'b1;
csr_do <= 16'd0;
if(csr_selected) begin
if(csr_we) begin
case(csr_a[1:0])
2'b00: begin
bypass <= csr_di[0];
sdram_rst <= csr_di[1];
sdram_cke <= csr_di[2];
end
2'b01: begin
sdram_cs_n <= ~csr_di[0];
sdram_we_n <= ~csr_di[1];
sdram_cas_n <= ~csr_di[2];
sdram_ras_n <= ~csr_di[3];
sdram_adr <= { {low_addr_bits12{1'b0}}, csr_di[15:4]};
end
2'b10: begin
tim_rp <= csr_di[2:0];
tim_rcd <= csr_di[5:3];
tim_cas <= csr_di[6];
tim_rfc <= csr_di[10:7];
tim_wr <= csr_di[12:11];
end
2'b11: begin
tim_refi <= csr_di[10:0];
end
endcase
end
case(csr_a[1:0])
2'b00: csr_do <= {sdram_cke, sdram_rst, bypass};
2'b01: csr_do <= {sdram_adr, {low_addr_bits16{1'b0}} };
2'b10: csr_do <= {tim_wr, tim_rfc, tim_cas, tim_rcd, tim_rp};
2'b11: csr_do <= {5'd0, tim_refi};
endcase
end
end
end
endmodule
|
//
// Copyright (c) 1999 Steven Wilson (stevew@home.com)
//
// This source code is free software; you can redistribute it
// and/or modify it in source code form under the terms of the GNU
// General Public License as published by the Free Software
// Foundation; either version 2 of the License, or (at your option)
// any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
//
// SDW - Validate the ? operator
module main;
reg globvar;
reg [3:0] bvec;
reg [3:0] var1,var2,var3;
reg cond, a,b,out1,out2;
reg error;
initial
begin
error = 0;
bvec = 4'bzx10 ;
for(var1 = 0;var1 <= 4'h3; var1 = var1+1)
begin
for(var2 = 0;var2 <= 4'h3;var2 = var2+1)
begin
for(var3= 0; var3 <= 4'h3;var3 = var3+1)
begin
cond = bvec[var1];
a = bvec[var2];
b = bvec[var3];
out1 = cond ? a: b ;
if(cond)
out2 = a ;
else
out2 = b;
if(out1 != out2)
begin
$display("FAILED - qmark2 - %b %b %b %b %b",
cond,a,b,out1,out2);
error = 1;
end
end
end
end
if(error == 0)
$display("PASSED");
end
endmodule // main
|
// spdx-filecopyrighttext: 2020 efabless corporation
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
// spdx-license-identifier: apache-2.0
`default_nettype none
/*
*-------------------------------------------------------------
*
* user_proj_example
*
* this is an example of a (trivially simple) user project,
* showing how the user project can connect to the logic
* analyzer, the wishbone bus, and the i/o pads.
*
* this project generates an integer count, which is output
* on the user area gpio pads (digital output only). the
* wishbone connection allows the project to be controlled
* (start and stop) from the management soc program.
*
* see the testbenches in directory "mprj_counter" for the
* example programs that drive this user project. the three
* testbenches are "io_ports", "la_test1", and "la_test2".
*
*-------------------------------------------------------------
*/
module user_proj_npu #(
parameter bits = 16
)(
`ifdef use_power_pins
inout vccd1, // user area 1 1.8v supply
inout vssd1, // user area 1 digital ground
`endif
// wishbone slave ports (wb mi a)
input wb_clk_i,
input wb_rst_i,
input wbs_stb_i,
input wbs_cyc_i,
input wbs_we_i,
input [31:0] wbs_dat_i,
output wbs_ack_o,
output [31:0] wbs_dat_o
);
npu npu(
.wb_clk_i(wb_clk_i),
.wb_rst_i(wb_rst_i),
.wbs_ack_o(wbs_ack_o),
.wbs_cyc_i(wbs_cyc_i),
.wbs_dat_i(wbs_dat_i),
.wbs_dat_o(wbs_dat_o),
.wbs_stb_i(wbs_stb_i),
.wbs_we_i(wbs_we_i)
);
endmodule
`default_nettype wire
|
/*
* Milkymist SoC
* Copyright (C) 2007, 2008, 2009, 2010 Sebastien Bourdeauducq
* Copyright (C) 2010 Michael Walle
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, version 3 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
module norflash16 #(
parameter adr_width = 22,
parameter rd_timing = 4'd12,
parameter wr_timing = 4'd6
) (
input sys_clk,
input sys_rst,
input [31:0] wb_adr_i,
output reg [31:0] wb_dat_o,
input [31:0] wb_dat_i,
input [3:0] wb_sel_i,
input wb_stb_i,
input wb_cyc_i,
output reg wb_ack_o,
input wb_we_i,
output [adr_width-1:0] flash_adr,
inout [15:0] flash_d,
output reg flash_oe_n,
output reg flash_we_n
);
reg [adr_width-1:0] flash_adr_r;
reg [15:0] flash_do;
reg lsb;
assign two_cycle_transfer = (wb_sel_i == 4'b1111);
assign flash_adr = {flash_adr_r[adr_width-1:1], (two_cycle_transfer) ? lsb : flash_adr_r[0]};
assign flash_d = flash_oe_n ? flash_do : 16'bz;
reg load;
reg store;
always @(posedge sys_clk) begin
flash_oe_n <= 1'b1;
flash_we_n <= 1'b1;
/* Use IOB registers to prevent glitches on address lines */
/* register only when needed to reduce EMI */
if(wb_cyc_i & wb_stb_i) begin
flash_adr_r <= wb_adr_i[adr_width:1];
if(wb_we_i)
case(wb_sel_i)
4'b0011: flash_do <= wb_dat_i[15:0];
4'b1100: flash_do <= wb_dat_i[31:16];
default: flash_do <= 16'hxxxx;
endcase
else
flash_oe_n <= 1'b0;
end
if(load) begin
casex({wb_sel_i, lsb})
5'b0001x: wb_dat_o <= {4{flash_d[7:0]}};
5'b0010x: wb_dat_o <= {4{flash_d[15:8]}};
5'b0100x: wb_dat_o <= {4{flash_d[7:0]}};
5'b1000x: wb_dat_o <= {4{flash_d[15:8]}};
5'b0011x: wb_dat_o <= {2{flash_d}};
5'b1100x: wb_dat_o <= {2{flash_d}};
5'b11110: begin wb_dat_o[31:16] <= flash_d; lsb <= ~lsb; end
5'b11111: begin wb_dat_o[15:0] <= flash_d; lsb <= ~lsb; end
default: wb_dat_o <= 32'hxxxxxxxx;
endcase
end
if(store)
flash_we_n <= 1'b0;
if(sys_rst)
lsb <= 1'b0;
end
/*
* Timing of the flash chips:
* - typically 110ns address to output
* - 50ns write pulse width
*/
reg [3:0] counter;
reg counter_en;
reg counter_wr_mode;
wire counter_done = counter_wr_mode
? (counter == wr_timing)
: (counter == rd_timing);
always @(posedge sys_clk) begin
if(sys_rst)
counter <= 4'd0;
else begin
if(counter_en & ~counter_done)
counter <= counter + 4'd1;
else
counter <= 4'd0;
end
end
parameter IDLE = 2'd0;
parameter DELAYRD = 2'd1;
parameter DELAYWR = 2'd2;
parameter ACK = 2'd3;
reg [1:0] state;
reg [1:0] next_state;
always @(posedge sys_clk) begin
if(sys_rst)
state <= IDLE;
else
state <= next_state;
end
always @(*) begin
next_state = state;
counter_en = 1'b0;
counter_wr_mode = 1'b0;
load = 1'b0;
store = 1'b0;
wb_ack_o = 1'b0;
case(state)
IDLE: begin
if(wb_cyc_i & wb_stb_i) begin
if(wb_we_i)
next_state = DELAYWR;
else
next_state = DELAYRD;
end
end
DELAYRD: begin
counter_en = 1'b1;
if(counter_done) begin
load = 1'b1;
if(~two_cycle_transfer | lsb)
next_state = ACK;
end
end
DELAYWR: begin
counter_wr_mode = 1'b1;
counter_en = 1'b1;
store = 1'b1;
if(counter_done)
next_state = ACK;
end
ACK: begin
wb_ack_o = 1'b1;
next_state = IDLE;
end
endcase
end
endmodule
|
/*
* @file name: phyregister
* @author: ruige lee
* @email: wut.ruigeli@gmail.com
* @date: 2020-10-23 15:42:33
* @last modified by: ruige lee
* @last modified time: 2021-01-03 12:05:41
*/
/*
copyright (c) 2020 - 2021 ruige lee <wut.ruigeli@gmail.com>
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and
limitations under the license.
*/
`timescale 1 ns / 1 ps
`include "define.vh"
module phyregister (
input flush,
input [(64*`rp*32)-1:0] regfilex_dnxt,
output [(64*`rp*32)-1:0] regfilex_qout,
input [ `rb*32 - 1 :0 ] rnact_x_dnxt,
output [ `rb*32 - 1 :0 ] rnact_x_qout,
input [32*`rp-1 : 0] rnbufu_rename_set,
input [32*`rp-1 : 0] rnbufu_commit_rst,
output [32*`rp-1 : 0] rnbufu_qout,
input [32*`rp-1 : 0] wblog_writeb_set,
input [32*`rp-1 : 0] wblog_commit_rst,
output [32*`rp-1 : 0] wblog_qout,
input [ `rb*32 - 1 :0 ] archi_x_dnxt,
output [ `rb*32 - 1 :0 ] archi_x_qout,
input clk,
input rstn
);
//代表架构寄存器,指向128个寄存器中的地址,完成commit
//指向当前前端可以用的寄存器位置(只会读寄存器),读完不管,32个寄存器,每个可能深度为4
//架构寄存器在commit阶段更新,同时释放rename位置
generate
for ( genvar i = 0 ; i < 32; i = i + 1 ) begin
gen_dffr #(.dw(`rb)) archi_x ( .dnxt(archi_x_dnxt[`rb*i +: `rb]), .qout(archi_x_qout[`rb*i +: `rb]), .clk(clk), .rstn(rstn) );
end
endgenerate
//读操作不会改变重命名活动指针,
//读操作需要通过重命名活动指针寻找正确的寄存器,
//写操作需要改变重命名活动指针到一个新位置,需要是空的,否则挂起流水线
generate
for ( genvar i = 0 ; i < 32; i = i + 1 ) begin
gen_dffr #(.dw(`rb))
rnactive_x
(
.dnxt( {`rb{~flush}} & rnact_x_dnxt[`rb*i +: `rb]
| {`rb{flush}} & archi_x_qout[`rb*i +: `rb]),
.qout(rnact_x_qout[`rb*i +: `rb]),
.clk(clk),
.rstn(rstn)
);
end
endgenerate
//指示128-32个寄存器组中哪些被用了
wire [32*`rp-1 : 0] rnbufu_dnxt;
generate
for ( genvar i = 0; i < 32; i = i + 1 ) begin
//commit的复位,重命名的置位
assign rnbufu_dnxt[`rp*i +: `rp] = flush ?
(1'b1 << archi_x_qout[`rb*i +: `rb])
: ( ( rnbufu_qout[`rp*i +: `rp]
| rnbufu_rename_set[`rp*i +: `rp] )
& (~rnbufu_commit_rst[`rp*i +: `rp]));
gen_dffr #(.dw(`rp), .rstvalue(`rp'b1)) rnbufu ( .dnxt(rnbufu_dnxt[`rp*i +: `rp]), .qout(rnbufu_qout[`rp*i +: `rp]), .clk(clk), .rstn(rstn) );
end
endgenerate
//指示乱序写回是否完成,影响真数据冒险
wire [32*`rp-1 : 0] wblog_dnxt;
generate
for ( genvar i = 0; i < 32; i = i + 1 ) begin
//写回时置1,commit时复位
assign wblog_dnxt[`rp*i +: `rp] = flush ?
(1'b1 << archi_x_qout[`rb*i +: `rb])
: (
(wblog_qout[`rp*i +: `rp]
| wblog_writeb_set[`rp*i +: `rp])
& ~wblog_commit_rst[`rp*i +: `rp]);
gen_dffr #(.dw(`rp), .rstvalue(`rp'b1)) wblog ( .dnxt(wblog_dnxt[`rp*i +: `rp]), .qout(wblog_qout[`rp*i +: `rp]), .clk(clk), .rstn(rstn) );
end
endgenerate
regfiles i_regfiles
(
.regfilex_dnxt(regfilex_dnxt),
.regfilex_qout(regfilex_qout),
.clk(clk),
.rstn(rstn)
);
endmodule
|
/*
* MBus Copyright 2015 Regents of the University of Michigan
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
`include "include/mbus_def.v"
// simulate the always on register file which holds the assigned address
module mbus_addr_rf(
input RESETn,
`ifdef POWER_GATING
input RELEASE_ISO_FROM_SLEEP_CTRL,
`endif
output reg [`DYNA_WIDTH-1:0] ADDR_OUT,
input [`DYNA_WIDTH-1:0] ADDR_IN,
output reg ADDR_VALID,
input ADDR_WR_EN,
input ADDR_CLRn
);
wire RESETn_local = (RESETn & ADDR_CLRn);
`ifdef POWER_GATING
wire ADDR_UPDATE = (ADDR_WR_EN & (~RELEASE_ISO_FROM_SLEEP_CTRL));
`else
wire ADDR_UPDATE = ADDR_WR_EN;
`endif
always @ (posedge ADDR_UPDATE or negedge RESETn_local)
begin
if (~RESETn_local)
begin
ADDR_OUT <= {`DYNA_WIDTH{1'b1}};
ADDR_VALID <= 0;
end
else
begin
ADDR_OUT <= ADDR_IN;
ADDR_VALID <= 1;
end
end
endmodule
|
// cog_alu
/*
-------------------------------------------------------------------------------
copyright 2014 parallax inc.
this file is part of the hardware description for the propeller 1 design.
the propeller 1 design is free software: you can redistribute it and/or modify
it under the terms of the gnu general public license as published by the
free software foundation, either version 3 of the license, or (at your option)
any later version.
the propeller 1 design is distributed in the hope that it will be useful,
but without any warranty; without even the implied warranty of merchantability
or fitness for a particular purpose. see the gnu general public license for
more details.
you should have received a copy of the gnu general public license along with
the propeller 1 design. if not, see <http://www.gnu.org/licenses/>.
-------------------------------------------------------------------------------
*/
module cog_alu
(
input [5:0] i,
input [31:0] s,
input [31:0] d,
input [8:0] p,
input run,
input ci,
input zi,
input [31:0] bus_q,
input bus_c,
output wr,
output [31:0] r,
output co,
output zo
);
// rotation instructions
wire [31:0] dr = { d[0], d[1], d[2], d[3], d[4], d[5], d[6], d[7],
d[8], d[9], d[10], d[11], d[12], d[13], d[14], d[15],
d[16], d[17], d[18], d[19], d[20], d[21], d[22], d[23],
d[24], d[25], d[26], d[27], d[28], d[29], d[30], d[31] };
wire [7:0][30:0] ri = { 31'b0, // rev
{31{d[31]}}, // sar
{31{ci}}, // rcl
{31{ci}}, // rcr
31'b0, // shl
31'b0, // shr
dr[30:0], // rol
d[30:0] }; // ror
wire [62:0] rot = {ri[i[2:0]], i[0] ? dr : d} >> s[4:0];
wire [31:0] rotr = { rot[0], rot[1], rot[2], rot[3], rot[4], rot[5], rot[6], rot[7],
rot[8], rot[9], rot[10], rot[11], rot[12], rot[13], rot[14], rot[15],
rot[16], rot[17], rot[18], rot[19], rot[20], rot[21], rot[22], rot[23],
rot[24], rot[25], rot[26], rot[27], rot[28], rot[29], rot[30], rot[31] };
wire [31:0] rot_r = ~&i[2:1] && i[0] ? rotr : rot[31:0];
wire rot_c = ~&i[2:1] && i[0] ? dr[0] : d[0];
// mins/maxs/min/max/movs/movd/movi/jmpret/and/andn/or/xor/muxc/muxnc/muxz/muxnz
wire [1:0] log_s = i[2] ? {(i[1] ? zi : ci) ^ i[0], 1'b0} // muxc/muxnc/muxz/muxnz
: {i[1], ~^i[1:0]}; // and/andn/or/xor
wire [3:0][31:0] log_x = { d ^ s, // 11 = xor
d | s, // 10 = or mux 1
d & s, // 01 = and
d & ~s }; // 00 = andn mux 0
wire [3:0][31:0] mov_x = { d[31:9], p, // jmpret
s[8:0], d[22:0], // movi
d[31:18], s[8:0], d[8:0], // movd
d[31:9], s[8:0] }; // movs
wire [31:0] log_r = i[3] ? log_x[log_s] // and/andn/or/xor/muxc/muxnc/muxz/muxnz
: i[2] ? mov_x[i[1:0]] // movs/movd/movi/jmpret
: s; // mins/maxs/min/max
wire log_c = ^log_r; // c is parity of result
// add/sub instructions
wire [3:0] ads = {zi, ci, s[31], 1'b0};
wire add_sub = i[5:4] == 2'b10 ? ads[i[2:1]] ^ i[0] // add/sub/addabs/subabs/sumc/sumnc/sumz/sumnz/mov/neg/abs/absneg/negc/negnc/negz/negnz
: i[5:0] == 6'b110010 || // addx
i[5:0] == 6'b110100 || // adds
i[5:0] == 6'b110110 || // addsx
i[5:2] == 4'b1111 ? 1'b0 // waitcnt
: 1'b1; // other subs
wire add_ci = i[5:3] == 3'b110 && (i[2:0] == 3'b001 || i[1]) && ci || // cmpsx/addx/subx/addsx/subsx
i[4:3] == 2'b11 && i[1:0] == 2'b01; // djnz
wire [31:0] add_d = i[4:3] == 2'b01 ? 32'b0 : d; // mov/neg/abs/absneg/negc/negnc/negz/negnz
wire [31:0] add_s = i[4:0] == 5'b11001 || i[4:1] == 4'b1101 ? 32'hffffffff // djnz/tjnz/tjz
: add_sub ? ~s // subs
: s; // adds
wire [34:0] add_x = {1'b0, add_d[31], 1'b1, add_d[30:0], 1'b1} +
{1'b0, add_s[31], 1'b0, add_s[30:0], add_ci ^ add_sub};
wire [31:0] add_r = {add_x[33], add_x[31:1]};
wire add_co = add_x[34];
wire add_cm = !add_x[32];
wire add_cs = add_co ^ add_d[31] ^ add_s[31];
wire add_c = i[5:0] == 6'b111000 ? add_co // cmpsub
: i[5:3] == 3'b101 ? s[31] // source msb
: i[5] && i[3:2] == 2'b01 ? add_co ^ add_cm // overflow
: i[4:1] == 4'b1000 ? add_cs // signed
: add_co ^ add_sub; // unsigned
// write-cancel instructions
assign wr = i[5:2] == 4'b0100 ? i[0] ^ (i[1] ? !add_co : add_cs) // mins/maxs/min/max
: i[5:0] == 6'b111000 ? add_co // cmpsub
: 1'b1; // others
// r, c, z results
assign r = i[5] ? add_r
: i[4] ? log_r
: i[3] ? rot_r
: run || ~&p[8:4] ? bus_q
: 32'b0; // write 0's to last 16 registers during load;
assign co = i[5:3] == 3'b000 ? bus_c
: i[5:3] == 3'b001 ? rot_c
: i[5:3] == 3'b011 ? log_c
: add_c;
assign zo = ~|r && (zi || !(i[5:3] == 3'b110 && (i[2:0] == 3'b001 || i[1]))); // addx/subx/cmpx/addsx/subsx/cmpsx logically and the old z
endmodule
|
/* this file is part of jt12.
jt12 is free software: you can redistribute it and/or modify
it under the terms of the gnu general public license as published by
the free software foundation, either version 3 of the license, or
(at your option) any later version.
jt12 is distributed in the hope that it will be useful,
but without any warranty; without even the implied warranty of
merchantability or fitness for a particular purpose. see the
gnu general public license for more details.
you should have received a copy of the gnu general public license
along with jt12. if not, see <http://www.gnu.org/licenses/>.
author: jose tejada gomez. twitter: @topapate
version: 1.0
date: 1-31-2017
*/
// stages must be greater than 2
module jt12_sh #(parameter width=5, stages=24 )
(
input clk,
input clk_en /* synthesis direct_enable */,
input [width-1:0] din,
output [width-1:0] drop
);
reg [stages-1:0] bits[width-1:0];
genvar i;
generate
for (i=0; i < width; i=i+1) begin: bit_shifter
always @(posedge clk) if(clk_en) begin
bits[i] <= {bits[i][stages-2:0], din[i]};
end
assign drop[i] = bits[i][stages-1];
end
endgenerate
endmodule
|
//-----------------------------------------------------------------------------
// copyright 2022 ganling, 1577959692@qq.com
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
//-----------------------------------------------------------------------------
module sb_1m4s (
input clk,
input rst_n,
//--------------------------------------------
// master 0
//--------------------------------------------
// read address channel
input sb_arvalid_m0,
output sb_arready_m0,
input [31:0] sb_araddr_m0,
// read data channel
output sb_rvalid_m0,
input sb_rready_m0,
output [31:0] sb_rdata_m0,
// write channel
input sb_wvalid_m0,
output sb_wready_m0,
input [31:0] sb_waddr_m0,
input [31:0] sb_wdata_m0,
input [3:0] sb_wstrb_m0,
// write response channel
output sb_bvalid_m0,
input sb_bready_m0,
output sb_bresp_m0,
//--------------------------------------------
// slave 0
//--------------------------------------------
// read address channel
output sb_arvalid_s0,
input sb_arready_s0,
output [31:0] sb_araddr_s0,
// read data channel
input sb_rvalid_s0,
output sb_rready_s0,
input [31:0] sb_rdata_s0,
// write channel
output sb_wvalid_s0,
input sb_wready_s0,
output [31:0] sb_waddr_s0,
output [31:0] sb_wdata_s0,
output [3:0] sb_wstrb_s0,
// write response channel
input sb_bvalid_s0,
output sb_bready_s0,
input sb_bresp_s0,
//--------------------------------------------
// slave 1
//--------------------------------------------
// read address channel
output sb_arvalid_s1,
input sb_arready_s1,
output [31:0] sb_araddr_s1,
// read data channel
input sb_rvalid_s1,
output sb_rready_s1,
input [31:0] sb_rdata_s1,
// write channel
output sb_wvalid_s1,
input sb_wready_s1,
output [31:0] sb_waddr_s1,
output [31:0] sb_wdata_s1,
output [3:0] sb_wstrb_s1,
// write response channel
input sb_bvalid_s1,
output sb_bready_s1,
input sb_bresp_s1,
//--------------------------------------------
// slave 2
//--------------------------------------------
// read address channel
output sb_arvalid_s2,
input sb_arready_s2,
output [31:0] sb_araddr_s2,
// read data channel
input sb_rvalid_s2,
output sb_rready_s2,
input [31:0] sb_rdata_s2,
// write channel
output sb_wvalid_s2,
input sb_wready_s2,
output [31:0] sb_waddr_s2,
output [31:0] sb_wdata_s2,
output [3:0] sb_wstrb_s2,
// write response channel
input sb_bvalid_s2,
output sb_bready_s2,
input sb_bresp_s2,
//--------------------------------------------
// slave 3
//--------------------------------------------
// read address channel
output sb_arvalid_s3,
input sb_arready_s3,
output [31:0] sb_araddr_s3,
// read data channel
input sb_rvalid_s3,
output sb_rready_s3,
input [31:0] sb_rdata_s3,
// write channel
output sb_wvalid_s3,
input sb_wready_s3,
output [31:0] sb_waddr_s3,
output [31:0] sb_wdata_s3,
output [3:0] sb_wstrb_s3,
// write response channel
input sb_bvalid_s3,
output sb_bready_s3,
input sb_bresp_s3
);
reg arflag;
reg [3:0] arslv;
wire arslv0;
wire arslv1;
wire arslv2;
wire arslv3;
wire ar_ok;
wire r_ok;
assign arslv0 = sb_araddr_m0[31:30] == 2'b00;
assign arslv1 = sb_araddr_m0[31:30] == 2'b01;
assign arslv2 = sb_araddr_m0[31:30] == 2'b10;
assign arslv3 = sb_araddr_m0[31:30] == 2'b11;
assign ar_ok = sb_arvalid_m0 & sb_arready_m0;
assign r_ok = sb_rvalid_m0 & sb_rready_m0;
always @ (posedge clk or negedge rst_n) begin
if(!rst_n) begin
arflag <= 1'b0;
arslv <= 4'b0;
end
//else if(~arflag & ~ar_ok & ~r_ok) begin
// // do nothing
// arflag <= arflag;
// arslv <= arslv;
//end
else if(~arflag & ar_ok & ~r_ok) begin
// set the full flag and record slave number
arflag <= 1'b1;
arslv <= {sb_arvalid_s3,sb_arvalid_s2,sb_arvalid_s1,sb_arvalid_s0};
end
//else if(~arflag & ~ar_ok & r_ok) begin
// // this will never happen
// arflag <= arflag;
// arslv <= arslv;
//end
//else if(~arflag & ar_ok & r_ok) begin
// // this will never happen
// arflag <= arflag;
// arslv <= arslv;
//end
//else if(arflag & ~ar_ok & ~r_ok) begin
// // do nothing
// arflag <= arflag;
// arslv <= arslv;
//end
else if(arflag & ~ar_ok & r_ok) begin
// clear the full flag
arflag <= 1'b0;
// arslv <= arslv;
end
//else if(arflag & ar_ok & ~r_ok) begin
// // this will never happen
// arflag <= arflag;
// arslv <= arslv;
//end
else if(arflag & ar_ok & r_ok) begin
// full flag maintain and record new slave number
// arflag <= arflag;
arslv <= {sb_arvalid_s3,sb_arvalid_s2,sb_arvalid_s1,sb_arvalid_s0};
end
end
assign sb_arvalid_s0 = (arflag & ~r_ok) ? 1'b0 : arslv0 ? sb_arvalid_m0 : 1'b0;
assign sb_arvalid_s1 = (arflag & ~r_ok) ? 1'b0 : arslv1 ? sb_arvalid_m0 : 1'b0;
assign sb_arvalid_s2 = (arflag & ~r_ok) ? 1'b0 : arslv2 ? sb_arvalid_m0 : 1'b0;
assign sb_arvalid_s3 = (arflag & ~r_ok) ? 1'b0 : arslv3 ? sb_arvalid_m0 : 1'b0;
assign sb_araddr_s0 = sb_araddr_m0;
assign sb_araddr_s1 = sb_araddr_m0;
assign sb_araddr_s2 = sb_araddr_m0;
assign sb_araddr_s3 = sb_araddr_m0;
assign sb_arready_m0 = (arflag & ~r_ok) ? 1'b0 : (|({arslv3,arslv2,arslv1,arslv0} & {sb_arready_s3,sb_arready_s2,sb_arready_s1,sb_arready_s0}));
assign sb_rvalid_m0 = |(arslv & {sb_rvalid_s3,sb_rvalid_s2,sb_rvalid_s1,sb_rvalid_s0});
assign sb_rready_s0 = arslv[0] ? sb_rready_m0 : 1'b0;
assign sb_rready_s1 = arslv[1] ? sb_rready_m0 : 1'b0;
assign sb_rready_s2 = arslv[2] ? sb_rready_m0 : 1'b0;
assign sb_rready_s3 = arslv[3] ? sb_rready_m0 : 1'b0;
assign sb_rdata_m0 = ({32{arslv[3]}} & sb_rdata_s3)
| ({32{arslv[2]}} & sb_rdata_s2)
| ({32{arslv[1]}} & sb_rdata_s1)
| ({32{arslv[0]}} & sb_rdata_s0);
//-------------------------------------------------------------------------------------------------------------------------------------------------
reg wflag;
reg [3:0] wslv;
wire wslv0;
wire wslv1;
wire wslv2;
wire wslv3;
wire w_ok;
wire b_ok;
assign wslv0 = sb_waddr_m0[31:30] == 2'b00;
assign wslv1 = sb_waddr_m0[31:30] == 2'b01;
assign wslv2 = sb_waddr_m0[31:30] == 2'b10;
assign wslv3 = sb_waddr_m0[31:30] == 2'b11;
assign w_ok = sb_wvalid_m0 & sb_wready_m0;
assign b_ok = sb_bvalid_m0 & sb_bready_m0;
always @ (posedge clk or negedge rst_n) begin
if(!rst_n) begin
wflag <= 1'b0;
wslv <= 4'b0;
end
//else if(~wflag & ~w_ok & ~b_ok) begin
// // do nothing
// wflag <= wflag;
// wslv <= wslv;
//end
else if(~wflag & w_ok & ~b_ok) begin
// set the full flag and record slave number
wflag <= 1'b1;
wslv <= {sb_wvalid_s3,sb_wvalid_s2,sb_wvalid_s1,sb_wvalid_s0};
end
//else if(~wflag & ~w_ok & b_ok) begin
// // this will never happen
// wflag <= wflag;
// wslv <= wslv;
//end
//else if(~wflag & w_ok & b_ok) begin
// // this will never happen
// wflag <= wflag;
// wslv <= wslv;
//end
//else if(wflag & ~w_ok & ~b_ok) begin
// // do nothing
// wflag <= wflag;
// wslv <= wslv;
//end
else if(wflag & ~w_ok & b_ok) begin
// clear the full flag
wflag <= 1'b0;
// wslv <= wslv;
end
//else if(wflag & w_ok & ~b_ok) begin
// // this will never happen
// wflag <= wflag;
// wslv <= wslv;
//end
else if(wflag & w_ok & b_ok) begin
// full flag maintain and record new slave number
// wflag <= wflag;
wslv <= {sb_wvalid_s3,sb_wvalid_s2,sb_wvalid_s1,sb_wvalid_s0};
end
end
assign sb_wvalid_s0 = (wflag & ~b_ok) ? 1'b0 : wslv0 ? sb_wvalid_m0 : 1'b0;
assign sb_wvalid_s1 = (wflag & ~b_ok) ? 1'b0 : wslv1 ? sb_wvalid_m0 : 1'b0;
assign sb_wvalid_s2 = (wflag & ~b_ok) ? 1'b0 : wslv2 ? sb_wvalid_m0 : 1'b0;
assign sb_wvalid_s3 = (wflag & ~b_ok) ? 1'b0 : wslv3 ? sb_wvalid_m0 : 1'b0;
assign sb_waddr_s0 = sb_waddr_m0;
assign sb_waddr_s1 = sb_waddr_m0;
assign sb_waddr_s2 = sb_waddr_m0;
assign sb_waddr_s3 = sb_waddr_m0;
assign sb_wdata_s0 = sb_wdata_m0;
assign sb_wdata_s1 = sb_wdata_m0;
assign sb_wdata_s2 = sb_wdata_m0;
assign sb_wdata_s3 = sb_wdata_m0;
assign sb_wstrb_s0 = sb_wstrb_m0;
assign sb_wstrb_s1 = sb_wstrb_m0;
assign sb_wstrb_s2 = sb_wstrb_m0;
assign sb_wstrb_s3 = sb_wstrb_m0;
assign sb_wready_m0 = (wflag & ~b_ok) ? 1'b0 : (|({wslv3,wslv2,wslv1,wslv0} & {sb_wready_s3,sb_wready_s2,sb_wready_s1,sb_wready_s0}));
assign sb_bvalid_m0 = |(wslv & {sb_bvalid_s3,sb_bvalid_s2,sb_bvalid_s1,sb_bvalid_s0});
assign sb_bready_s0 = wslv[0] ? sb_bready_m0 : 1'b0;
assign sb_bready_s1 = wslv[1] ? sb_bready_m0 : 1'b0;
assign sb_bready_s2 = wslv[2] ? sb_bready_m0 : 1'b0;
assign sb_bready_s3 = wslv[3] ? sb_bready_m0 : 1'b0;
assign sb_bresp_m0 = (wslv[3] & sb_bresp_s3)
| (wslv[2] & sb_bresp_s2)
| (wslv[1] & sb_bresp_s1)
| (wslv[0] & sb_bresp_s0);
endmodule
|
/*
* Milkymist SoC
* Copyright (C) 2007, 2008, 2009 Sebastien Bourdeauducq
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, version 3 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
module ac97_transceiver(
input sys_clk,
input sys_rst,
input ac97_clk,
input ac97_rst_n,
/* to codec */
input ac97_sin,
output reg ac97_sout,
output reg ac97_sync,
/* to system, upstream */
output up_stb,
input up_ack,
output up_sync,
output up_data,
/* to system, downstream */
output down_ready,
input down_stb,
input down_sync,
input down_data
);
/* Upstream */
reg ac97_sin_r;
always @(negedge ac97_clk) ac97_sin_r <= ac97_sin;
reg ac97_syncfb_r;
always @(negedge ac97_clk) ac97_syncfb_r <= ac97_sync;
wire up_empty;
asfifo #(
.data_width(2),
.address_width(6)
) up_fifo (
.data_out({up_sync, up_data}),
.empty(up_empty),
.read_en(up_ack),
.clk_read(sys_clk),
.data_in({ac97_syncfb_r, ac97_sin_r}),
.full(),
.write_en(1'b1),
.clk_write(~ac97_clk),
.rst(sys_rst)
);
assign up_stb = ~up_empty;
/* Downstream */
/* Set SOUT and SYNC to 0 during RESET to avoid ATE/Test Mode */
wire ac97_sync_r;
always @(negedge ac97_rst_n, posedge ac97_clk) begin
if(~ac97_rst_n)
ac97_sync <= 1'b0;
else
ac97_sync <= ac97_sync_r;
end
wire ac97_sout_r;
always @(negedge ac97_rst_n, posedge ac97_clk) begin
if(~ac97_rst_n)
ac97_sout <= 1'b0;
else
ac97_sout <= ac97_sout_r;
end
wire down_full;
asfifo #(
.data_width(2),
.address_width(6)
) down_fifo (
.data_out({ac97_sync_r, ac97_sout_r}),
.empty(),
.read_en(1'b1),
.clk_read(ac97_clk),
.data_in({down_sync, down_data}),
.full(down_full),
.write_en(down_stb),
.clk_write(sys_clk),
.rst(sys_rst)
);
assign down_ready = ~down_full;
endmodule
|
/*
* @file name: gen_dffren
* @author: ruige lee
* @email: wut.ruigeli@gmail.com
* @date: 2020-12-28 10:04:54
* @last modified by: ruige lee
<<<<<<< head:element/gen_dffren.v
* @last modified time: 2020-12-28 10:09:35
=======
* @last modified time: 2021-01-03 12:04:22
>>>>>>> master:core/debug/dmi.v
*/
/*
copyright (c) 2020 - 2021 ruige lee <wut.ruigeli@gmail.com>
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and
limitations under the license.
*/
`timescale 1 ns / 1 ps
module gen_dffren # (
parameter dw = 32,
parameter rstvalue = {dw{1'b0}}
)
(
input [dw-1:0] dnxt,
output [dw-1:0] qout,
input en,
input clk,
input rstn
);
wire [dw-1:0] dffren_dnxt;
wire [dw-1:0] dffren_qout;
gen_dffr # ( .dw(dw), .rstvalue(rstvalue) ) dffren
(
.dnxt(dffren_dnxt),
.qout(dffren_qout),
.clk(clk),
.rstn(rstn)
);
assign dffren_dnxt = en ? dnxt : dffren_qout;
assign qout = dffren_qout;
endmodule
|
// vim: ts=4 sw=4 noexpandtab
/*
* Synchronize a signal to a clock
*
* Copyright (c) 2019 Michael Buesch <m@bues.ch>
*
* This program is free software; you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation; either version 2 of the License, or
* (at your option) any later version.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License along
* with this program; if not, write to the Free Software Foundation, Inc.,
* 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
*/
`ifndef SYNC_SIGNAL_MOD_V_
`define SYNC_SIGNAL_MOD_V_
module sync_signal(
input clk, /* clock */
input in, /* input signal */
output out, /* synchronized output signal */
output falling, /* synchronized falling edge output */
output rising, /* synchronized rising edge output */
);
reg [2:0] shiftreg;
initial begin
shiftreg <= 0;
end
always @(posedge clk) begin
shiftreg[2:1] <= shiftreg[1:0];
shiftreg[0] <= in;
end
assign out = shiftreg[1];
assign falling = shiftreg[2] & ~shiftreg[1];
assign rising = ~shiftreg[2] & shiftreg[1];
endmodule
`endif /* SYNC_SIGNAL_MOD_V_ */
|
/*
* Milkymist VJ SoC
* Copyright (C) 2007, 2008, 2009, 2010 Sebastien Bourdeauducq
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, version 3 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
module tmu2_vdiv(
input sys_clk,
input sys_rst,
output busy,
input pipe_stb_i,
output reg pipe_ack_o,
input signed [17:0] ax,
input signed [17:0] ay,
input signed [17:0] bx,
input signed [17:0] by,
input diff_cx_positive,
input [16:0] diff_cx,
input diff_cy_positive,
input [16:0] diff_cy,
input diff_dx_positive,
input [16:0] diff_dx,
input diff_dy_positive,
input [16:0] diff_dy,
input signed [11:0] drx,
input signed [11:0] dry,
input [10:0] dst_squareh,
output reg pipe_stb_o,
input pipe_ack_i,
output reg signed [17:0] ax_f,
output reg signed [17:0] ay_f,
output reg signed [17:0] bx_f,
output reg signed [17:0] by_f,
output reg diff_cx_positive_f,
output [16:0] diff_cx_q,
output [16:0] diff_cx_r,
output reg diff_cy_positive_f,
output [16:0] diff_cy_q,
output [16:0] diff_cy_r,
output reg diff_dx_positive_f,
output [16:0] diff_dx_q,
output [16:0] diff_dx_r,
output reg diff_dy_positive_f,
output [16:0] diff_dy_q,
output [16:0] diff_dy_r,
output reg signed [11:0] drx_f,
output reg signed [11:0] dry_f
);
/* Divider bank */
reg start;
wire ready;
tmu2_divider17 d_cx(
.sys_clk(sys_clk),
.sys_rst(sys_rst),
.start(start),
.dividend(diff_cx),
.divisor({6'd0, dst_squareh}),
.ready(ready),
.quotient(diff_cx_q),
.remainder(diff_cx_r)
);
tmu2_divider17 d_cy(
.sys_clk(sys_clk),
.sys_rst(sys_rst),
.start(start),
.dividend(diff_cy),
.divisor({6'd0, dst_squareh}),
.ready(),
.quotient(diff_cy_q),
.remainder(diff_cy_r)
);
tmu2_divider17 d_dx(
.sys_clk(sys_clk),
.sys_rst(sys_rst),
.start(start),
.dividend(diff_dx),
.divisor({6'd0, dst_squareh}),
.ready(),
.quotient(diff_dx_q),
.remainder(diff_dx_r)
);
tmu2_divider17 d_dy(
.sys_clk(sys_clk),
.sys_rst(sys_rst),
.start(start),
.dividend(diff_dy),
.divisor({6'd0, dst_squareh}),
.ready(),
.quotient(diff_dy_q),
.remainder(diff_dy_r)
);
/* Forward */
always @(posedge sys_clk) begin
if(start) begin
ax_f <= ax;
ay_f <= ay;
bx_f <= bx;
by_f <= by;
diff_cx_positive_f <= diff_cx_positive;
diff_cy_positive_f <= diff_cy_positive;
diff_dx_positive_f <= diff_dx_positive;
diff_dy_positive_f <= diff_dy_positive;
drx_f <= drx;
dry_f <= dry;
end
end
/* Glue logic */
reg state;
reg next_state;
parameter IDLE = 1'b0;
parameter WAIT = 1'b1;
always @(posedge sys_clk) begin
if(sys_rst)
state = IDLE;
else
state = next_state;
end
assign busy = state;
always @(*) begin
next_state = state;
start = 1'b0;
pipe_stb_o = 1'b0;
pipe_ack_o = 1'b0;
case(state)
IDLE: begin
pipe_ack_o = 1'b1;
if(pipe_stb_i) begin
start = 1'b1;
next_state = WAIT;
end
end
WAIT: begin
if(ready) begin
pipe_stb_o = 1'b1;
if(pipe_ack_i)
next_state = IDLE;
end
end
endcase
end
endmodule
|
// -*- verilog -*-
//
// USRP - Universal Software Radio Peripheral
//
// Copyright (C) 2007 Corgan Enterprises LLC
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or
// (at your option) any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA
//
`include "../../../../usrp/firmware/include/fpga_regs_common.v"
`include "../../../../usrp/firmware/include/fpga_regs_standard.v"
module radar_rx(clk_i,rst_i,ena_i,dbg_i,pulse_num_i,rx_in_i_i,
rx_in_q_i,rx_i_o,rx_q_o,rx_strobe_o);
input clk_i;
input rst_i;
input ena_i;
input dbg_i;
input [15:0] rx_in_i_i;
input [15:0] rx_in_q_i;
input [15:0] pulse_num_i;
output [15:0] rx_i_o;
output [15:0] rx_q_o;
output reg rx_strobe_o;
reg [15:0] count;
always @(posedge clk_i)
if (rst_i | ~ena_i)
count <= 16'b0;
else
count <= count + 16'b1;
wire [31:0] fifo_inp = dbg_i ? {count[15:0],pulse_num_i[15:0]} : {rx_in_i_i,rx_in_q_i};
// Buffer incoming samples every clock
wire [31:0] fifo_out;
reg fifo_ack;
wire fifo_empty;
// Use model if simulating, otherwise Altera Megacell
`ifdef SIMULATION
fifo_1clk #(32, 2048) buffer(.clock(clk_i),.sclr(rst_i),
.data(fifo_inp),.wrreq(ena_i),
.rdreq(fifo_ack),.q(fifo_out),
.empty(fifo_empty));
`else
fifo32_2k buffer(.clock(clk_i),.sclr(rst_i),
.data(fifo_inp),.wrreq(ena_i),
.rdreq(fifo_ack),.q(fifo_out),
.empty(fifo_empty));
`endif
// Write samples to rx_fifo every third clock
`define ST_FIFO_IDLE 3'b001
`define ST_FIFO_STROBE 3'b010
`define ST_FIFO_ACK 3'b100
reg [2:0] state;
always @(posedge clk_i)
if (rst_i)
begin
state <= `ST_FIFO_IDLE;
rx_strobe_o <= 1'b0;
fifo_ack <= 1'b0;
end
else
case (state)
`ST_FIFO_IDLE:
if (!fifo_empty)
begin
// Tell rx_fifo sample is ready
rx_strobe_o <= 1'b1;
state <= `ST_FIFO_STROBE;
end
`ST_FIFO_STROBE:
begin
rx_strobe_o <= 1'b0;
// Ack our FIFO
fifo_ack <= 1'b1;
state <= `ST_FIFO_ACK;
end
`ST_FIFO_ACK:
begin
fifo_ack <= 1'b0;
state <= `ST_FIFO_IDLE;
end
endcase // case(state)
assign rx_i_o = fifo_out[31:16];
assign rx_q_o = fifo_out[15:0];
endmodule // radar_rx
|
// spdx-filecopyrighttext: 2020 efabless corporation
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
// spdx-license-identifier: apache-2.0
`default_nettype none
/*
*-------------------------------------------------------------
*
* user_proj_example
*
* this is an example of a (trivially simple) user project,
* showing how the user project can connect to the logic
* analyzer, the wishbone bus, and the i/o pads.
*
* this project generates an integer count, which is output
* on the user area gpio pads (digital output only). the
* wishbone connection allows the project to be controlled
* (start and stop) from the management soc program.
*
* see the testbenches in directory "mprj_counter" for the
* example programs that drive this user project. the three
* testbenches are "io_ports", "la_test1", and "la_test2".
*
*-------------------------------------------------------------
*/
module user_proj_example #(
//parameter bits = 16 ,disable on ct-14-2023
parameter bits = 32
)(
`ifdef use_power_pins
inout vdda1, // user area 1 3.3v supply
inout vdda2, // user area 2 3.3v supply
inout vssa1, // user area 1 analog ground
inout vssa2, // user area 2 analog ground
inout vccd1, // user area 1 1.8v supply
inout vccd2, // user area 2 1.8v supply
inout vssd1, // user area 1 digital ground
inout vssd2, // user area 2 digital ground
`endif
// wishbone slave ports (wb mi a)
input wb_clk_i,
input wb_rst_i,
input wbs_stb_i,
input wbs_cyc_i,
input wbs_we_i,
input [3:0] wbs_sel_i,
input [31:0] wbs_dat_i,
input [31:0] wbs_adr_i,
output wbs_ack_o,
output [31:0] wbs_dat_o,
// logic analyzer signals
input [127:0] la_data_in,
output [127:0] la_data_out,
input [127:0] la_oenb,
// ios
input [38-1:0] io_in,
output [38-1:0] io_out,
output [38-1:0] io_oeb,
inout [37:0] analog_io,
// io pads (for isp project io)
output {mprj_io[37:37]} pwm_out, //(pwm_1)
inout {mprj_io[36:20]} sensor_io_out , //(cis_data: d9 - d0) , //(cis:piclk,hsync,vsync,xclk,rst),
input {mprj_io[26:25]} i2c_in_in , // {w_sda_i,w_scl_i};
output {mprj_io[26:25]} i2c_in_out , // {w_sda_o,w_scl_o};
input {mprj_io[19:19]} analog_ad_in , //ad1 convert analog input
output {mprj_io[18:18]} ntsc_out, //(dac_cabin)
input {mprj_io[15:10]} mipi_inout , //(mipi_clk_p,mipi_clk_n),(mipi_d1_p,mipi_d1_n),(mipi_d0_p,mipi_d0_n)
inout {mprj_io[5:4]} uart_rx_tx, //(uart0 tx,rx),
inout {mprj_io[3:0]} spi_rx_tx, //(sck,csb,sdi,sdo,)
input user_clock2,
// irq
output [2:0] irq
);
// assign clk & rst oct-15.2023
assign clk = user_clock2;
assign rst = wb_rst_i;
wire clk;
wire rst;
wire [bits-1:0] rdata;
wire [bits-1:0] wdata;
wire [bits-1:0] count;
wire valid;
wire [3:0] wstrb;
wire [bits-1:0] la_write;
// wb mi a
assign valid = wbs_cyc_i && wbs_stb_i;
assign wstrb = wbs_sel_i & {4{wbs_we_i}};
assign wbs_dat_o = {{(32-bits){1'b0}}, rdata};
assign wdata = wbs_dat_i[bits-1:0];
// io
assign io_out = mipi_para;
assign io_oeb = {(bits){rst}};
// irq
assign irq = 3'b000; // unused
// assuming la probes [65:64] are for controlling the count clk & reset
assign clk = (~la_oenb[64]) ? la_data_in[64]: wb_clk_i;
assign rst = (~la_oenb[65]) ? la_data_in[65]: wb_rst_i;
assign la_data_out = 128'h0000_0000_0000_0000_0000_0000_0000_0000;
wire w_sda_i,w_scl_i,w_sda_o,w_scl_o,w_sda_t,w_scl_t;
wire w_scl_dir, w_sda_dir;
// i2c mapping
assign io_out[26:25] = {w_sda_o,w_scl_o};
assign io_oeb[26] = w_sda_t ^ w_sda_dir; // the control from i2c will be inverted if w_sda_dir = 1
assign io_oeb[25] = w_scl_t ^ w_scl_dir;
assign w_sda_i = io_in[26];
assign w_scl_i = io_in[25];
assign io_out[37] = 0;
assign io_oeb[37] = 0;
peripheral_i2c_top i2c_protocal
(
.wb_clk_i (wb_clk_i ),
.wb_rst_i (wb_rst_i ),
.wbs_stb_i (wbs_stb_i),
.wbs_cyc_i (wbs_cyc_i),
.wbs_we_i (wbs_we_i ),
.wbs_sel_i (wbs_sel_i),
.wbs_dat_i (wbs_dat_i),
.wbs_adr_i (wbs_adr_i),
.wbs_ack_o (wbs_ack_o),
.wbs_dat_o (wbs_dat_o),
.sda_i (w_sda_i),
.scl_i (w_scl_i),
.sda_o (w_sda_o),
.scl_o (w_scl_o),
.sda_t (w_sda_t),
.scl_t (w_scl_t),
.spi_dir (w_spi_dir),
.scl_dir (w_scl_dir),
.sda_dir (w_sda_dir),
);
always @(posedge wb_clk_i)
begin
if (wb_rst_i)
begin
// 1.01: start bit detection
always @(negedge sda_i or snegedge sda_o)
begin
if (scl_o == 1 or scl_i == 1 )
begin
wbs_ack_o <= 1;
end
if (sda_dir == 1)
begin
assign wbs_dat_o = sda_i;
end
else if (sda_dir == 0)
begin
assign wbs_dat_o = sda_o;
end
end
// 1.02" start mipi ip & lvdstop marco ip
// module lvdstop marco ip for mipi_clk
lvdstop lvds_mipi_clk0
(
.vdd(vdd),
.gnd(gnd),
.c1(c1),
.inp(mipi_inout(15:15)),
.inn(mipi_inout(14:14)),
.vabiasn(vbiasn),
.out(clk_out),
)
// module lvdstop marco ip for mipi_data_0
lvdstop lvds_mipi_data_0
(
.vdd(vdd),
.gnd(gnd),
.c1(c1),
.inp(mipi_inout(11:11)),
.inn(mipi_inout(10:10)),
.vabiasn(vbiasn),
.out(mipi_d0_out),
)
//mipi-0 data in
mipi_csi_rx_packet_decoder_8b2lane mipi0_enable
(
.clk_i(clk_out)
.data_valid_i(data_valid_i)
.data_i(mipi_d0_out)
.data_0(data_0)
.output_valid_o(output_valid_o)
.packet_length_o(packet_length_o)
.packet_type_o(packet_type_o)
.output_valid_reg(output_valid_lane_0)
)
// isp module enable for lane0
ispcte_top
#(.bits(bits)) isp_lane0
( .pclk(pclk),
.rst_n(rst_n),
.([bits-1:0]in_raw([bits-1:0]output_valid_lane_0)),
.out_y(out_y_0),
.out_u(out_u_0),
.out_v(out_v_0)
)
// module dac_cabin_out_data
// ntsc_composite_top_de2 lane0_cabin_out
// module lvdstop marco ip for mipi_data_1
lvdstop lvds_mipi_data_1
(
.vdd(vdd),
.gnd(gnd),
.c1(c1),
.inp(mipi_inout(13:13)),
.inn(mipi_inout(12:12)),
.vabiasn(vbiasn),
.out(mipi_d1_out),
)
//mipi-1 data in
mipi_csi_rx_packet_decoder_8b2lane mipi1_enable
(
.clk_i(clk_out),
.data_valid_i(data_valid_i),
.data_i(mipi_d1_out),
.data_0(data_0),
.output_valid_o(output_valid_o),
.packet_length_o(packet_length_o),
.packet_type_o(packet_type_o),
.output_valid_reg(output_valid_lane_1),
)
// isp module enable for lane1
ispcte_top
#(.bits(bits)) isp_lane1
( .pclk(pclk),
.rst_n(rst_n),
.([bits-1:0]in_raw([bits-1:0]output_valid_lane_1)),
.out_y(out_y_1),
.out_u(out_u_1),
.out_v(out_v_1)
)
// module dac_cabin_out_data
// ntsc_composite_top_de2 lane0_cabin_out
assign wbs_dat_i = mipi_data_raw_hw
// module isp_top
end
end
endmodule //user_proj_example
`default_nettype wire
|
// copyright 2022 globalfoundries pdk authors
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
module gf180mcu_fd_sc_mcu9t5v0__fillcap_4( vdd, vss );
inout vdd, vss;
`ifdef functional // functional //
gf180mcu_fd_sc_mcu9t5v0__fillcap_4_func gf180mcu_fd_sc_mcu9t5v0__fillcap_4_behav_inst(.vdd(vdd),.vss(vss));
`else
gf180mcu_fd_sc_mcu9t5v0__fillcap_4_func gf180mcu_fd_sc_mcu9t5v0__fillcap_4_inst(.vdd(vdd),.vss(vss));
// spec_gates_begin
// spec_gates_end
specify
// specify_block_begin
// specify_block_end
endspecify
`endif
endmodule
|
// copyright 2022 globalfoundries pdk authors
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
module gf180mcu_fd_sc_mcu9t5v0__oai22_1( b2, b1, zn, a1, a2 );
input a1, a2, b1, b2;
output zn;
`ifdef functional // functional //
gf180mcu_fd_sc_mcu9t5v0__oai22_1_func gf180mcu_fd_sc_mcu9t5v0__oai22_1_behav_inst(.b2(b2),.b1(b1),.zn(zn),.a1(a1),.a2(a2));
`else
gf180mcu_fd_sc_mcu9t5v0__oai22_1_func gf180mcu_fd_sc_mcu9t5v0__oai22_1_inst(.b2(b2),.b1(b1),.zn(zn),.a1(a1),.a2(a2));
// spec_gates_begin
// spec_gates_end
specify
// specify_block_begin
if(b1===1'b0 && b2===1'b1)
// comb arc a1 --> zn
(a1 => zn) = (1.0,1.0);
if(b1===1'b1 && b2===1'b0)
// comb arc a1 --> zn
(a1 => zn) = (1.0,1.0);
if(b1===1'b1 && b2===1'b1)
// comb arc a1 --> zn
(a1 => zn) = (1.0,1.0);
ifnone
// comb arc a1 --> zn
(a1 => zn) = (1.0,1.0);
if(b1===1'b0 && b2===1'b1)
// comb arc a2 --> zn
(a2 => zn) = (1.0,1.0);
if(b1===1'b1 && b2===1'b0)
// comb arc a2 --> zn
(a2 => zn) = (1.0,1.0);
if(b1===1'b1 && b2===1'b1)
// comb arc a2 --> zn
(a2 => zn) = (1.0,1.0);
ifnone
// comb arc a2 --> zn
(a2 => zn) = (1.0,1.0);
if(a1===1'b0 && a2===1'b1)
// comb arc b1 --> zn
(b1 => zn) = (1.0,1.0);
if(a1===1'b1 && a2===1'b0)
// comb arc b1 --> zn
(b1 => zn) = (1.0,1.0);
if(a1===1'b1 && a2===1'b1)
// comb arc b1 --> zn
(b1 => zn) = (1.0,1.0);
ifnone
// comb arc b1 --> zn
(b1 => zn) = (1.0,1.0);
if(a1===1'b0 && a2===1'b1)
// comb arc b2 --> zn
(b2 => zn) = (1.0,1.0);
if(a1===1'b1 && a2===1'b0)
// comb arc b2 --> zn
(b2 => zn) = (1.0,1.0);
if(a1===1'b1 && a2===1'b1)
// comb arc b2 --> zn
(b2 => zn) = (1.0,1.0);
ifnone
// comb arc b2 --> zn
(b2 => zn) = (1.0,1.0);
// specify_block_end
endspecify
`endif
endmodule
|
/*
* Milkymist SoC
* Copyright (C) 2007, 2008, 2009 Sebastien Bourdeauducq
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, version 3 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
/* Program memory has 2048 25-bit words */
module pfpu_prog(
input sys_clk,
input count_rst,
output [6:0] a_addr,
output [6:0] b_addr,
output [3:0] opcode,
output [6:0] w_addr,
/* Control interface */
input c_en,
input [1:0] c_page,
input [8:0] c_offset,
output [31:0] c_do,
input [31:0] c_di,
input c_w_en,
output [10:0] pc
);
/* Infer single port RAM */
wire [10:0] mem_a;
wire [24:0] mem_di;
reg [24:0] mem_do;
wire mem_we;
reg [24:0] mem[0:2047];
always @(posedge sys_clk) begin
if(mem_we)
mem[mem_a] <= mem_di;
mem_do <= mem[mem_a];
end
/* Control logic */
reg [10:0] counter;
always @(posedge sys_clk) begin
if(count_rst)
counter <= 10'd0;
else
counter <= counter + 10'd1;
end
assign mem_a = c_en ? {c_page, c_offset} : counter;
assign c_do = {7'd0, mem_do};
assign mem_di = c_di[24:0];
assign mem_we = c_en & c_w_en;
assign a_addr = mem_do[24:18]; // 7
assign b_addr = mem_do[17:11]; // 7
assign opcode = mem_do[10:7]; // 4
assign w_addr = mem_do[6:0]; // 7
assign pc = counter;
endmodule
|
// *!***************************************************************************
// *! copyright 2019 international business machines
// *!
// *! licensed under the apache license, version 2.0 (the "license");
// *! you may not use this file except in compliance with the license.
// *! you may obtain a copy of the license at
// *! http://www.apache.org/licenses/license-2.0
// *!
// *! the patent license granted to you in section 3 of the license, as applied
// *! to the "work," hereby includes implementations of the work in physical form.
// *!
// *! unless required by applicable law or agreed to in writing, the reference design
// *! distributed under the license is distributed on an "as is" basis,
// *! without warranties or conditions of any kind, either express or implied.
// *! see the license for the specific language governing permissions and
// *! limitations under the license.
// *!***************************************************************************
module capi_put_align_delay_plus
(input clk,
input reset,
output i_r,
input i_v,
input [0:127] i_d,
input [0:3] i_c,
input i_e,
output i_a_r,
input i_a_v,
input [0:3] i_a_d,
input o_r,
input o_cmd_gen_r,
output o_v,
output [0:127] o_d,
output [0:3] o_c,
output o_e,
output o_array_we,
output o_s0_array_we,
output o_offset_write_cycle
);
assign i_a_r = i_r;
// i_c==0 really means 4
wire s0_v, s0_r, s0_e;
wire [0:3] s0_c;
wire [0:127] s0_d;
wire put_data_burp_v;
wire s0_put_data_burp_v;
wire s1_put_data_burp_v;
base_aburp#(.width(128+4+1)) is0_burp
(.clk(clk),.reset(reset),
.i_v(i_v),.i_r(i_r),.i_d({i_d,i_c,i_e}),
.o_v(s0_v),.o_r(s0_r),.o_d({s0_d,s0_c,s0_e}),.burp_v(put_data_burp_v)
);
base_vlat#(.width(2)) ibeat_inst(.clk(clk), .reset(reset), .din({put_data_burp_v,s0_put_data_burp_v}), .q({s0_put_data_burp_v,s1_put_data_burp_v}));
wire s1_valid;
wire s0_c_zero = s0_c == 4'b0;
wire [0:4] s0_ec = {s0_c_zero,s0_c};
wire s1b_v, s1b_r;
wire [0:127] s1b_d;
wire [0:4] s1b_c;
wire s1b_e;
wire s1_v, s1_r;
base_alatch#(.width(128+5+1)) is1_lat
(.clk(clk),.reset(reset),
.i_v(s0_v),.i_r(s0_r),.i_d({s0_d,s0_ec,s0_e}),
.o_v(s1_v),.o_r(s1_r),.o_d({s1b_d,s1b_c,s1b_e})
);
wire offset_eq0 = (i_a_d == 4'h0);
wire s0_offset_eq0 , s1_offset_eq0;
wire offset_write_cycle = offset_eq0 & ~s0_offset_eq0;
wire [0:127] s1_prv_d;
base_vlat_en#(.width(128)) is0_lat
(.clk(clk),.reset(reset),.din(s1b_d),.q(s1_prv_d),.enable(s1_v & s1_r & ~s1b_e));
wire s1_5_v,s1_5_r,s1_5_b_e;
wire [0:4] s1_5_b_c ;
wire [0:127] s1_5_prv_d;
base_alatch#(.width(4+1)) is1_5_lat
(.clk(clk),.reset(reset),
.i_v(s1_v),.i_r(),.i_d({s1b_c[1:4],s1b_e}),
.o_v(s1_5_v),.o_r(s1_5_r),.o_d({s1_5_b_c[1:4],a1_5_b_e})
);
base_vlat_en#(.width(128)) is15_lat
(.clk(clk),.reset(reset),.din(s1_prv_d),.q(s1_5_prv_d),.enable((s1_v & s1_r) | offset_write_cycle));
wire [0:128*16-1] s1_mux_in;
genvar i;
assign s1_mux_in[0:127] = s1b_d;
generate
for(i=1; i<16; i=i+1)
begin : gen1
wire [0:127] s1_in = {s1_prv_d[((16-i)*8):127],s1b_d[0:(16-i)*8-1]};
assign s1_mux_in[128*i:128*(i+1)-1] = s1_in;
end
endgenerate
wire [0:128*16-1] s1_5_mux_in;
assign s1_5_mux_in[0:127] = s1_prv_d;
generate
for(i=1; i<16; i=i+1)
begin : gen1_5
wire [0:127] s1_5_in = {s1_5_prv_d[((16-i)*8):127],s1_prv_d[0:(16-i)*8-1]};
assign s1_5_mux_in[128*i:128*(i+1)-1] = s1_5_in;
end
endgenerate
wire [0:3] s1_c = 4'd0;
wire [0:127] s1_d;
base_emux#(.ways(16),.width(128)) is1_mux
(.sel(i_a_d),
.din(s1_mux_in),
.dout(s1_d)
);
wire [0:127] s1_5_d;
base_emux#(.ways(16),.width(128)) is1_5s1_mux
(.sel(i_a_d),
.din(s1_5_mux_in),
.dout(s1_5_d)
);
base_alatch#(.width(128)) is2_5_lat
(.clk(clk),.reset(reset),
.i_v(s1_5_v),.i_r(s1_5_r),.i_d({s1_5_d}),
.o_v(),.o_r(o_r & o_cmd_gen_r),.o_d({o_d})
);
base_alatch#(.width(4+1)) is2_lat
(.clk(clk),.reset(reset),
.i_v(s1_v),.i_r(s1_r),.i_d({s1b_c[1:4],s1b_e}),
.o_v(o_v),.o_r(o_r & o_cmd_gen_r),.o_d({o_c,o_e})
);
base_vlat#(.width(2),.rstv(3'b111)) ipoffset(.clk(clk), .reset(reset), .din({offset_eq0,s0_offset_eq0}), .q({s0_offset_eq0,s1_offset_eq0}));
wire array_we = (o_v & ~o_e & o_r) | offset_write_cycle ;
wire s0_array_we, s1_array_we;
base_vlat#(.width(2)) iarray_we(.clk(clk), .reset(reset), .din({array_we,s0_array_we}), .q({s0_array_we,s1_array_we}));
assign o_array_we = s0_array_we;
assign o_s0_array_we = s1_array_we;
assign o_offset_write_cycle = offset_write_cycle;
endmodule // capi_get_align
|
/*
whirlwind nes - nes compatible fpga core
copyright (c) 2020 anthony westbrook (twestbrook@oursyntheticdreams.com)
this program is free software; you can redistribute it and/or modify
it under the terms of the gnu general public license as published by
the free software foundation; either version 3 of the license, or
(at your option) any later version.
this program is distributed in the hope that it will be useful,
but without any warranty; without even the implied warranty of
merchantability or fitness for a particular purpose. see the
gnu general public license for more details.
you should have received a copy of the gnu general public license
along with this program; if not, write to the free software foundation,
inc., 51 franklin street, fifth floor, boston, ma 02110-1301 usa
*/
module joy(
input cpu_clk_in,
input cpu_read_in,
input cpu_write_in,
input [15:0] cpu_address_in,
input [7:0] cpu_data_in,
input [5:0] joystick_in,
input [3:0] buttons_in,
output reg cpu_data_en_out,
output reg [7:0] cpu_data_out,
input [9:0] switches_in,
output reg [15:0] debug_out
);
localparam io_joy_start = 16'h4016;
localparam io_joy_size = 16'h0001;
localparam io_joy_status = 16'h4016;
localparam joy_up = 0;
localparam joy_left = 1;
localparam joy_right = 2;
localparam joy_down = 3;
localparam joy_button_a = 4;
localparam joy_button_b = 5;
reg strobe;
reg [3:0] bit_pos;
reg [7:0] state;
wire io_enable;
assign io_enable = (cpu_address_in >= io_joy_start) && (cpu_address_in < (io_joy_start + io_joy_size));
// process cpu io
task cpu_io;
reg update_registers;
begin
update_registers = 0;
if (io_enable) begin
// writes
if (cpu_write_in) begin
if (cpu_address_in == io_joy_status) begin
state <= {joystick_in[joy_right], joystick_in[joy_left], joystick_in[joy_down], joystick_in[joy_up], buttons_in[0], buttons_in[1], joystick_in[joy_button_b], joystick_in[joy_button_a]};
strobe <= cpu_data_in[0];
bit_pos <= 0;
end
end
// reads
if (cpu_read_in) begin
// provide data for status register
if (cpu_address_in == io_joy_status) begin
if (strobe) begin
cpu_data_out <= {7'b0000000, ~joystick_in[joy_button_a]};
end
else if (bit_pos < 8) begin
cpu_data_out <= {7'b0000000, ~state[bit_pos]};
bit_pos <= bit_pos + 4'h1;
end
else begin
cpu_data_out <= 8'h01;
end
cpu_data_en_out <= 1;
end
end
end
end
endtask
task init_output;
begin
cpu_data_out <= 0;
cpu_data_en_out <= 0;
end
endtask
initial begin
strobe = 0;
bit_pos = 4'h0;
state = 8'h00;
end
// cpu clock - process logic and io
always @ (posedge cpu_clk_in) begin
init_output();
cpu_io();
end
endmodule
|
/*copyright 2020-2021 t-head semiconductor co., ltd.
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and
limitations under the license.
*/
module multiplier_33x33_partial(
mult_round,
mult_sub,
multiplicand,
multiplier,
result_0,
result_1
);
// &ports; @21
input [31:0] mult_round;
input mult_sub;
input [32:0] multiplicand;
input [32:0] multiplier;
output [68:0] result_0;
output [68:0] result_1;
// ®s; @22
// &wires; @23
wire [65:0] data_for_acc;
wire [34:0] data_for_code;
wire [65:0] ex1_c0_0;
wire [65:0] ex1_c0_1;
wire [65:0] ex1_c0_2;
wire [65:0] ex1_c0_3;
wire [65:0] ex1_c0_4;
wire [65:0] ex1_c0_5;
wire [65:0] ex1_c1_0;
wire [65:0] ex1_c1_1;
wire [65:0] ex1_c1_2;
wire [65:0] ex1_p0_0_0;
wire [65:0] ex1_p0_0_1;
wire [65:0] ex1_p0_0_2;
wire [65:0] ex1_p0_0_3;
wire [65:0] ex1_p0_0_cout;
wire [65:0] ex1_p0_0_xor;
wire [65:0] ex1_p0_1_0;
wire [65:0] ex1_p0_1_1;
wire [65:0] ex1_p0_1_2;
wire [65:0] ex1_p0_2_0;
wire [65:0] ex1_p0_2_1;
wire [65:0] ex1_p0_2_2;
wire [65:0] ex1_p0_3_0;
wire [65:0] ex1_p0_3_1;
wire [65:0] ex1_p0_3_2;
wire [65:0] ex1_p0_4_0;
wire [65:0] ex1_p0_4_1;
wire [65:0] ex1_p0_4_2;
wire [65:0] ex1_p0_5_0;
wire [65:0] ex1_p0_5_1;
wire [65:0] ex1_p0_5_2;
wire [65:0] ex1_p1_0_0;
wire [65:0] ex1_p1_0_1;
wire [65:0] ex1_p1_0_2;
wire [65:0] ex1_p1_0_3;
wire [65:0] ex1_p1_0_cout;
wire [65:0] ex1_p1_0_xor;
wire [65:0] ex1_p1_1_0;
wire [65:0] ex1_p1_1_1;
wire [65:0] ex1_p1_1_2;
wire [65:0] ex1_p1_1_3;
wire [65:0] ex1_p1_1_cout;
wire [65:0] ex1_p1_1_xor;
wire [65:0] ex1_p1_2_0;
wire [65:0] ex1_p1_2_1;
wire [65:0] ex1_p1_2_2;
wire [65:0] ex1_p1_2_3;
wire [65:0] ex1_p1_2_cout;
wire [65:0] ex1_p1_2_xor;
wire [66:0] ex1_p2_0_0;
wire [66:0] ex1_p2_0_1;
wire [66:0] ex1_p2_0_2;
wire [66:0] ex1_p2_1_0;
wire [66:0] ex1_p2_1_1;
wire [66:0] ex1_p2_1_2;
wire [65:0] ex1_s0_0;
wire [65:0] ex1_s0_1;
wire [65:0] ex1_s0_2;
wire [65:0] ex1_s0_3;
wire [65:0] ex1_s0_4;
wire [65:0] ex1_s0_5;
wire [65:0] ex1_s1_0;
wire [65:0] ex1_s1_1;
wire [65:0] ex1_s1_2;
wire [66:0] ex2_c2_0;
wire [66:0] ex2_c2_1;
wire [67:0] ex2_c3_0;
wire [66:0] ex2_p2_0_0;
wire [66:0] ex2_p2_0_1;
wire [66:0] ex2_p2_0_2;
wire [66:0] ex2_p2_1_0;
wire [66:0] ex2_p2_1_1;
wire [66:0] ex2_p2_1_2;
wire [67:0] ex2_p3_0_0;
wire [67:0] ex2_p3_0_1;
wire [67:0] ex2_p3_0_2;
wire [67:0] ex2_p3_0_3;
wire [67:0] ex2_p3_0_cout;
wire [67:0] ex2_p3_0_xor;
wire [66:0] ex2_s2_0;
wire [66:0] ex2_s2_1;
wire [67:0] ex2_s3_0;
wire [1 :0] h0;
wire [1 :0] h1;
wire [1 :0] h10;
wire [1 :0] h11;
wire [1 :0] h12;
wire [1 :0] h13;
wire [1 :0] h14;
wire [1 :0] h15;
wire [1 :0] h16;
wire [1 :0] h2;
wire [1 :0] h3;
wire [1 :0] h4;
wire [1 :0] h5;
wire [1 :0] h6;
wire [1 :0] h7;
wire [1 :0] h8;
wire [1 :0] h9;
wire [31:0] mult_round;
wire [32:0] mult_src;
wire mult_sub;
wire [32:0] multiplicand;
wire [32:0] multiplier;
wire [32:0] part_product0;
wire [32:0] part_product1;
wire [32:0] part_product10;
wire [32:0] part_product11;
wire [32:0] part_product12;
wire [32:0] part_product13;
wire [32:0] part_product14;
wire [32:0] part_product15;
wire [32:0] part_product16;
wire [32:0] part_product2;
wire [32:0] part_product3;
wire [32:0] part_product4;
wire [32:0] part_product5;
wire [32:0] part_product6;
wire [32:0] part_product7;
wire [32:0] part_product8;
wire [32:0] part_product9;
wire [68:0] result_0;
wire [68:0] result_1;
wire [16:0] sign_not;
parameter src0_width = 33;
parameter src1_width = 33;
parameter dst_width = 66;
assign mult_src[src1_width-1:0] = mult_sub ? (~multiplier[src1_width-1:0]):multiplier[src1_width-1:0];
assign data_for_code[src1_width+1:0] = {mult_src[src1_width-1],mult_src[src1_width-1:0],1'b0};
assign data_for_acc[dst_width-1:0] = mult_sub ?
{{src1_width{multiplicand[src0_width-1]}},multiplicand[src0_width-1:0]} :
{dst_width{1'b0}};
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit0"); @37
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit0 (
.a (multiplicand[32:0] ),
.code (data_for_code[2:0] ),
.h (h0[1:0] ),
.product (part_product0[32:0]),
.sn (sign_not[0] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @38
// .code(data_for_code[2:0]), @39
// .product(part_product0[src0_width-1:0]), @40
// .h(h0[1:0]), @41
// .sn(sign_not[0])); @42
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit1"); @44
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit1 (
.a (multiplicand[32:0] ),
.code (data_for_code[4:2] ),
.h (h1[1:0] ),
.product (part_product1[32:0]),
.sn (sign_not[1] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @45
// .code(data_for_code[4:2]), @46
// .product(part_product1[src0_width-1:0]), @47
// .h(h1[1:0]), @48
// .sn(sign_not[1])); @49
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit2"); @51
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit2 (
.a (multiplicand[32:0] ),
.code (data_for_code[6:4] ),
.h (h2[1:0] ),
.product (part_product2[32:0]),
.sn (sign_not[2] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @52
// .code(data_for_code[6:4]), @53
// .product(part_product2[src0_width-1:0]), @54
// .h(h2[1:0]), @55
// .sn(sign_not[2])); @56
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit3"); @58
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit3 (
.a (multiplicand[32:0] ),
.code (data_for_code[8:6] ),
.h (h3[1:0] ),
.product (part_product3[32:0]),
.sn (sign_not[3] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @59
// .code(data_for_code[8:6]), @60
// .product(part_product3[src0_width-1:0]), @61
// .h(h3[1:0]), @62
// .sn(sign_not[3])); @63
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit4"); @65
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit4 (
.a (multiplicand[32:0] ),
.code (data_for_code[10:8]),
.h (h4[1:0] ),
.product (part_product4[32:0]),
.sn (sign_not[4] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @66
// .code(data_for_code[10:8]), @67
// .product(part_product4[src0_width-1:0]), @68
// .h(h4[1:0]), @69
// .sn(sign_not[4])); @70
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit5"); @72
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit5 (
.a (multiplicand[32:0] ),
.code (data_for_code[12:10]),
.h (h5[1:0] ),
.product (part_product5[32:0] ),
.sn (sign_not[5] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @73
// .code(data_for_code[12:10]), @74
// .product(part_product5[src0_width-1:0]), @75
// .h(h5[1:0]), @76
// .sn(sign_not[5])); @77
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit6"); @79
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit6 (
.a (multiplicand[32:0] ),
.code (data_for_code[14:12]),
.h (h6[1:0] ),
.product (part_product6[32:0] ),
.sn (sign_not[6] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @80
// .code(data_for_code[14:12]), @81
// .product(part_product6[src0_width-1:0]), @82
// .h(h6[1:0]), @83
// .sn(sign_not[6])); @84
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit7"); @86
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit7 (
.a (multiplicand[32:0] ),
.code (data_for_code[16:14]),
.h (h7[1:0] ),
.product (part_product7[32:0] ),
.sn (sign_not[7] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @87
// .code(data_for_code[16:14]), @88
// .product(part_product7[src0_width-1:0]), @89
// .h(h7[1:0]), @90
// .sn(sign_not[7])); @91
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit8"); @93
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit8 (
.a (multiplicand[32:0] ),
.code (data_for_code[18:16]),
.h (h8[1:0] ),
.product (part_product8[32:0] ),
.sn (sign_not[8] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @94
// .code(data_for_code[18:16]), @95
// .product(part_product8[src0_width-1:0]), @96
// .h(h8[1:0]), @97
// .sn(sign_not[8])); @98
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit9"); @100
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit9 (
.a (multiplicand[32:0] ),
.code (data_for_code[20:18]),
.h (h9[1:0] ),
.product (part_product9[32:0] ),
.sn (sign_not[9] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @101
// .code(data_for_code[20:18]), @102
// .product(part_product9[src0_width-1:0]), @103
// .h(h9[1:0]), @104
// .sn(sign_not[9])); @105
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit10"); @107
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit10 (
.a (multiplicand[32:0] ),
.code (data_for_code[22:20]),
.h (h10[1:0] ),
.product (part_product10[32:0]),
.sn (sign_not[10] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @108
// .code(data_for_code[22:20]), @109
// .product(part_product10[src0_width-1:0]), @110
// .h(h10[1:0]), @111
// .sn(sign_not[10])); @112
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit11"); @114
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit11 (
.a (multiplicand[32:0] ),
.code (data_for_code[24:22]),
.h (h11[1:0] ),
.product (part_product11[32:0]),
.sn (sign_not[11] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @115
// .code(data_for_code[24:22]), @116
// .product(part_product11[src0_width-1:0]), @117
// .h(h11[1:0]), @118
// .sn(sign_not[11])); @119
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit12"); @121
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit12 (
.a (multiplicand[32:0] ),
.code (data_for_code[26:24]),
.h (h12[1:0] ),
.product (part_product12[32:0]),
.sn (sign_not[12] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @122
// .code(data_for_code[26:24]), @123
// .product(part_product12[src0_width-1:0]), @124
// .h(h12[1:0]), @125
// .sn(sign_not[12])); @126
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit13"); @128
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit13 (
.a (multiplicand[32:0] ),
.code (data_for_code[28:26]),
.h (h13[1:0] ),
.product (part_product13[32:0]),
.sn (sign_not[13] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @129
// .code(data_for_code[28:26]), @130
// .product(part_product13[src0_width-1:0]), @131
// .h(h13[1:0]), @132
// .sn(sign_not[13])); @133
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit14"); @135
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit14 (
.a (multiplicand[32:0] ),
.code (data_for_code[30:28]),
.h (h14[1:0] ),
.product (part_product14[32:0]),
.sn (sign_not[14] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @136
// .code(data_for_code[30:28]), @137
// .product(part_product14[src0_width-1:0]), @138
// .h(h14[1:0]), @139
// .sn(sign_not[14])); @140
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit15"); @142
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit15 (
.a (multiplicand[32:0] ),
.code (data_for_code[32:30]),
.h (h15[1:0] ),
.product (part_product15[32:0]),
.sn (sign_not[15] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @143
// .code(data_for_code[32:30]), @144
// .product(part_product15[src0_width-1:0]), @145
// .h(h15[1:0]), @146
// .sn(sign_not[15])); @147
// &instance("booth_code_33_bit", "x_pa_dsp_mult_booth_code_33_bit16"); @149
booth_code_33_bit x_pa_dsp_mult_booth_code_33_bit16 (
.a (multiplicand[32:0] ),
.code (data_for_code[34:32]),
.h (h16[1:0] ),
.product (part_product16[32:0]),
.sn (sign_not[16] )
);
// &connect(.a(multiplicand[src0_width-1:0]), @150
// .code(data_for_code[34:32]), @151
// .product(part_product16[src0_width-1:0]), @152
// .h(h16[1:0]), @153
// .sn(sign_not[16])); @154
//=============================================================================
// prepare for the the first compression
//=============================================================================
assign ex1_p0_0_0[dst_width-1:0] = data_for_acc[dst_width-1:0];
assign ex1_p0_0_1[dst_width-1:0] = { {30{1'b0}}, sign_not[0],{2{!sign_not[0]}},part_product0[src0_width-1:0]};
assign ex1_p0_0_2[dst_width-1:0] = { {29{1'b0}},1'b1, sign_not[1], part_product1[src0_width-1:0], h0[1:0]};
assign ex1_p0_0_3[dst_width-1:0] = { {src0_width-1{1'b0}}, h16[1:0],mult_round[31:0]};
assign ex1_p0_1_0[dst_width-1:0] = { {27{1'b0}},1'b1, sign_not[2], part_product2[src0_width-1:0], h1[1:0], { 2{1'b0}} };
assign ex1_p0_1_1[dst_width-1:0] = { {25{1'b0}},1'b1, sign_not[3], part_product3[src0_width-1:0], h2[1:0], { 4{1'b0}} };
assign ex1_p0_1_2[dst_width-1:0] = { {23{1'b0}},1'b1, sign_not[4], part_product4[src0_width-1:0], h3[1:0], { 6{1'b0}} };
assign ex1_p0_2_0[dst_width-1:0] = { {21{1'b0}},1'b1, sign_not[5], part_product5[src0_width-1:0], h4[1:0], { 8{1'b0}} };
assign ex1_p0_2_1[dst_width-1:0] = { {19{1'b0}},1'b1, sign_not[6], part_product6[src0_width-1:0], h5[1:0], {10{1'b0}} };
assign ex1_p0_2_2[dst_width-1:0] = { {17{1'b0}},1'b1, sign_not[7], part_product7[src0_width-1:0], h6[1:0], {12{1'b0}} };
assign ex1_p0_3_0[dst_width-1:0] = { {15{1'b0}},1'b1, sign_not[8], part_product8[src0_width-1:0], h7[1:0], {14{1'b0}} };
assign ex1_p0_3_1[dst_width-1:0] = { {13{1'b0}},1'b1, sign_not[9], part_product9[src0_width-1:0], h8[1:0], {16{1'b0}} };
assign ex1_p0_3_2[dst_width-1:0] = { {11{1'b0}},1'b1, sign_not[10], part_product10[src0_width-1:0],h9[1:0], {18{1'b0}} };
assign ex1_p0_4_0[dst_width-1:0] = { { 9{1'b0}},1'b1, sign_not[11], part_product11[src0_width-1:0],h10[1:0],{20{1'b0}} };
assign ex1_p0_4_1[dst_width-1:0] = { { 7{1'b0}},1'b1, sign_not[12], part_product12[src0_width-1:0],h11[1:0],{22{1'b0}} };
assign ex1_p0_4_2[dst_width-1:0] = { { 5{1'b0}},1'b1, sign_not[13], part_product13[src0_width-1:0],h12[1:0],{24{1'b0}} };
assign ex1_p0_5_0[dst_width-1:0] = { { 3{1'b0}},1'b1, sign_not[14], part_product14[src0_width-1:0],h13[1:0],{26{1'b0}} };
assign ex1_p0_5_1[dst_width-1:0] = { { 1{1'b0}},1'b1, sign_not[15], part_product15[src0_width-1:0],h14[1:0],{28{1'b0}} };
assign ex1_p0_5_2[dst_width-1:0] = { sign_not[16], part_product16[src0_width-1:0],h15[1:0],{30{1'b0}} };
//=========== 19 src to 12 src==========//
assign ex1_p0_0_cout[dst_width-1:0] = (ex1_p0_0_0[dst_width-1:0] & ex1_p0_0_1[dst_width-1:0]) |
(ex1_p0_0_1[dst_width-1:0] & ex1_p0_0_2[dst_width-1:0]) |
(ex1_p0_0_0[dst_width-1:0] & ex1_p0_0_2[dst_width-1:0]) ;
assign ex1_p0_0_xor[dst_width-1:0] = (ex1_p0_0_0[dst_width-1:0] ^ ex1_p0_0_1[dst_width-1:0]) ^
(ex1_p0_0_2[dst_width-1:0] ^ ex1_p0_0_3[dst_width-1:0]);
assign ex1_s0_0[dst_width-1:0] = ex1_p0_0_xor[dst_width-1:0]^ {ex1_p0_0_cout[dst_width-2:0],1'b0};
assign ex1_c0_0[dst_width-1:0] = ex1_p0_0_xor[dst_width-1:0]& {ex1_p0_0_cout[dst_width-2:0],1'b0}|
(~ex1_p0_0_xor[dst_width-1:0]& ex1_p0_0_3[dst_width-1:0]);
assign ex1_s0_1[dst_width-1:0] = ex1_p0_1_0[dst_width-1:0] ^
ex1_p0_1_1[dst_width-1:0] ^
ex1_p0_1_2[dst_width-1:0];
assign ex1_c0_1[dst_width-1:0] = ex1_p0_1_0[dst_width-1:0] & ex1_p0_1_1[dst_width-1:0] |
ex1_p0_1_0[dst_width-1:0] & ex1_p0_1_2[dst_width-1:0] |
ex1_p0_1_1[dst_width-1:0] & ex1_p0_1_2[dst_width-1:0];
assign ex1_s0_2[dst_width-1:0] = ex1_p0_2_0[dst_width-1:0] ^
ex1_p0_2_1[dst_width-1:0] ^
ex1_p0_2_2[dst_width-1:0];
assign ex1_c0_2[dst_width-1:0] = ex1_p0_2_0[dst_width-1:0] & ex1_p0_2_1[dst_width-1:0] |
ex1_p0_2_0[dst_width-1:0] & ex1_p0_2_2[dst_width-1:0] |
ex1_p0_2_1[dst_width-1:0] & ex1_p0_2_2[dst_width-1:0];
assign ex1_s0_3[dst_width-1:0] = ex1_p0_3_0[dst_width-1:0] ^
ex1_p0_3_1[dst_width-1:0] ^
ex1_p0_3_2[dst_width-1:0];
assign ex1_c0_3[dst_width-1:0] = ex1_p0_3_0[dst_width-1:0] & ex1_p0_3_1[dst_width-1:0] |
ex1_p0_3_0[dst_width-1:0] & ex1_p0_3_2[dst_width-1:0] |
ex1_p0_3_1[dst_width-1:0] & ex1_p0_3_2[dst_width-1:0];
assign ex1_s0_4[dst_width-1:0] = ex1_p0_4_0[dst_width-1:0] ^
ex1_p0_4_1[dst_width-1:0] ^
ex1_p0_4_2[dst_width-1:0];
assign ex1_c0_4[dst_width-1:0] = ex1_p0_4_0[dst_width-1:0] & ex1_p0_4_1[dst_width-1:0] |
ex1_p0_4_0[dst_width-1:0] & ex1_p0_4_2[dst_width-1:0] |
ex1_p0_4_1[dst_width-1:0] & ex1_p0_4_2[dst_width-1:0];
assign ex1_s0_5[dst_width-1:0] = ex1_p0_5_0[dst_width-1:0] ^
ex1_p0_5_1[dst_width-1:0] ^
ex1_p0_5_2[dst_width-1:0];
assign ex1_c0_5[dst_width-1:0] = ex1_p0_5_0[dst_width-1:0] & ex1_p0_5_1[dst_width-1:0] |
ex1_p0_5_0[dst_width-1:0] & ex1_p0_5_2[dst_width-1:0] |
ex1_p0_5_1[dst_width-1:0] & ex1_p0_5_2[dst_width-1:0];
//=============================================================================
// prepare for the the second compression
//=============================================================================
assign ex1_p1_0_0[dst_width-1:0] = ex1_s0_0[dst_width-1:0];
assign ex1_p1_0_1[dst_width-1:0] ={ex1_c0_0[dst_width-2:0],1'b0};
assign ex1_p1_0_2[dst_width-1:0] = ex1_s0_1[dst_width-1:0];
assign ex1_p1_0_3[dst_width-1:0] ={ex1_c0_1[dst_width-2:0],1'b0};
assign ex1_p1_1_0[dst_width-1:0] = ex1_s0_2[dst_width-1:0];
assign ex1_p1_1_1[dst_width-1:0] ={ex1_c0_2[dst_width-2:0],1'b0};
assign ex1_p1_1_2[dst_width-1:0] = ex1_s0_3[dst_width-1:0];
assign ex1_p1_1_3[dst_width-1:0] ={ex1_c0_3[dst_width-2:0],1'b0};
assign ex1_p1_2_0[dst_width-1:0] = ex1_s0_4[dst_width-1:0];
assign ex1_p1_2_1[dst_width-1:0] ={ex1_c0_4[dst_width-2:0],1'b0};
assign ex1_p1_2_2[dst_width-1:0] = ex1_s0_5[dst_width-1:0];
assign ex1_p1_2_3[dst_width-1:0] ={ex1_c0_5[dst_width-2:0],1'b0};
//=========== 12 src to 6 src==========//
assign ex1_p1_0_cout[dst_width-1:0] = (ex1_p1_0_0[dst_width-1:0] & ex1_p1_0_1[dst_width-1:0]) |
(ex1_p1_0_1[dst_width-1:0] & ex1_p1_0_2[dst_width-1:0]) |
(ex1_p1_0_0[dst_width-1:0] & ex1_p1_0_2[dst_width-1:0]) ;
assign ex1_p1_0_xor[dst_width-1:0] = (ex1_p1_0_0[dst_width-1:0] ^ ex1_p1_0_1[dst_width-1:0]) ^
(ex1_p1_0_2[dst_width-1:0] ^ ex1_p1_0_3[dst_width-1:0]);
assign ex1_s1_0[dst_width-1:0] = ex1_p1_0_xor[dst_width-1:0]^ {ex1_p1_0_cout[dst_width-2:0],1'b0};
assign ex1_c1_0[dst_width-1:0] = ex1_p1_0_xor[dst_width-1:0]& {ex1_p1_0_cout[dst_width-2:0],1'b0}|
(~ex1_p1_0_xor[dst_width-1:0]& ex1_p1_0_3[dst_width-1:0]);
//----------------------------------------------
assign ex1_p1_1_cout[dst_width-1:0] = (ex1_p1_1_0[dst_width-1:0] & ex1_p1_1_1[dst_width-1:0]) |
(ex1_p1_1_1[dst_width-1:0] & ex1_p1_1_2[dst_width-1:0]) |
(ex1_p1_1_0[dst_width-1:0] & ex1_p1_1_2[dst_width-1:0]) ;
assign ex1_p1_1_xor[dst_width-1:0] = (ex1_p1_1_0[dst_width-1:0] ^ ex1_p1_1_1[dst_width-1:0]) ^
(ex1_p1_1_2[dst_width-1:0] ^ ex1_p1_1_3[dst_width-1:0]);
assign ex1_s1_1[dst_width-1:0] = ex1_p1_1_xor[dst_width-1:0]^ {ex1_p1_1_cout[dst_width-2:0],1'b0};
assign ex1_c1_1[dst_width-1:0] = ex1_p1_1_xor[dst_width-1:0]& {ex1_p1_1_cout[dst_width-2:0],1'b0}|
(~ex1_p1_1_xor[dst_width-1:0]& ex1_p1_1_3[dst_width-1:0]);
//----------------------------------------------
assign ex1_p1_2_cout[dst_width-1:0] = (ex1_p1_2_0[dst_width-1:0] & ex1_p1_2_1[dst_width-1:0]) |
(ex1_p1_2_1[dst_width-1:0] & ex1_p1_2_2[dst_width-1:0]) |
(ex1_p1_2_0[dst_width-1:0] & ex1_p1_2_2[dst_width-1:0]) ;
assign ex1_p1_2_xor[dst_width-1:0] = (ex1_p1_2_0[dst_width-1:0] ^ ex1_p1_2_1[dst_width-1:0]) ^
(ex1_p1_2_2[dst_width-1:0] ^ ex1_p1_2_3[dst_width-1:0]);
assign ex1_s1_2[dst_width-1:0] = ex1_p1_2_xor[dst_width-1:0]^ {ex1_p1_2_cout[dst_width-2:0],1'b0};
assign ex1_c1_2[dst_width-1:0] = ex1_p1_2_xor[dst_width-1:0]& {ex1_p1_2_cout[dst_width-2:0],1'b0}|
(~ex1_p1_2_xor[dst_width-1:0]& ex1_p1_2_3[dst_width-1:0]);
//=============================================================================
// prepare for the the third compression
//=============================================================================
assign ex1_p2_0_0[dst_width:0] ={ex1_s1_0[dst_width-1],ex1_s1_0[dst_width-1:0]}; //all valid
assign ex1_p2_0_1[dst_width:0] ={ex1_c1_0[dst_width-1:0],1'b0}; //all valid
assign ex1_p2_0_2[dst_width:0] ={ex1_s1_1[dst_width-1],ex1_s1_1[dst_width-1:0]}; //11-bit 8-bit
assign ex1_p2_1_0[dst_width:0] ={ex1_c1_1[dst_width-1:0],1'b0}; //11-bit 8-bit
assign ex1_p2_1_1[dst_width:0] ={ex1_s1_2[dst_width-1],ex1_s1_2[dst_width-1:0]}; //0-bit 20-bit
assign ex1_p2_1_2[dst_width:0] ={ex1_c1_2[dst_width-1:0],1'b0}; //0-bit 20-bit
assign ex2_p2_0_0[dst_width:0] = ex1_p2_0_0[dst_width:0];
assign ex2_p2_0_1[dst_width:0] = ex1_p2_0_1[dst_width:0];
assign ex2_p2_0_2[dst_width:0] = ex1_p2_0_2[dst_width:0];
assign ex2_p2_1_0[dst_width:0] = ex1_p2_1_0[dst_width:0];
assign ex2_p2_1_1[dst_width:0] = ex1_p2_1_1[dst_width:0];
assign ex2_p2_1_2[dst_width:0] = ex1_p2_1_2[dst_width:0];
//=========== 6 src to 4 src==========//
assign ex2_s2_0[dst_width:0] = ex2_p2_0_0[dst_width:0] ^
ex2_p2_0_1[dst_width:0] ^
ex2_p2_0_2[dst_width:0];
assign ex2_c2_0[dst_width:0] = ex2_p2_0_0[dst_width:0] & ex2_p2_0_1[dst_width:0] |
ex2_p2_0_0[dst_width:0] & ex2_p2_0_2[dst_width:0] |
ex2_p2_0_1[dst_width:0] & ex2_p2_0_2[dst_width:0];
assign ex2_s2_1[dst_width:0] = ex2_p2_1_0[dst_width:0] ^
ex2_p2_1_1[dst_width:0] ^
ex2_p2_1_2[dst_width:0];
assign ex2_c2_1[dst_width:0] = ex2_p2_1_0[dst_width:0] & ex2_p2_1_1[dst_width:0] |
ex2_p2_1_0[dst_width:0] & ex2_p2_1_2[dst_width:0] |
ex2_p2_1_1[dst_width:0] & ex2_p2_1_2[dst_width:0];
//=============================================================================
// prepare for the fourth compression
//=============================================================================
assign ex2_p3_0_0[dst_width+1:0] ={ex2_s2_0[dst_width],ex2_s2_0[dst_width:0]}; //0_1_6
assign ex2_p3_0_1[dst_width+1:0] ={ex2_c2_0[dst_width:0],1'b0};
assign ex2_p3_0_2[dst_width+1:0] ={ex2_s2_1[dst_width],ex2_s2_1[dst_width:0]};
assign ex2_p3_0_3[dst_width+1:0] ={ex2_c2_1[dst_width:0],1'b0}; //24_35_36
//=========== 4 src to 2 src==========//
assign ex2_p3_0_cout[dst_width+1:0] = (ex2_p3_0_0[dst_width+1:0] & ex2_p3_0_1[dst_width+1:0]) |
(ex2_p3_0_1[dst_width+1:0] & ex2_p3_0_2[dst_width+1:0]) |
(ex2_p3_0_0[dst_width+1:0] & ex2_p3_0_2[dst_width+1:0]) ;
assign ex2_p3_0_xor[dst_width+1:0] = (ex2_p3_0_0[dst_width+1:0] ^ ex2_p3_0_1[dst_width+1:0]) ^
(ex2_p3_0_2[dst_width+1:0] ^ ex2_p3_0_3[dst_width+1:0]);
assign ex2_s3_0[dst_width+1:0] = ex2_p3_0_xor[dst_width+1:0]^ {ex2_p3_0_cout[dst_width:0],1'b0};
assign ex2_c3_0[dst_width+1:0] = ex2_p3_0_xor[dst_width+1:0]& {ex2_p3_0_cout[dst_width:0],1'b0}|
(~ex2_p3_0_xor[dst_width+1:0]& ex2_p3_0_3[dst_width+1:0]);
assign result_0[dst_width+2:0] ={ex2_s3_0[dst_width+1],ex2_s3_0[dst_width+1:0]};
assign result_1[dst_width+2:0] ={ex2_c3_0[dst_width+1],ex2_c3_0[dst_width:0],1'b0};
// &moduleend; @347
endmodule
|
// spdx-filecopyrighttext: 2020 efabless corporation
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
// spdx-license-identifier: apache-2.0
`default_nettype none
/* simple 32-bit counter-timer for caravel. */
/* counter acts as high 32 bits of a 64-bit counter
* when chained with the other counter
*/
module counter_timer_high_wb # (
parameter base_adr = 32'h2400_0000,
parameter config = 8'h00,
parameter value = 8'h04,
parameter data = 8'h08
) (
input wb_clk_i,
input wb_rst_i,
input [31:0] wb_adr_i,
input [31:0] wb_dat_i,
input [3:0] wb_sel_i,
input wb_we_i,
input wb_cyc_i,
input wb_stb_i,
output wb_ack_o,
output [31:0] wb_dat_o,
input enable_in,
input stop_in,
input strobe,
input is_offset,
output stop_out,
output enable_out,
output irq
);
wire [31:0] counter_timer_reg_cfg_do;
wire [31:0] counter_timer_reg_val_do;
wire [31:0] counter_timer_reg_dat_do;
wire resetn = ~wb_rst_i;
wire valid = wb_stb_i && wb_cyc_i;
wire counter_timer_reg_cfg_sel = valid && (wb_adr_i == (base_adr | config));
wire counter_timer_reg_val_sel = valid && (wb_adr_i == (base_adr | value));
wire counter_timer_reg_dat_sel = valid && (wb_adr_i == (base_adr | data));
wire reg_cfg_we = (counter_timer_reg_cfg_sel) ?
(wb_sel_i[0] & {wb_we_i}): 1'b0;
wire [3:0] reg_val_we = (counter_timer_reg_val_sel) ?
(wb_sel_i & {4{wb_we_i}}): 4'b0000;
wire [3:0] reg_dat_we = (counter_timer_reg_dat_sel) ?
(wb_sel_i & {4{wb_we_i}}): 4'b0000;
wire [31:0] mem_wdata = wb_dat_i;
wire reg_dat_re = counter_timer_reg_dat_sel && !wb_sel_i && ~wb_we_i;
assign wb_dat_o = (counter_timer_reg_cfg_sel) ? counter_timer_reg_cfg_do :
(counter_timer_reg_val_sel) ? counter_timer_reg_val_do :
counter_timer_reg_dat_do;
assign wb_ack_o = counter_timer_reg_cfg_sel || counter_timer_reg_val_sel ||
counter_timer_reg_dat_sel;
counter_timer_high counter_timer_high_inst (
.resetn(resetn),
.clkin(wb_clk_i),
.reg_val_we(reg_val_we),
.reg_val_di(mem_wdata),
.reg_val_do(counter_timer_reg_val_do),
.reg_cfg_we(reg_cfg_we),
.reg_cfg_di(mem_wdata),
.reg_cfg_do(counter_timer_reg_cfg_do),
.reg_dat_we(reg_dat_we),
.reg_dat_di(mem_wdata),
.reg_dat_do(counter_timer_reg_dat_do),
.enable_in(enable_in),
.stop_in(stop_in),
.is_offset(is_offset),
.stop_out(stop_out),
.strobe(strobe),
.enable_out(enable_out),
.irq_out(irq)
);
endmodule
module counter_timer_high (
input resetn,
input clkin,
input [3:0] reg_val_we,
input [31:0] reg_val_di,
output [31:0] reg_val_do,
input reg_cfg_we,
input [31:0] reg_cfg_di,
output [31:0] reg_cfg_do,
input [3:0] reg_dat_we,
input [31:0] reg_dat_di,
output [31:0] reg_dat_do,
input stop_in,
input enable_in,
input is_offset,
input strobe,
output stop_out,
output enable_out,
output irq_out
);
reg [31:0] value_cur;
reg [31:0] value_reset;
reg irq_out;
wire enable_in; // enable from chained counter
wire strobe; // count strobe from low word counter
wire enable_out; // enable to chained counter (sync)
reg stop_out; // stop signal to low word counter
wire [31:0] value_cur_plus; // next value, on up-count
wire [31:0] value_cur_minus; // next value, on down-count
wire [31:0] value_check_plus; // value to check for stop condition during up count
wire loc_enable; // local enable
reg enable; // enable (start) the counter/timer
reg lastenable; // previous state of enable (catch rising/falling edge)
reg oneshot; // set oneshot (1) mode or continuous (0) mode
reg updown; // count up (1) or down (0)
reg irq_ena; // enable interrupt on timeout
reg chain; // chain to a secondary timer
// configuration register
assign reg_cfg_do = {27'd0, irq_ena, chain, updown, oneshot, enable};
always @(posedge clkin or negedge resetn) begin
if (resetn == 1'b0) begin
enable <= 1'b0;
oneshot <= 1'b0;
updown <= 1'b0;
chain <= 1'b0;
irq_ena <= 1'b0;
end else begin
if (reg_cfg_we) begin
enable <= reg_cfg_di[0];
oneshot <= reg_cfg_di[1];
updown <= reg_cfg_di[2];
chain <= reg_cfg_di[3];
irq_ena <= reg_cfg_di[4];
end
end
end
// counter/timer reset value register
assign reg_val_do = value_reset;
always @(posedge clkin or negedge resetn) begin
if (resetn == 1'b0) begin
value_reset <= 32'd0;
end else begin
if (reg_val_we[3]) value_reset[31:24] <= reg_val_di[31:24];
if (reg_val_we[2]) value_reset[23:16] <= reg_val_di[23:16];
if (reg_val_we[1]) value_reset[15:8] <= reg_val_di[15:8];
if (reg_val_we[0]) value_reset[7:0] <= reg_val_di[7:0];
end
end
assign reg_dat_do = value_cur;
// counter/timer current value register and timer implementation
assign value_cur_plus = value_cur + 1;
assign value_cur_minus = value_cur - 1;
assign value_check_plus = (is_offset) ? value_cur_plus : value_cur;
assign enable_out = enable;
assign loc_enable = (chain == 1'b1) ? (enable && enable_in) : enable;
// when acting as the high 32 bit word of a 64-bit chained counter:
//
// it counts when the low 32-bit counter strobes (strobe == 1).
// it sets "stop_out" and stops on the stop condition.
always @(posedge clkin or negedge resetn) begin
if (resetn == 1'b0) begin
value_cur <= 32'd0;
stop_out <= 1'b0;
irq_out <= 1'b0;
lastenable <= 1'b0;
end else begin
lastenable <= loc_enable;
if (reg_dat_we != 4'b0000) begin
if (reg_dat_we[3] == 1'b1) value_cur[31:24] <= reg_dat_di[31:24];
if (reg_dat_we[2] == 1'b1) value_cur[23:16] <= reg_dat_di[23:16];
if (reg_dat_we[1] == 1'b1) value_cur[15:8] <= reg_dat_di[15:8];
if (reg_dat_we[0] == 1'b1) value_cur[7:0] <= reg_dat_di[7:0];
end else if (loc_enable == 1'b1) begin
/* irq signals one cycle after stop, if irq is enabled */
irq_out <= (irq_ena) ? stop_out : 1'b0;
if (updown == 1'b1) begin
if (lastenable == 1'b0) begin
value_cur <= 32'd0;
stop_out <= 1'b0;
end else if (chain) begin
// chained counter behavior
if (value_check_plus == value_reset) begin
stop_out <= 1'b1;
end
if (stop_in == 1'b1) begin // lower word counter stopped
if (oneshot != 1'b1) begin
value_cur <= 32'd0; // reset count
stop_out <= 1'b0; // no longer stopped
end else if (strobe == 1'b1) begin
value_cur <= value_cur_plus;
end
end else if (strobe == 1'b1) begin
value_cur <= value_cur_plus;
end
end else begin
// standalone counter behavior
if (value_cur == value_reset) begin
if (oneshot != 1'b1) begin
value_cur <= 32'd0;
stop_out <= 1'b0;
end else begin
stop_out <= 1'b1;
end
end else begin
if (value_cur_plus == 32'd0) begin
stop_out <= 1'b1;
end else begin
stop_out <= 1'b0;
end
value_cur <= value_cur_plus; // count up
end
end
end else begin
if (lastenable == 1'b0) begin
value_cur <= value_reset;
stop_out <= 1'b0;
end else if (chain) begin
// chained counter behavior
if (value_cur == 32'd0) begin
stop_out <= 1'b1;
end
if (stop_in == 1'b1) begin // lower word counter stopped
if (oneshot != 1'b1) begin
value_cur <= value_reset; // reset count
stop_out <= 1'b0; // no longer stopped
end
end else if (strobe == 1'b1) begin
value_cur <= value_cur_minus; // count down
end
end else begin
// standalone counter behavior
if (value_cur == 32'd0) begin
if (oneshot != 1'b1) begin
value_cur <= value_reset;
stop_out <= 1'b0;
end else begin
stop_out <= 1'b1;
end
end else begin
if (value_cur_minus == 32'd0) begin
stop_out <= 1'b1;
end else begin
stop_out <= 1'b0;
end
value_cur <= value_cur_minus; // count down
end
end
end
end else begin
stop_out <= 1'b0;
end
end
end
endmodule
`default_nettype wire
|
// spdx-filecopyrighttext: 2020 efabless corporation
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
// spdx-license-identifier: apache-2.0
`default_nettype none
/*
*-------------------------------------------------------------
*
* user_project_wrapper
*
* this wrapper enumerates all of the pins available to the
* user for the user project.
*
* an example user project is provided in this wrapper. the
* example should be removed and replaced with the actual
* user project.
*
*-------------------------------------------------------------
*/
//`define use_power_pins
//`define sim
`include "defines.v"
`include "config_reg_mux.v"
`include "audiodac.v"
`include "tempsense.v"
`include "const_gen.v"
`include "../../macro/adc_top.v"
//`include "/foss/pdks/sky130a/libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v"
//`include "/foss/pdks/sky130a/libs.ref/sky130_fd_sc_hd/verilog/primitives.v"
module user_project_wrapper #(
// verilator lint_off unusedparam
parameter bits = 32
// verilator lint_on unusedparam
) (
`ifdef use_power_pins
inout vdda1, // user area 1 3.3v supply
inout vdda2, // user area 2 3.3v supply
inout vssa1, // user area 1 analog ground
inout vssa2, // user area 2 analog ground
inout vccd1, // user area 1 1.8v supply
inout vccd2, // user area 2 1.8v supply
inout vssd1, // user area 1 digital ground
inout vssd2, // user area 2 digital ground
`endif
// wishbone slave ports (wb mi a)
// verilator lint_off unusedsignal
input wb_clk_i,
input wb_rst_i,
input wbs_stb_i,
input wbs_cyc_i,
input wbs_we_i,
input [3:0] wbs_sel_i,
input [31:0] wbs_dat_i,
input [31:0] wbs_adr_i,
// verilator lint_on unusedsignal
output wbs_ack_o,
output [31:0] wbs_dat_o,
// logic analyzer signals
// verilator lint_off unusedsignal
input [127:0] la_data_in,
input [127:0] la_oenb,
// verilator lint_on unusedsignal
output [127:0] la_data_out,
// ios
// verilator lint_off unusedsignal
input [`mprj_io_pads-1:0] io_in,
// verilator lint_on unusedsignal
output [`mprj_io_pads-1:0] io_out,
output [`mprj_io_pads-1:0] io_oeb,
// analog (direct connection to gpio pad---use with caution)
// note that analog i/o is not available on the 7 lowest-numbered
// gpio pads, and so the analog_io indexing is offset from the
// gpio indexing by 7 (also upper 2 gpios do not have analog_io).
inout [`mprj_io_pads-10:0] analog_io,
// independent clock (on independent integer divider)
// verilator lint_off unusedsignal
input user_clock2,
// verilator lint_on unusedsignal
// user maskable interrupt signals
output [2:0] user_irq
);
/*--------------------------------------*/
/* user project is instantiated here */
/*--------------------------------------*/
// ----------------------
// connect inputs to core
// ----------------------
wire reset_n_w = io_in[5];
wire clk_w = io_in[6];
wire [15:0] data_in_w = io_in[22:7];
wire [2:0] data_out_sel_w = io_in[25:23];
wire config_wr_w = io_in[26];
wire [1:0] config_adr_w = io_in[28:27];
wire trigger_in_w = io_in[29];
assign io_oeb[29:0] = tie_hi[29:0];
// ----------------------------
// connect analog inputs to adc
// ----------------------------
wire adc_analog_in_p = analog_io[30-7];
wire adc_analog_in_n = analog_io[31-7];
assign io_oeb[31:30] = tie_hi[31:30];
// -----------------------
// connect outputs to core
// -----------------------
assign io_out[31:0] = tie_lo[31:0];
assign io_out[37:32] = mux_out_w;
assign io_oeb[37:32] = tie_lo[37:32];
assign {wbs_ack_o, wbs_dat_o, user_irq} = tie_lo[73:38];
// ---------------------------------
// define wires for interconnections
// ---------------------------------
wire [5:0] mux_out_w;
wire [5:0] temp_dac_w, temp0_dac_w, temp1_dac_w, temp2_dac_w, temp3_dac_w;
wire [11:0] temp_tick_w, temp0_tick_w, temp1_tick_w, temp2_tick_w, temp3_tick_w;
wire temp0_done_w, temp1_done_w, temp2_done_w, temp3_done_w;
wire [5:0] dac_out_w;
wire [15:0] reg0_w;
wire reg0_b0 = reg0_w[0];
wire [3:0] reg0_b4_b1 = reg0_w[4:1];
wire [1:0] reg0_b6_b5 = reg0_w[6:5];
wire [1:0] reg0_b8_b7 = reg0_w[8:7];
wire [15:0] reg1_w;
wire reg1_b0 = reg1_w[0];
wire reg1_b1 = reg1_w[1];
wire [5:0] reg1_b7_b2 = reg1_w[7:2];
wire [15:0] reg2_w;
wire [15:0] reg3_w;
wire [15:0] dummy_adc_w;
wire [15:0] adc_result_w;
wire adc_done_w;
wire [31:0] tie_hi;
wire [95:0] tie_lo;
// -----------------------------------------------------------
// connect la signals just in case to monitor internal signals
// -----------------------------------------------------------
assign la_data_out[63:0] = {reg3_w, reg2_w, reg1_w, reg0_w};
assign la_data_out[75:64] = {temp0_dac_w, temp_dac_w};
assign la_data_out[99:76] = {temp0_tick_w, temp_tick_w};
assign la_data_out[103:100] = {temp3_done_w, temp2_done_w, temp1_done_w, temp0_done_w};
assign la_data_out[109:104] = {dac_out_w};
assign la_data_out[125:110] = {adc_result_w};
assign la_data_out[126] = {adc_done_w};
// ------------------------------------------------------
// register file and output mux (since we are io limited)
// ------------------------------------------------------
config_reg_mux cfg_reg0 (
`ifdef use_power_pins
.vccd1(vccd1), // user area 1 1.8v power
.vssd1(vssd1), // user area 1 digital ground
`endif
.rst_n_i(reset_n_w),
.reg_wr_i(config_wr_w),
.reg_adr_i(config_adr_w),
.reg_dat_i(data_in_w),
.reg0_o(reg0_w),
.reg1_o(reg1_w),
.reg2_o(reg2_w),
.reg3_o(reg3_w),
.mux_adr_i(data_out_sel_w),
.mux_o(mux_out_w),
.mux0_i({tie_lo[74], adc_done_w, temp3_done_w, temp2_done_w, temp1_done_w, temp0_done_w}),
.mux1_i(temp_dac_w),
.mux2_i(temp_tick_w[5:0]),
.mux3_i(temp_tick_w[11:6]),
.mux4_i(dac_out_w),
.mux5_i(adc_result_w[5:0]),
.mux6_i(adc_result_w[11:6]),
.mux7_i({tie_lo[75], tie_lo[76], adc_result_w[15:12]}),
.temp_sel_i(reg0_b8_b7),
.temp0_dac_i(temp0_dac_w),
.temp1_dac_i(temp1_dac_w),
.temp2_dac_i(temp2_dac_w),
.temp3_dac_i(temp3_dac_w),
.temp_dac_o(temp_dac_w),
.temp0_ticks_i(temp0_tick_w),
.temp1_ticks_i(temp1_tick_w),
.temp2_ticks_i(temp2_tick_w),
.temp3_ticks_i(temp3_tick_w),
.temp_ticks_o(temp_tick_w),
.loopback_i(la_data_in[127]),
.loopback_o(la_data_out[127])
);
// -----------------------------------------------------------------------
// 4 instances of the temperature sensor (in each corner, because why not)
// -----------------------------------------------------------------------
tempsense temp0 (
`ifdef use_power_pins
.vccd1(vccd1), // user area 1 1.8v power
.vssd1(vssd1), // user area 1 digital ground
`endif
.clk(clk_w),
.rst_n(reset_n_w),
.start_conv_in(trigger_in_w),
.vdac_result_out(temp0_dac_w),
.tick_result_out(temp0_tick_w),
.conversion_finished_out(temp0_done_w)
);
tempsense temp1 (
`ifdef use_power_pins
.vccd1(vccd1), // user area 1 1.8v power
.vssd1(vssd1), // user area 1 digital ground
`endif
.clk(clk_w),
.rst_n(reset_n_w),
.start_conv_in(trigger_in_w),
.vdac_result_out(temp1_dac_w),
.tick_result_out(temp1_tick_w),
.conversion_finished_out(temp1_done_w)
);
tempsense temp2 (
`ifdef use_power_pins
.vccd1(vccd1), // user area 1 1.8v power
.vssd1(vssd1), // user area 1 digital ground
`endif
.clk(clk_w),
.rst_n(reset_n_w),
.start_conv_in(trigger_in_w),
.vdac_result_out(temp2_dac_w),
.tick_result_out(temp2_tick_w),
.conversion_finished_out(temp2_done_w)
);
tempsense temp3 (
`ifdef use_power_pins
.vccd1(vccd1), // user area 1 1.8v power
.vssd1(vssd1), // user area 1 digital ground
`endif
.clk(clk_w),
.rst_n(reset_n_w),
.start_conv_in(trigger_in_w),
.vdac_result_out(temp3_dac_w),
.tick_result_out(temp3_tick_w),
.conversion_finished_out(temp3_done_w)
);
// -----------------------------------------
// the digital audio dac from an earlier mpw
// -----------------------------------------
assign dac_out_w[5] = tie_lo[77];
audiodac dac0 (
`ifdef use_power_pins
.vccd1(vccd1), // user area 1 1.8v power
.vssd1(vssd1), // user area 1 digital ground
`endif
.fifo_i(data_in_w),
.fifo_rdy_i(trigger_in_w),
.fifo_ack_o(dac_out_w[2]),
.fifo_full_o(dac_out_w[3]),
.fifo_empty_o(dac_out_w[4]),
.rst_n_i(reset_n_w),
.clk_i(clk_w),
.mode_i(reg0_b0),
.volume_i(reg0_b4_b1),
.osr_i(reg0_b6_b5),
.ds_o(dac_out_w[0]),
.ds_n_o(dac_out_w[1]),
.tst_fifo_loop_i(reg1_b0),
.tst_sinegen_en_i(reg1_b1),
.tst_sinegen_step_i(reg1_b7_b2)
);
// ---------------------------------------------------------
// the new sar adc for testing functionality and performance
// ---------------------------------------------------------
adc_top adc0 (
`ifdef use_power_pins
.vdd(vccd2), // user area 2 1.8v supply
.vss(vssd2), // user area 2 digital ground
`endif
.clk_vcm(clk_w),
.rst_n(reset_n_w),
.inp_analog(adc_analog_in_p),
.inn_analog(adc_analog_in_n),
.start_conversion_in(trigger_in_w),
.config_1_in(reg2_w),
.config_2_in(reg3_w),
.result_out(adc_result_w),
.conversion_finished_out(adc_done_w),
.dummypin(dummy_adc_w)
);
// --------------------------------------
// block to generate const ones and zeros
// --------------------------------------
const_gen const_gen0 (
`ifdef use_power_pins
.vccd1(vccd1), // user area 2 1.8v supply
.vssd1(vssd1), // user area 2 digital ground
`endif
.tie_hi(tie_hi),
.tie_lo(tie_lo)
);
endmodule // user_project_wrapper
`default_nettype wire
|
/*
* this file is part of "modulos de entrenamiento para fpgas"
* copyright (c) 2018 miguel angel rodriguez jodar.
*
* this program is free software: you can redistribute it and/or modify
* it under the terms of the gnu general public license as published by
* the free software foundation, version 3.
*
* this program is distributed in the hope that it will be useful, but
* without any warranty; without even the implied warranty of
* merchantability or fitness for a particular purpose. see the gnu
* general public license for more details.
*
* you should have received a copy of the gnu general public license
* along with this program. if not, see <http://www.gnu.org/licenses/>.
*/
`timescale 1ns / 1ps
`default_nettype none
module tld_modulos_entrenamiento_unamiga (
input wire clk50mhz,
input wire clkps2,
input wire dataps2,
output wire [5:0] r,
output wire [5:0] g,
output wire [5:0] b,
output wire hsync,
output wire vsync
);
wire clk25m;
relojes reloj25mhz (
.inclk0(clk50mhz),
.c0(clk25m)
);
`include "../ejemplos/ejemplo1.v"
// `include "../ejemplos/ejemplo2.v"
// `include "../ejemplos/ejemplo3.v"
// `include "../ejemplos/ejemplo4.v"
// `include "../ejemplos/ejemplo5.v"
// `include "../ejemplos/ejemplo6.v"
// `include "../ejemplos/ejemplo7.v"
// `include "../ejemplos/ejemplo8.v"
// `include "../ejemplos/ejemplo9.v"
// `include "../ejemplos/ejemplo10.v"
// `include "../ejemplos/ejemplo11.v"
// `include "../ejemplos/ejemplo12.v"
// `include "../ejemplos/ejemplo13.v"
// `include "../ejemplos/ejemplo14.v"
// `include "../ejemplos/ejemplo15.v"
// `include "../ejemplos/ejemplo16.v"
// `include "../ejemplos/ejemplo17.v"
// `include "../ejemplos/ejemplo18.v"
// `include "../ejemplos/ejemplo19.v"
// `include "../ejemplos/ejemplo20.v"
// `include "../ejemplo99/ejemplo99.v"
endmodule
`default_nettype wire
|
// This is a component of pluto_servo, a PWM servo driver and quadrature
// counter for emc2
// Copyright 2006 Jeff Epler <jepler@unpythonic.net>
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or
// (at your option) any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
module main(clk, led, nConfig, epp_nReset, pport_data, nWrite, nWait, nDataStr,
nAddrStr, dout, din, step, dir);
parameter W=10;
parameter F=11;
parameter T=4;
input clk;
output led, nConfig;
inout [7:0] pport_data;
input nWrite;
output nWait;
input nDataStr, nAddrStr, epp_nReset;
input [15:0] din;
reg Spolarity;
reg[13:0] real_dout; output [13:0] dout = do_tristate ? 14'bZ : real_dout;
wire[3:0] real_step; output [3:0] step = do_tristate ? 4'bZ : real_step ^ {4{Spolarity}};
wire[3:0] real_dir; output [3:0] dir = do_tristate ? 4'bZ : real_dir;
wire [W+F-1:0] pos0, pos1, pos2, pos3;
reg [F:0] vel0, vel1, vel2, vel3;
reg [T-1:0] dirtime, steptime;
reg [1:0] tap;
reg [10:0] div2048;
wire stepcnt = ~|(div2048[5:0]);
always @(posedge clk) begin
div2048 <= div2048 + 1'd1;
end
wire do_enable_wdt, do_tristate;
wdt w(clk, do_enable_wdt, &div2048, do_tristate);
stepgen #(W,F,T) s0(clk, stepcnt, pos0, vel0, dirtime, steptime, real_step[0], real_dir[0], tap);
stepgen #(W,F,T) s1(clk, stepcnt, pos1, vel1, dirtime, steptime, real_step[1], real_dir[1], tap);
stepgen #(W,F,T) s2(clk, stepcnt, pos2, vel2, dirtime, steptime, real_step[2], real_dir[2], tap);
stepgen #(W,F,T) s3(clk, stepcnt, pos3, vel3, dirtime, steptime, real_step[3], real_dir[3], tap);
// EPP stuff
wire EPP_write = ~nWrite;
wire EPP_read = nWrite;
wire EPP_addr_strobe = ~nAddrStr;
wire EPP_data_strobe = ~nDataStr;
wire EPP_strobe = EPP_data_strobe | EPP_addr_strobe;
wire EPP_wait; assign nWait = ~EPP_wait;
wire [7:0] EPP_datain = pport_data;
wire [7:0] EPP_dataout; assign pport_data = EPP_dataout;
reg [4:0] EPP_strobe_reg;
always @(posedge clk) EPP_strobe_reg <= {EPP_strobe_reg[3:0], EPP_strobe};
wire EPP_strobe_edge1 = (EPP_strobe_reg[2:1]==2'b01);
// reg led;
assign EPP_wait = EPP_strobe_reg[4];
wire[15:0] EPP_dataword = {EPP_datain, lowbyte};
reg[4:0] addr_reg;
reg[7:0] lowbyte;
always @(posedge clk)
if(EPP_strobe_edge1 & EPP_write & EPP_addr_strobe) begin
addr_reg <= EPP_datain[4:0];
end
else if(EPP_strobe_edge1 & !EPP_addr_strobe) addr_reg <= addr_reg + 4'd1;
always @(posedge clk) begin
if(EPP_strobe_edge1 & EPP_write & EPP_data_strobe) begin
if(addr_reg[3:0] == 4'd1) vel0 <= EPP_dataword[F:0];
else if(addr_reg[3:0] == 4'd3) vel1 <= EPP_dataword[F:0];
else if(addr_reg[3:0] == 4'd5) vel2 <= EPP_dataword[F:0];
else if(addr_reg[3:0] == 4'd7) vel3 <= EPP_dataword[F:0];
else if(addr_reg[3:0] == 4'd9) begin
real_dout <= { EPP_datain[5:0], lowbyte };
end
else if(addr_reg[3:0] == 4'd11) begin
tap <= lowbyte[7:6];
steptime <= lowbyte[T-1:0];
Spolarity <= EPP_datain[7];
// EPP_datain[6] is do_enable_wdt
dirtime <= EPP_datain[T-1:0];
end
else lowbyte <= EPP_datain;
end
end
reg [31:0] data_buf;
always @(posedge clk) begin
if(EPP_strobe_edge1 & EPP_read && addr_reg[1:0] == 2'd0) begin
if(addr_reg[4:2] == 3'd0) data_buf <= pos0;
else if(addr_reg[4:2] == 3'd1) data_buf <= pos1;
else if(addr_reg[4:2] == 3'd2) data_buf <= pos2;
else if(addr_reg[4:2] == 3'd3) data_buf <= pos3;
else if(addr_reg[4:2] == 3'd4)
data_buf <= din;
end
end
// the addr_reg test looks funny because it is auto-incremented in an always
// block so "1" reads the low byte, "2 and "3" read middle bytes, and "0"
// reads the high byte I have a feeling that I'm doing this in the wrong way.
wire [7:0] data_reg = addr_reg[1:0] == 2'd1 ? data_buf[7:0] :
(addr_reg[1:0] == 2'd2 ? data_buf[15:8] :
(addr_reg[1:0] == 2'd3 ? data_buf[23:16] :
data_buf[31:24]));
wire [7:0] EPP_data_mux = data_reg;
assign EPP_dataout = (EPP_read & EPP_wait) ? EPP_data_mux : 8'hZZ;
// assign do_enable_wdt = EPP_strobe_edge1 & EPP_write & EPP_data_strobe & (addr_reg[3:0] == 4'd9) & EPP_datain[6];
// assign led = do_tristate ? 1'BZ : (real_step[0] ^ real_dir[0]);
assign led = do_tristate ? 1'bZ : (real_step[0] ^ real_dir[0]);
assign nConfig = epp_nReset; // 1'b1;
assign do_enable_wdt = EPP_strobe_edge1 & EPP_write & EPP_data_strobe & (addr_reg[3:0] == 4'd9) & EPP_datain[6];
endmodule
|
// -*- verilog -*-
//
// USRP - Universal Software Radio Peripheral
//
// Copyright (C) 2007 Corgan Enterprises LLC
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or
// (at your option) any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA
//
`timescale 1ns/100ps
`include "../lib/sounder.v"
`define FR_MODE 7'd64
`define bmFR_MODE_RESET 32'h0001
`define bmFR_MODE_TX 32'h0002
`define bmFR_MODE_RX 32'h0004
`define bmFR_MODE_LP 32'h0008
`define FR_DEGREE 7'd65
`define FR_AMPL 7'd66
module sounder_tb;
// System bus
reg clk;
reg rst;
reg ena;
// Configuration bus
reg [6:0] saddr;
reg [31:0] sdata;
reg s_strobe;
// DAC bus
wire tx_strobe;
wire [13:0] tx_dac_i;
wire [13:0] tx_dac_q;
// ADC bus
reg [15:0] rx_adc_i;
reg [15:0] rx_adc_q;
// FIFO bus
wire fifo_strobe;
wire [15:0] fifo_i;
wire [15:0] fifo_q;
// Configuration shadow registers
reg [31:0] mode;
reg [31:0] degree;
sounder uut
(.clk_i(clk),.saddr_i(saddr),.sdata_i(sdata),.s_strobe_i(s_strobe),
.tx_strobe_o(tx_strobe),.tx_dac_i_o(tx_dac_i),.tx_dac_q_o(tx_dac_q),
.rx_strobe_o(fifo_strobe),.rx_adc_i_i(rx_adc_i),.rx_adc_q_i(rx_adc_q),
.rx_imp_i_o(fifo_i),.rx_imp_q_o(fifo_q));
// Start up initialization
initial
begin
clk = 0;
rst = 0;
ena = 0;
saddr = 0;
sdata = 0;
s_strobe = 0;
rx_adc_i = 0;
rx_adc_q = 0;
mode = 0;
degree = 0;
@(posedge clk);
rst = 1;
@(posedge clk);
rst = 0;
@(posedge clk);
ena = 1;
end
always
#5 clk <= ~clk;
initial
begin
$monitor($time, " c=%b r=%b phs=%d txs=%b rfs=%b rxs=%b sms=%b pn=%b pnr=%b prd=%x sum=%x tot=%x",
clk, rst, uut.master.phase, uut.tx_strobe_o, uut.ref_strobe, uut.rx_strobe_o,
uut.sum_strobe, uut.transmitter.pn, uut.receiver.pn_ref, uut.receiver.prod_i,
uut.receiver.sum_i, uut.receiver.total_i);
$dumpfile("sounder_tb.vcd");
$dumpvars(0, sounder_tb);
end
// Test tasks
task write_cfg_register;
input [6:0] regno;
input [31:0] value;
begin
@(posedge clk);
saddr <= #5 regno;
sdata <= #5 value;
s_strobe <= #5 1'b1;
@(posedge clk);
s_strobe <= #5 0;
end
endtask // write_cfg_register
// Application reset line
task set_reset;
input reset;
begin
mode = reset ? (mode | `bmFR_MODE_RESET) : (mode & ~`bmFR_MODE_RESET);
write_cfg_register(`FR_MODE, mode);
end
endtask // reset
// Set the PN code degree
task set_degree;
input [5:0] degree;
begin
write_cfg_register(`FR_DEGREE, degree);
end
endtask // set_degree
// Set the PN amplitude
task set_amplitude;
input [13:0] ampl;
begin
write_cfg_register(`FR_AMPL, ampl);
end
endtask // set_ampl
// Turn on or off the transmitter
task enable_tx;
input tx;
begin
mode = tx ? (mode | `bmFR_MODE_TX) : (mode & ~`bmFR_MODE_TX);
write_cfg_register(`FR_MODE, mode);
end
endtask // enable_tx
// Turn on or off the receiver
task enable_rx;
input rx;
begin
mode = rx ? (mode | `bmFR_MODE_RX) : (mode & ~`bmFR_MODE_RX);
write_cfg_register(`FR_MODE, mode);
end
endtask // enable_rx
// Turn on or off digital loopback
task enable_lp;
input lp;
begin
mode = lp ? (mode | `bmFR_MODE_LP) : (mode & ~`bmFR_MODE_LP);
write_cfg_register(`FR_MODE, mode);
end
endtask // enable_lp
// Test transmitter functionality
task test_tx;
input [5:0] degree;
input [31:0] test_len;
begin
#20 set_reset(1);
#20 set_degree(degree);
#20 set_amplitude(14'h1000);
#20 enable_tx(1);
#20 enable_rx(0);
#20 enable_lp(0);
#20 set_reset(0);
#(test_len);
end
endtask // test_tx
// Test loopback functionality
task test_lp;
input [5:0] degree;
input [31:0] test_len;
begin
#20 set_reset(1);
#20 set_degree(degree);
#20 enable_tx(1);
#20 enable_rx(1);
#20 enable_lp(1);
#20 set_reset(0);
#(test_len);
end
endtask // test_lp
// Test receiver only functionality
task test_rx;
input [5:0] degree;
input [31:0] test_len;
begin
#20 set_reset(1);
#20 set_degree(degree);
#20 enable_tx(0);
#20 enable_rx(1);
#20 enable_lp(0);
#20 set_reset(0);
#(test_len);
end
endtask // test_rx
// Execute tests
initial
begin
#20 test_tx(8,255*20);
#20 test_lp(8,255*255*20*5);
//#20 test_rx(8,255*255*20*5);
#500 $finish;
end
endmodule
|
/*
* this file is part of the divtiesus project
* copyright (c) 2021 miguel angel rodriguez jodar.
*
* this program is free software: you can redistribute it and/or modify
* it under the terms of the gnu general public license as published by
* the free software foundation, version 3.
*
* this program is distributed in the hope that it will be useful, but
* without any warranty; without even the implied warranty of
* merchantability or fitness for a particular purpose. see the gnu
* general public license for more details.
*
* you should have received a copy of the gnu general public license
* along with this program. if not, see <http://www.gnu.org/licenses/>.
*/
`timescale 1ns / 1ps
`default_nettype none
module tld_divtiesus (
input wire clk25mhz,
// bus de expansion zx spectrum
input wire rst_n,
input wire [15:0] a,
input wire mreq_n,
input wire iorq_n,
input wire rd_n,
input wire wr_n,
input wire m1_n,
input wire rfsh_n,
inout tri [7:0] d,
input wire notplus3,
output tri romcs,
output tri romoe1,
output tri romoe2,
output tri nmi_n,
// interfaz de usuario
input wire nmi_button_n,
input wire jumper_e, // 1 = closed
// interfaz spi
output wire sclk,
output wire mosi,
input wire miso,
output wire sd_cs0,
output wire sd_cs1,
// bus de control eeprom y sram
output wire eeprom_oe_n,
output wire eeprom_we_n,
output wire sram_oe_n,
output wire sram_we_n,
output wire [5:0] sram_hiaddr
);
wire divmmc_zxromcs, divmmc_eeprom_cs, divmmc_sram_cs, divmmc_sram_write_n;
wire [5:0] divmmc_sram_hiaddr;
wire trese_sram_cs;
wire [5:0] trese_sram_hiaddr;
wire nmi_to_cpu_n;
wire allramplus3;
wire zxuno_regrd, zxuno_regwr;
wire [7:0] zxuno_addr;
wire [1:0] banco_rom;
wire inrom48k = (banco_rom[1] | notplus3) & banco_rom[0];
// nmi es colector abierto
assign nmi_n = (nmi_to_cpu_n == 1'b0)? 1'b0 : 1'bz;
// reset y master reset
wire mrst_n = rst_n | nmi_button_n;
// gestion romcs para todos los modelos
wire zxromcs;
assign romcs = (zxromcs == 1'b1 && notplus3 == 1'b1)? 1'b1 : 1'bz;
assign romoe1 = (zxromcs == 1'b1 && notplus3 == 1'b0)? 1'b1 : 1'bz;
assign romoe2 = (zxromcs == 1'b1 && notplus3 == 1'b0)? 1'b1 : 1'bz;
divmmc_mcleod el_divmmc (
// interface with cpu
.clk(clk25mhz),
.rst_n(rst_n),
.enable_autopage(jumper_e),
.a(a),
.d(d),
.mreq_n(mreq_n),
.iorq_n(iorq_n),
.rd_n(rd_n),
.wr_n(wr_n),
.m1_n(m1_n),
//.rfsh_n(rfsh_n),
.nmi_button_n(nmi_button_n), // button push connects to gnd
.nmi_to_cpu_n(nmi_to_cpu_n), // actual nmi signal to cpu
.inrom48k(inrom48k),
// spectrum rom shadowing
.zxromcs(divmmc_zxromcs), // 1 to disable zx rom. use with emitter follower transistor
// divmmc onboard memory control
.eeprom_cs(divmmc_eeprom_cs),
.eeprom_we_n(eeprom_we_n),
.sram_cs(divmmc_sram_cs),
.sram_write_n(divmmc_sram_write_n),
.sram_hiaddr(divmmc_sram_hiaddr), // up to 512kb of sram can be addressed
// spi interface
.sd_cs0_n(sd_cs0),
.sd_cs1_n(sd_cs1),
.sd_sclk(sclk),
.sd_mosi(mosi),
.sd_miso(miso)
);
tres_e el_3e (
.clk(clk25mhz),
.rst_n(rst_n),
.a(a),
.mreq_n(mreq_n),
.iorq_n(iorq_n),
.rd_n(rd_n),
.wr_n(wr_n),
.din(d),
.allramplus3(allramplus3),
.banco_rom(banco_rom),
// divmmc onboard memory control
.sram_cs(trese_sram_cs),
.sram_hiaddr(trese_sram_hiaddr) // up to 512kb of sram can be addressed
);
modo modo_operacion (
.clk(clk25mhz),
.mrst_n(mrst_n),
.zxuno_addr(zxuno_addr),
.zxuno_regrd(zxuno_regrd),
.zxuno_regwr(zxuno_regwr),
.d(d),
.allramplus3(allramplus3),
.divmmc_zxromcs(divmmc_zxromcs),
.divmmc_eeprom_cs(divmmc_eeprom_cs),
.divmmc_sram_cs(divmmc_sram_cs),
.divmmc_sram_write_n(divmmc_sram_write_n),
.divmmc_sram_hiaddr(divmmc_sram_hiaddr),
.trese_sram_cs(trese_sram_cs),
.trese_sram_hiaddr(trese_sram_hiaddr),
.zxromcs(zxromcs),
.eeprom_oe_n(eeprom_oe_n),
.sram_oe_n(sram_oe_n),
.sram_write_n(sram_we_n),
.sram_hiaddr(sram_hiaddr)
);
zxunoregs el_zxuno_esta_por_aqui (
.clk(clk25mhz),
.rst_n(rst_n),
.a(a),
.iorq_n(iorq_n),
.rd_n(rd_n),
.wr_n(wr_n),
//.m1_n(m1_n),
.d(d),
.addr(zxuno_addr),
.read_from_reg(zxuno_regrd),
.write_to_reg(zxuno_regwr)
);
endmodule
|
/*
* MBus Copyright 2015 Regents of the University of Michigan
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
always @ (posedge clk or negedge resetn) begin
// not in reset
if (resetn)
begin
case (state)
// Wake up processor and all B.C.
TASK0:
begin
c0_req_int <= 1;
state <= TX_WAIT;
end
// Querry nodes
TASK1:
begin
c0_tx_addr <= {28'h000000, `CHANNEL_ENUM};
c0_tx_data <= {`CMD_CHANNEL_ENUM_QUERRY, 28'h0};
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// Enumerate with 4'h2
TASK2:
begin
c0_tx_addr <= {28'h000000, `CHANNEL_ENUM};
// address should starts with 4'h2
c0_tx_data <= {`CMD_CHANNEL_ENUM_ENUMERATE, 4'h2, 24'h0};
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// Enumerate with 4'h3
TASK3:
begin
c0_tx_addr <= {28'h000000, `CHANNEL_ENUM};
c0_tx_data <= {`CMD_CHANNEL_ENUM_ENUMERATE, 4'h3, 24'h0};
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// Enumerate with 4'h4
TASK4:
begin
c0_tx_addr <= {28'h000000, `CHANNEL_ENUM};
c0_tx_data <= {`CMD_CHANNEL_ENUM_ENUMERATE, 4'h4, 24'h0};
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// Enumerate with 4'h5
TASK5:
begin
c0_tx_addr <= {28'h000000, `CHANNEL_ENUM};
c0_tx_data <= {`CMD_CHANNEL_ENUM_ENUMERATE, 4'h5, 24'h0};
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// n1->n0 using long address
TASK6:
begin
n1_tx_addr <= {4'hf, 4'h0, 20'hbbbb0, 4'h3};
n1_tx_data <= rand_dat;
n1_tx_pend <= 0;
n1_tx_req <= 1;
n1_priority <= 0;
$fdisplay(handle, "N1 Data in =\t32'h%h", rand_dat);
state <= TX_WAIT;
end
// n1->n2 using long address
TASK7:
begin
n1_tx_addr <= {4'hf, 4'h0, 20'hbbbb2, 4'h5};
n1_tx_data <= rand_dat;
n1_tx_pend <= 0;
n1_tx_req <= 1;
n1_priority <= 0;
$fdisplay(handle, "N1 Data in =\t32'h%h", rand_dat);
state <= TX_WAIT;
end
// n1->n0 using short address
TASK8:
begin
n1_tx_addr <= {24'h0, 4'h2, 4'h5}; // last 4-bits (4'h5) are functional ID
n1_tx_data <= rand_dat;
n1_tx_pend <= 0;
n1_tx_req <= 1;
n1_priority <= 0;
$fdisplay(handle, "N1 Data in =\t32'h%h", rand_dat);
state <= TX_WAIT;
end
// n1->n2 using short address
TASK9:
begin
n1_tx_addr <= {24'h0, 4'h4, 4'h1}; // last 4-bits (4'h1) are functional ID
n1_tx_data <= rand_dat;
n1_tx_pend <= 0;
n1_tx_req <= 1;
n1_priority <= 0;
$fdisplay(handle, "N1 Data in =\t32'h%h", rand_dat);
state <= TX_WAIT;
end
// n1->n3 using short address
TASK10:
begin
n1_tx_addr <= {24'h0, 4'h5, 4'h2}; // last 4-bits (4'h2) are functional ID
n1_tx_data <= rand_dat;
n1_tx_pend <= 0;
n1_tx_req <= 1;
n1_priority <= 0;
$fdisplay(handle, "N1 Data in =\t32'h%h", rand_dat);
state <= TX_WAIT;
end
// Invalidate short address 4'h2
TASK11:
begin
c0_tx_addr <= {28'h000000, `CHANNEL_ENUM};
c0_tx_data <= {`CMD_CHANNEL_ENUM_INVALIDATE, 4'h2, 24'h0};
c0_tx_pend <= 0;
c0_tx_req <= 1;
c0_priority <= 0;
state <= TX_WAIT;
end
// Enumerate with 4'h8
TASK12:
begin
c0_tx_addr <= {28'h000000, `CHANNEL_ENUM};
c0_tx_data <= {`CMD_CHANNEL_ENUM_ENUMERATE, 4'h8, 24'h0};
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// n1->n0 using new short address
TASK13:
begin
n1_tx_addr <= {24'h0, 4'h8, 4'h1}; // last 4-bits (4'h1) are functional ID
n1_tx_data <= rand_dat;
n1_tx_pend <= 0;
n1_tx_req <= 1;
n1_priority <= 0;
$fdisplay(handle, "N1 Data in =\t32'h%h", rand_dat);
state <= TX_WAIT;
end
// Sleep n0, n2
TASK14:
begin
c0_tx_addr <= {28'h000000, `CHANNEL_POWER};
c0_tx_data <= (`CMD_CHANNEL_POWER_SEL_SLEEP<<28) | ((1'b1<<8)|(1'b1<<4))<<12 | 12'h0;
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// n2 assert ext_int
TASK15:
begin
n2_req_int <= 1;
state <= TX_WAIT;
end
// n2->n0 using short address
TASK16:
begin
n2_tx_addr <= {24'h0, 4'h8, 4'h2}; // last 4-bits (4'h2) are functional ID
n2_tx_data <= rand_dat;
n2_tx_pend <= 0;
n2_tx_req <= 1;
n2_priority <= 0;
$fdisplay(handle, "N2 Data in =\t32'h%h", rand_dat);
state <= TX_WAIT;
end
// Long querry nodes
TASK17:
begin
c0_tx_addr <= {28'hf00000, `CHANNEL_ENUM};
c0_tx_data <= {`CMD_CHANNEL_ENUM_QUERRY, 28'h0};
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// All layers sleep
TASK18:
begin
c0_tx_addr <= {28'hf00000, `CHANNEL_POWER};
c0_tx_data <= {`CMD_CHANNEL_POWER_ALL_SLEEP, 28'h0};
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// All layers wake
TASK19:
begin
c0_tx_addr <= {28'hf00000, `CHANNEL_POWER};
c0_tx_data <= {`CMD_CHANNEL_POWER_ALL_WAKE, 28'h0};
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// Invalidate all short address
TASK20:
begin
c0_tx_addr <= {24'he0000, 4'h0, `CHANNEL_ENUM};
c0_tx_data <= {`CMD_CHANNEL_ENUM_INVALIDATE, 4'hf, 24'h0}; // 4'hf -> all short address
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// Selective sleep N1 using full prefix
TASK21:
begin
c0_tx_addr <= {28'hf00000, `CHANNEL_POWER};
c0_tx_data <= {`CMD_CHANNEL_POWER_SEL_SLEEP_FULL, 4'h0, 20'hbbbb1, 4'h0};
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// Selective sleep processor using full prefix
TASK22:
begin
c0_tx_addr <= {28'hf00000, `CHANNEL_POWER};
c0_tx_data <= {`CMD_CHANNEL_POWER_SEL_SLEEP_FULL, 4'h0, 20'haaaa0, 4'h0};
c0_tx_req <= 1;
c0_tx_pend <= 0;
c0_priority <= 0;
state <= TX_WAIT;
end
// n2 querry
TASK23:
begin
n2_tx_addr <= {28'hf00000, `CHANNEL_ENUM};
n2_tx_data <= {`CMD_CHANNEL_ENUM_QUERRY, 28'h0};
n2_tx_pend <= 0;
n2_tx_req <= 1;
n2_priority <= 0;
state <= TX_WAIT;
end
// n2 sends to control
TASK24:
begin
n2_tx_addr <= {28'hf00000, `CHANNEL_CTRL};
n2_tx_data <= rand_dat;
n2_tx_pend <= 0;
n2_tx_req <= 1;
n2_priority <= 0;
$fdisplay(handle, "N2 Data in =\t32'h%h", rand_dat);
state <= TX_WAIT;
end
// n2 -> n1 byte streamming using long address,
// n3 request interrupt
TASK25:
begin
if ((~n2_tx_ack) & (~n2_tx_req))
begin
n2_tx_addr <= {4'hf, 4'h0, 20'hbbbb1, 4'h1}; // 4'h1 is functional ID
n2_tx_data <= rand_dat;
n2_tx_req <= 1;
$fdisplay(handle, "N1 Data in =\t32'h%h", rand_dat);
if (word_counter)
begin
word_counter <= word_counter - 1;
n2_tx_pend <= 1;
if (word_counter==1)
n3_req_int <= 1;
end
else
begin
n2_tx_pend <= 0;
state <= TX_WAIT;
end
end
end
endcase // case (state)
end
end // always @ (posedge clk or negedge resetn)
|
/*
* yosys -- yosys open synthesis suite
*
* copyright (c) 2021 cologne chip ag <support@colognechip.com>
*
* permission to use, copy, modify, and/or distribute this software for any
* purpose with or without fee is hereby granted, provided that the above
* copyright notice and this permission notice appear in all copies.
*
* the software is provided "as is" and the author disclaims all warranties
* with regard to this software including all implied warranties of
* merchantability and fitness. in no event shall the author be liable for
* any special, direct, indirect, or consequential damages or any damages
* whatsoever resulting from loss of use, data or profits, whether in an
* action of contract, negligence or other tortious action, arising out of
* or in connection with the use or performance of this software.
*
*/
(* techmap_celltype = "$_dffe_[np][np][01][np]_" *)
module \$_dffe_xxxx_ (input d, c, r, e, output q);
parameter _techmap_celltype_ = "";
cc_dff #(
.clk_inv(_techmap_celltype_[39:32] == "n"),
.en_inv(_techmap_celltype_[15:8] == "n"),
.sr_inv(_techmap_celltype_[31:24] == "n"),
.sr_val(_techmap_celltype_[23:16] == "1")
) _techmap_replace_ (.d(d), .en(e), .clk(c), .sr(r), .q(q));
endmodule
(* techmap_celltype = "$_dlatch_[np][np][01]_" *)
module \$_dlatch_xxx_ (input e, r, d, output q);
parameter _techmap_celltype_ = "";
cc_dlt #(
.g_inv(_techmap_celltype_[31:24] == "n"),
.sr_inv(_techmap_celltype_[23:16] == "n"),
.sr_val(_techmap_celltype_[15:8] == "1")
) _techmap_replace_ (.d(d), .g(e), .sr(r), .q(q));
endmodule
|
// This is a component of pluto_step, a hardware step waveform generator
// Copyright 2007 Jeff Epler <jepler@unpythonic.net>
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or
// (at your option) any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
module stepgen(clk, enable, position, velocity, dirtime, steptime, step, dir, tap);
`define STATE_STEP 0
`define STATE_DIRCHANGE 1
`define STATE_DIRWAIT 2
parameter W=12;
parameter F=10;
parameter T=5;
input clk, enable;
output [W+F-1:0] position; reg [W+F-1:0] position;
input [F:0] velocity;
input [T-1:0] dirtime, steptime;
input [1:0] tap;
output step, dir;
reg step, dir;
reg [T-1:0] timer;
reg [1:0] state;
reg ones;
wire dbit = velocity[F];
wire pbit = (tap == 0 ? position[F]
: (tap == 1 ? position[F+1]
: (tap == 2 ? position[F+2]
: position[F+3])));
wire [W+F-1:0] xvelocity = {{W{velocity[F]}}, {1{velocity[F-1:0]}}};
`ifdef TESTING
// for testing:
initial position = 1'b0;
initial state = `STATE_STEP;
initial timer = 0;
initial dir = 0;
initial ones = 0;
`endif
always @(posedge clk) begin
if(enable) begin
// $display("state=%d timer=%d position=%h velocity=%h dir=%d dbit=%d pbit=%d ones=%d", state, timer, position, xvelocity, dir, dbit, pbit, ones);
if((dir != dbit) && (pbit == ones)) begin
if(state == `STATE_DIRCHANGE) begin
if(timer == 0) begin
dir <= dbit;
timer <= dirtime;
state <= `STATE_DIRWAIT;
end else begin
timer <= timer - 1'd1;
end
end else begin
if(timer == 0) begin
step <= 0;
timer <= dirtime;
state <= `STATE_DIRCHANGE;
end else begin
timer <= timer - 1'd1;
end
end
end else if(state == `STATE_DIRWAIT) begin
if(timer == 0) begin
state <= `STATE_STEP;
end else begin
timer <= timer - 1'd1;
end
end else begin
if(timer == 0) begin
if(pbit != ones) begin
ones <= pbit;
step <= 1'd1;
timer <= steptime;
end else begin
step <= 0;
end
end else begin
timer <= timer - 1'd1;
end
if(dir == dbit)
position <= position + xvelocity;
end
end
end
endmodule
|
// spdx-filecopyrighttext: 2020 mohamed shalan
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
// spdx-license-identifier: apache-2.0
/*
registers
cfg (w): 0:cpol, 1:cpha, 8-15: clock divider [0x08]
ctrl (w): 0: go, 1:ssb [0x04]
status (r): 0: done [0x10]
datain (w): 0-7: data in [0x00]
datao (r): 0-7: data out [0x00]
*/
`timescale 1ns/1ps
`default_nettype none
module apb_spi(
input wire pclk,
input wire presetn,
input wire pwrite,
input wire [31:0] pwdata,
input wire [31:0] paddr,
input wire penable,
input psel,
output wire pready,
output wire [31:0] prdata,
input wire msi,
output wire mso,
output wire ssn,
output wire sclk,
output irq
);
reg [7:0] spi_datai_reg;
wire [7:0] spi_datao_reg;
reg [1:0] spi_ctrl_reg;
wire spi_status_reg;
reg [9:0] spi_cfg_reg;
reg [0:0] spi_im_reg;
wire go, cpol, cpha, done, busy, csb;
wire [7:0] datai, datao, clkdiv;
// the control register -- size: 2 -- offset: 4
always @(posedge pclk, negedge presetn)
begin
if(!presetn)
begin
spi_ctrl_reg <= 2'b0;
end
else if(penable & pwrite & pready & psel & paddr[2] & ~paddr[3] & ~paddr[4])
spi_ctrl_reg <= pwdata[1:0];
end
// configuration register -- size; 10 -- offset: 8
always @(posedge pclk, negedge presetn)
begin
if(!presetn)
begin
spi_cfg_reg <= 10'b0;
end
else if(penable & pwrite & pready & psel & paddr[3] & ~paddr[2] & ~paddr[4])
spi_cfg_reg <= pwdata[9:0];
end
// data register -- size: 8 -- offset: 0
always @(posedge pclk, negedge presetn)
begin
if(!presetn)
begin
spi_datai_reg <= 8'b0;
end
else if(penable & pwrite & pready & psel & ~paddr[2] & ~paddr[3] & ~paddr[4])
spi_datai_reg <= pwdata[7:0];
end
// im register -- size: 1 -- offset: 0x14
always @(posedge pclk, negedge presetn)
begin
if(!presetn)
begin
spi_im_reg <= 1'b0;
end
else if(penable & pwrite & pready & psel & paddr[2] & ~paddr[3] & paddr[4])
spi_im_reg <= pwdata[0:0];
end
assign datai = spi_datai_reg[7:0];
assign go = spi_ctrl_reg[0];
assign ssn = ~spi_ctrl_reg[1];
assign cpol = spi_cfg_reg[0];
assign cpha = spi_cfg_reg[1];
assign clkdiv = spi_cfg_reg[9:2];
assign spi_status_reg = done;
assign spi_datao_reg = datao;
reg done;
always @(posedge pclk, negedge presetn)
begin
if(!presetn)
begin
done <= 1'b0;
end
else if(done)
done <= 1'b1;
else if(go)
done <= 1'b0;
end
spi_master
#(
.data_width(8),
.clk_divider_width(8)
) spi_ctrl (
.clk(pclk),
.resetb(presetn),
.cpol(cpol),
.cpha(cpha),
.clk_divider(clkdiv),
.go(go),
.datai(datai),
.datao(datao),
//.busy(busy),
.done(done),
.dout(msi),
.din(mso),
//.csb(ss),
.sclk(sclk)
);
assign prdata[31:0] = (paddr[2] & paddr[4]) ? {31'd0, spi_im_reg} :
(paddr[2]) ? {30'd0,spi_ctrl_reg} :
(paddr[3]) ? {{22'd0,spi_cfg_reg}} :
(paddr[4]) ? {31'd0,spi_status_reg} :
{24'd0,spi_datao_reg};
assign pready = 1'b1;
assign irq = spi_im_reg[0] & done;
endmodule
|
/*copyright 2020-2021 t-head semiconductor co., ltd.
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and
limitations under the license.
*/
`define ps1_base_start 40'h10015000
`define ps1_base_end 40'h10015fff
`define ps2_base_start 40'h10011000
`define ps2_base_end 40'h10011fff
`define ps3_base_start 40'h10016000
`define ps3_base_end 40'h10016fff
`define ps4_base_start 40'h10010000
`define ps4_base_end 40'h10010fff
`define ps5_base_start 40'h10019000
`define ps5_base_end 40'h10019fff
`define ps6_base_start 40'h10017000
`define ps6_base_end 40'h10017fff
`define ps7_base_start 40'h10018000
`define ps7_base_end 40'h10018fff
`define ps8_base_start 40'h1001a000
`define ps8_base_end 40'h1001afff
`define ps9_base_start 40'h1001c000
`define ps9_base_end 40'h1001cfff
module apb_bridge(
apb_harb_hrdata,
apb_harb_hready,
apb_harb_hresp,
apb_xx_paddr,
apb_xx_penable,
apb_xx_pwdata,
apb_xx_pwrite,
harb_apb_hsel,
harb_xx_haddr,
harb_xx_hwdata,
harb_xx_hwrite,
hclk,
hrst_b,
prdata_s1,
prdata_s2,
prdata_s4,
prdata_s5,
prdata_s6,
prdata_s7,
prdata_s8,
psel_s1,
psel_s2,
psel_s4,
psel_s5,
psel_s6,
psel_s7,
psel_s8
);
input harb_apb_hsel;
input [39:0] harb_xx_haddr;
input [31:0] harb_xx_hwdata;
input harb_xx_hwrite;
input hclk;
input hrst_b;
input [31:0] prdata_s1;
input [31:0] prdata_s2;
input [31:0] prdata_s4;
input [31:0] prdata_s5;
input [31:0] prdata_s6;
input [31:0] prdata_s7;
input [31:0] prdata_s8;
output [31:0] apb_harb_hrdata;
output apb_harb_hready;
output [1 :0] apb_harb_hresp;
output [39:0] apb_xx_paddr;
output apb_xx_penable;
output [31:0] apb_xx_pwdata;
output apb_xx_pwrite;
output psel_s1;
output psel_s2;
output psel_s4;
output psel_s5;
output psel_s6;
output psel_s7;
output psel_s8;
reg [31:0] apb_harb_hrdata;
reg apb_harb_hready;
reg [39:0] apb_xx_paddr;
reg apb_xx_penable;
reg apb_xx_psel;
reg [31:0] apb_xx_pwdata;
reg apb_xx_pwrite;
reg [2 :0] cur_state;
reg [39:0] haddr_latch;
reg hwrite_latch;
reg [2 :0] nxt_state;
wire [1 :0] apb_harb_hresp;
wire busy_s1;
wire busy_s2;
wire busy_s3;
wire busy_s4;
wire busy_s5;
wire busy_s6;
wire busy_s7;
wire busy_s8;
wire enable_latch;
wire enable_r_select;
wire harb_apb_hsel;
wire [39:0] harb_xx_haddr;
wire [31:0] harb_xx_hwdata;
wire harb_xx_hwrite;
wire hclk;
wire hrst_b;
wire idle_latch;
wire idle_r_select;
wire [31:0] prdata_s1;
wire [31:0] prdata_s2;
wire [31:0] prdata_s4;
wire [31:0] prdata_s5;
wire [31:0] prdata_s6;
wire [31:0] prdata_s7;
wire psel_s1;
wire psel_s2;
wire psel_s3;
wire psel_s4;
wire psel_s5;
wire psel_s6;
wire psel_s7;
wire psel_s8;
wire psel_s9;
assign apb_harb_hresp[1:0] = 2'b0;
parameter idle = 3'b000;
parameter latch = 3'b001;
parameter w_select = 3'b010;
parameter r_select = 3'b011;
parameter enable = 3'b100;
always @(posedge hclk or negedge hrst_b)
begin
if(!hrst_b)
begin
cur_state[2:0] <= idle;
end
else
begin
cur_state[2:0] <= nxt_state[2:0];
end
end
assign idle_latch = harb_apb_hsel && harb_xx_hwrite;
assign idle_r_select = harb_apb_hsel && !harb_xx_hwrite;
assign enable_latch = harb_apb_hsel && harb_xx_hwrite;
assign enable_r_select = harb_apb_hsel && !harb_xx_hwrite;
always @( enable_r_select
or idle_latch
or idle_r_select
or enable_latch
or cur_state[2:0])
begin
nxt_state[2:0] = idle;
case(cur_state[2:0])
idle:
begin
if(idle_latch)
begin
nxt_state[2:0] = latch;
end
else if(idle_r_select)
begin
nxt_state[2:0] = r_select;
end
else
begin
nxt_state[2:0] = idle;
end
end
latch:
begin
nxt_state[2:0] = w_select;
end
w_select:
begin
nxt_state[2:0] = enable;
end
r_select:
begin
nxt_state[2:0] = enable;
end
enable:
begin
if(enable_latch)
begin
nxt_state[2:0] = latch;
end
else if(enable_r_select)
begin
nxt_state[2:0] = r_select;
end
else
begin
nxt_state[2:0] = idle;
end
end
endcase
end
always @(posedge hclk or negedge hrst_b)
begin
if(!hrst_b)
begin
haddr_latch[39:0] <= 40'b0;
hwrite_latch <= 1'b0;
end
else if(nxt_state[2:0]==latch)
begin
haddr_latch[39:0] <= harb_xx_haddr[39:0];
hwrite_latch <= harb_xx_hwrite;
end
else
begin
haddr_latch[39:0] <= haddr_latch[39:0];
hwrite_latch <= hwrite_latch;
end
end
always @(posedge hclk or negedge hrst_b)
begin
if(!hrst_b)
begin
apb_xx_paddr[39:0] <= 40'b0;
apb_xx_pwrite <= 1'b0;
end
else if(nxt_state[2:0]==w_select)
begin
apb_xx_paddr[39:0] <= haddr_latch[39:0];
apb_xx_pwrite <= hwrite_latch;
end
else if(nxt_state[2:0]==r_select)
begin
apb_xx_paddr[39:0] <= harb_xx_haddr[39:0];
apb_xx_pwrite <= harb_xx_hwrite;
end
else
begin
apb_xx_paddr[39:0] <= apb_xx_paddr[39:0];
apb_xx_pwrite <= apb_xx_pwrite;
end
end
always @(posedge hclk or negedge hrst_b)
begin
if(!hrst_b)
begin
apb_xx_pwdata[31:0] <= 32'b0;
end
else if(nxt_state[2:0]==w_select)
begin
apb_xx_pwdata[31:0] <= harb_xx_hwdata[31:0];
end
else
begin
apb_xx_pwdata[31:0] <= apb_xx_pwdata[31:0];
end
end
always @(posedge hclk or negedge hrst_b)
begin
if(!hrst_b)
begin
apb_xx_psel <= 1'b0;
end
else if(nxt_state[2:0]==w_select)
begin
apb_xx_psel <= 1'b1;
end
else if(nxt_state[2:0]==r_select)
begin
apb_xx_psel <= 1'b1;
end
else if(nxt_state[2:0]==enable)
begin
apb_xx_psel <= 1'b1;
end
else
begin
apb_xx_psel <= 1'b0;
end
end
always @(posedge hclk or negedge hrst_b)
begin
if(!hrst_b)
begin
apb_xx_penable <= 1'b0;
end
else if(nxt_state[2:0]==enable)
begin
apb_xx_penable <= 1'b1;
end
else
begin
apb_xx_penable <= 1'b0;
end
end
always @(posedge hclk or negedge hrst_b)
begin
if(!hrst_b)
begin
apb_harb_hready <= 1'b1;
end
else if(nxt_state[2:0]==latch)
begin
apb_harb_hready <= 1'b0;
end
else if(nxt_state[2:0]==w_select)
begin
apb_harb_hready <= 1'b0;
end
else if(nxt_state[2:0]==r_select)
begin
apb_harb_hready <= 1'b0;
end
else
begin
apb_harb_hready <= 1'b1;
end
end
assign psel_s1 = apb_xx_psel && (apb_xx_paddr>=`ps1_base_start) && (apb_xx_paddr<=`ps1_base_end);
assign psel_s2 = apb_xx_psel && (apb_xx_paddr>=`ps2_base_start) && (apb_xx_paddr<=`ps2_base_end);
assign psel_s3 = apb_xx_psel && (apb_xx_paddr>=`ps3_base_start) && (apb_xx_paddr<=`ps3_base_end);
assign psel_s4 = apb_xx_psel && (apb_xx_paddr>=`ps4_base_start) && (apb_xx_paddr<=`ps4_base_end);
assign psel_s5 = apb_xx_psel && (apb_xx_paddr>=`ps5_base_start) && (apb_xx_paddr<=`ps5_base_end);
assign psel_s6 = apb_xx_psel && (apb_xx_paddr>=`ps6_base_start) && (apb_xx_paddr<=`ps6_base_end);
assign psel_s7 = apb_xx_psel && (apb_xx_paddr>=`ps7_base_start) && (apb_xx_paddr<=`ps7_base_end);
assign psel_s8 = apb_xx_psel && (apb_xx_paddr>=`ps8_base_start) && (apb_xx_paddr<=`ps8_base_end);
assign psel_s9 = apb_xx_psel && (apb_xx_paddr>=`ps9_base_start) && (apb_xx_paddr<=`ps9_base_end);
assign busy_s1 = apb_xx_penable && psel_s1;
assign busy_s2 = apb_xx_penable && psel_s2;
assign busy_s3 = apb_xx_penable && psel_s3;
assign busy_s4 = apb_xx_penable && psel_s4;
assign busy_s5 = apb_xx_penable && psel_s5;
assign busy_s6 = apb_xx_penable && psel_s6;
assign busy_s7 = apb_xx_penable && psel_s7;
assign busy_s8 = apb_xx_penable && psel_s8;
assign busy_s9 = apb_xx_penable && psel_s9;
always @( busy_s3
or busy_s4
or busy_s7
or busy_s2
or prdata_s5[31:0]
or busy_s6
or prdata_s6[31:0]
or busy_s5
or busy_s1
or prdata_s1[31:0]
or busy_s8
or busy_s9
or prdata_s4[31:0]
or prdata_s2[31:0]
or prdata_s7[31:0])
begin
case({busy_s1,busy_s2,busy_s3,busy_s4,busy_s5,busy_s6,busy_s7,busy_s8,busy_s9})
9'b100000000:
begin
apb_harb_hrdata[31:0] = prdata_s1[31:0] ;
end
9'b010000000:
begin
apb_harb_hrdata[31:0] = prdata_s2[31:0] ;
end
9'b001000000:
begin
apb_harb_hrdata[31:0] = 32'h0 ;
end
9'b000100000:
begin
apb_harb_hrdata[31:0] = prdata_s4[31:0] ;
end
9'b000010000:
begin
apb_harb_hrdata[31:0] = prdata_s5[31:0] ;
end
9'b000001000:
begin
apb_harb_hrdata[31:0] = prdata_s6[31:0];
end
9'b000000100:
begin
apb_harb_hrdata[31:0] = prdata_s7[31:0] ;
end
9'b000000010:
begin
apb_harb_hrdata[31:0] = prdata_s8[31:0] ;
end
9'b000000001:
begin
apb_harb_hrdata[31:0] = 32'h0 ;
end
default:
begin
apb_harb_hrdata[31:0] = 32'b0;
end
endcase
end
endmodule
|
/*
*
* Copyright (c) 2011 fpgaminer@bitcoin-mining.com
*
*
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
`timescale 1ns/1ps
// A quick define to help index 32-bit words inside a larger register.
`define IDX(x) (((x)+1)*(32)-1):((x)*(32))
// Perform a SHA-256 transformation on the given 512-bit data, and 256-bit
// initial state,
// Outputs one 256-bit hash every LOOP cycle(s).
//
// The LOOP parameter determines both the size and speed of this module.
// A value of 1 implies a fully unrolled SHA-256 calculation spanning 64 round
// modules and calculating a full SHA-256 hash every clock cycle. A value of
// 2 implies a half-unrolled loop, with 32 round modules and calculating
// a full hash in 2 clock cycles. And so forth.
module sha256_transform #(
parameter LOOP = 6'd4
) (
input clk,
input feedback,
input [5:0] cnt,
input [255:0] rx_state,
input [511:0] rx_input,
output reg [255:0] tx_hash
);
// Constants defined by the SHA-2 standard.
localparam Ks = {
32'h428a2f98, 32'h71374491, 32'hb5c0fbcf, 32'he9b5dba5,
32'h3956c25b, 32'h59f111f1, 32'h923f82a4, 32'hab1c5ed5,
32'hd807aa98, 32'h12835b01, 32'h243185be, 32'h550c7dc3,
32'h72be5d74, 32'h80deb1fe, 32'h9bdc06a7, 32'hc19bf174,
32'he49b69c1, 32'hefbe4786, 32'h0fc19dc6, 32'h240ca1cc,
32'h2de92c6f, 32'h4a7484aa, 32'h5cb0a9dc, 32'h76f988da,
32'h983e5152, 32'ha831c66d, 32'hb00327c8, 32'hbf597fc7,
32'hc6e00bf3, 32'hd5a79147, 32'h06ca6351, 32'h14292967,
32'h27b70a85, 32'h2e1b2138, 32'h4d2c6dfc, 32'h53380d13,
32'h650a7354, 32'h766a0abb, 32'h81c2c92e, 32'h92722c85,
32'ha2bfe8a1, 32'ha81a664b, 32'hc24b8b70, 32'hc76c51a3,
32'hd192e819, 32'hd6990624, 32'hf40e3585, 32'h106aa070,
32'h19a4c116, 32'h1e376c08, 32'h2748774c, 32'h34b0bcb5,
32'h391c0cb3, 32'h4ed8aa4a, 32'h5b9cca4f, 32'h682e6ff3,
32'h748f82ee, 32'h78a5636f, 32'h84c87814, 32'h8cc70208,
32'h90befffa, 32'ha4506ceb, 32'hbef9a3f7, 32'hc67178f2};
genvar i;
generate
for (i = 0; i < 64/LOOP; i = i + 1) begin : HASHERS
wire [511:0] W;
wire [255:0] state;
if(i == 0)
sha256_digester U (
.clk(clk),
.k(Ks[32*(63-cnt) +: 32]),
.rx_w(feedback ? W : rx_input),
.rx_state(feedback ? state : rx_state),
.tx_w(W),
.tx_state(state)
);
else
sha256_digester U (
.clk(clk),
.k(Ks[32*(63-LOOP*i-cnt) +: 32]),
.rx_w(feedback ? W : HASHERS[i-1].W),
.rx_state(feedback ? state : HASHERS[i-1].state),
.tx_w(W),
.tx_state(state)
);
end
endgenerate
always @ (posedge clk)
begin
if (!feedback)
begin
tx_hash[`IDX(0)] <= rx_state[`IDX(0)] + HASHERS[64/LOOP-6'd1].state[`IDX(0)];
tx_hash[`IDX(1)] <= rx_state[`IDX(1)] + HASHERS[64/LOOP-6'd1].state[`IDX(1)];
tx_hash[`IDX(2)] <= rx_state[`IDX(2)] + HASHERS[64/LOOP-6'd1].state[`IDX(2)];
tx_hash[`IDX(3)] <= rx_state[`IDX(3)] + HASHERS[64/LOOP-6'd1].state[`IDX(3)];
tx_hash[`IDX(4)] <= rx_state[`IDX(4)] + HASHERS[64/LOOP-6'd1].state[`IDX(4)];
tx_hash[`IDX(5)] <= rx_state[`IDX(5)] + HASHERS[64/LOOP-6'd1].state[`IDX(5)];
tx_hash[`IDX(6)] <= rx_state[`IDX(6)] + HASHERS[64/LOOP-6'd1].state[`IDX(6)];
tx_hash[`IDX(7)] <= rx_state[`IDX(7)] + HASHERS[64/LOOP-6'd1].state[`IDX(7)];
end
end
endmodule
module sha256_digester (clk, k, rx_w, rx_state, tx_w, tx_state);
input clk;
input [31:0] k;
input [511:0] rx_w;
input [255:0] rx_state;
output reg [511:0] tx_w;
output reg [255:0] tx_state;
wire [31:0] e0_w, e1_w, ch_w, maj_w, s0_w, s1_w;
e0 e0_blk (rx_state[`IDX(0)], e0_w);
e1 e1_blk (rx_state[`IDX(4)], e1_w);
ch ch_blk (rx_state[`IDX(4)], rx_state[`IDX(5)], rx_state[`IDX(6)], ch_w);
maj maj_blk (rx_state[`IDX(0)], rx_state[`IDX(1)], rx_state[`IDX(2)], maj_w);
s0 s0_blk (rx_w[63:32], s0_w);
s1 s1_blk (rx_w[479:448], s1_w);
wire [31:0] t1 = rx_state[`IDX(7)] + e1_w + ch_w + rx_w[31:0] + k;
wire [31:0] t2 = e0_w + maj_w;
wire [31:0] new_w = s1_w + rx_w[319:288] + s0_w + rx_w[31:0];
always @ (posedge clk)
begin
tx_w[511:480] <= new_w;
tx_w[479:0] <= rx_w[511:32];
tx_state[`IDX(7)] <= rx_state[`IDX(6)];
tx_state[`IDX(6)] <= rx_state[`IDX(5)];
tx_state[`IDX(5)] <= rx_state[`IDX(4)];
tx_state[`IDX(4)] <= rx_state[`IDX(3)] + t1;
tx_state[`IDX(3)] <= rx_state[`IDX(2)];
tx_state[`IDX(2)] <= rx_state[`IDX(1)];
tx_state[`IDX(1)] <= rx_state[`IDX(0)];
tx_state[`IDX(0)] <= t1 + t2;
end
endmodule
|
/*
* Milkymist SoC
* Copyright (C) 2007, 2008, 2009, 2010 Sebastien Bourdeauducq
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, version 3 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
module tb_memcard();
reg sys_clk;
initial sys_clk = 1'b0;
always #5 sys_clk = ~sys_clk;
reg sys_rst;
reg [13:0] csr_a;
reg csr_we;
reg [31:0] csr_di;
wire [31:0] csr_do;
wire [3:0] mc_d;
wire mc_cmd;
wire mc_clk;
memcard dut(
.sys_clk(sys_clk),
.sys_rst(sys_rst),
.csr_a(csr_a),
.csr_we(csr_we),
.csr_di(csr_di),
.csr_do(csr_do),
.mc_d(mc_d),
.mc_cmd(mc_cmd),
.mc_clk(mc_clk)
);
pullup(mc_d[0]);
pullup(mc_d[1]);
pullup(mc_d[2]);
pullup(mc_d[3]);
pullup(mc_cmd);
reg cmd_rxen;
integer cmd_bitcount;
reg [47:0] cmd_rxreg;
initial begin
cmd_rxen <= 1'b0;
cmd_bitcount <= 0;
end
always @(posedge mc_clk) begin
if(~mc_cmd)
cmd_rxen = 1'b1;
if(cmd_rxen) begin
cmd_rxreg = {cmd_rxreg[46:0], mc_cmd};
cmd_bitcount = cmd_bitcount + 1;
end
if(cmd_bitcount == 48) begin
$display("CMD RX: %x", cmd_rxreg);
cmd_bitcount = 0;
cmd_rxen = 1'b0;
end
end
reg cmd_txen;
reg [47:0] cmd_txreg;
initial cmd_txreg = 48'h7f0102030405;
always @(posedge mc_clk) begin
if(cmd_txen)
cmd_txreg <= {cmd_txreg[46:0], 1'b1};
end
assign mc_cmd = cmd_txen ? cmd_txreg[47] : 1'bz;
task waitclock;
begin
@(posedge sys_clk);
#1;
end
endtask
task waitnclock;
input [15:0] n;
integer i;
begin
for(i=0;i<n;i=i+1)
waitclock;
end
endtask
task csrwrite;
input [31:0] address;
input [31:0] data;
begin
csr_a = address[16:2];
csr_di = data;
csr_we = 1'b1;
waitclock;
$display("Configuration Write: %x=%x", address, data);
csr_we = 1'b0;
end
endtask
task csrread;
input [31:0] address;
begin
csr_a = address[16:2];
waitclock;
$display("Configuration Read : %x=%x", address, csr_do);
end
endtask
always begin
sys_rst = 1'b1;
csr_a = 14'd0;
csr_di = 32'd0;
csr_we = 1'b0;
cmd_txen = 1'b0;
waitclock;
sys_rst = 1'b0;
waitclock;
$dumpvars(0, dut);
$dumpfile("memcard.vcd");
csrwrite(32'h00, 32'h0a); /* clock fast */
csrwrite(32'h04, 32'h1); /* enable TX */
waitnclock(256);
csrwrite(32'h10, 32'h51);
waitnclock(256);
csrwrite(32'h10, 32'h00);
waitnclock(256);
csrwrite(32'h10, 32'h00);
waitnclock(256);
csrwrite(32'h10, 32'h00);
waitnclock(256);
csrwrite(32'h10, 32'h00);
waitnclock(256);
csrwrite(32'h10, 32'h55);
waitnclock(256);
csrwrite(32'h08, 32'h2); /* reset RX */
csrwrite(32'h04, 32'h2); /* disable TX and enable RX */
waitnclock(13);
cmd_txen = 1'b1;
waitnclock(256);
csrread(32'h10);
csrwrite(32'h08, 32'h2);
waitnclock(256);
csrread(32'h10);
csrwrite(32'h08, 32'h2);
$finish;
end
endmodule
|
// spdx-filecopyrighttext: 2021 konrad rzeszutek wilk
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
// spdx-license-identifier: apache-2.0
`default_nettype none
`timescale 1ns/1ns
`ifdef formal
`define mprj_io_pads 38
`endif
`ifdef verilator
`define mprj_io_pads 38
`endif
module wb_logic #(
parameter [31:0] base_address = 32'h30000000,
parameter clock_width = 6
) (
input wire [`mprj_io_pads-1:0] buf_io_out,
output reg [clock_width-1:0] clock_op,
input wire reset,
output wire [2:0] irq_out,
output wire switch_out,
/* wishbone logic */
input wire wb_clk_i,
input wire wb_rst_i,
input wire wbs_stb_i, /* strobe */
input wire wbs_cyc_i,
input wire wbs_we_i,
input wire [3:0] wbs_sel_i,
input wire [31:0] wbs_dat_i,
input wire [31:0] wbs_adr_i,
output wire wbs_ack_o,
output wire [31:0] wbs_dat_o
);
wire wb_active = wbs_stb_i & wbs_cyc_i;
reg [31:0] buffer;
reg [31:0] buffer_o;
reg fibonacci_switch;
reg transmit;
reg [2:0] tickle_irq;
reg panic;
/* ctrl_get parameters. */
localparam ctrl_get_nr = base_address;
localparam ctrl_nr = 9;
localparam ctrl_get_id = base_address + 'h4;
localparam ctrl_id = 32'h4669626f; /* fibo */
localparam default = 32'hf00df00d;
/* ctrl_set parameters */
localparam ctrl_set_irq = base_address + 'h8;
localparam ack = 32'h0000001;
localparam nack = 32'h0000000;
localparam ctrl_fibonacci_clock = base_address + 'h10;
localparam ctrl_fibonacci_ctrl = base_address + 'h0c;
localparam turn_on = 1'b1;
localparam turn_off = 1'b0;
localparam ctrl_fibonacci_val = base_address + 'h14;
localparam ctrl_write = base_address + 'h18;
localparam ctrl_read = base_address + 'h1c;
localparam ctrl_panic = base_address + 'h20;
always @(posedge wb_clk_i) begin
if (reset) begin
buffer_o <= default;
buffer <= default;
tickle_irq <= 3'b0;
panic <= 1'b0;
fibonacci_switch <= 1'b1;
clock_op <= 6'b000001; /* todo: move this out? */
transmit <= 1'b0;
end else begin
if (transmit)
transmit <= 1'b0;
/* read case */
if (wb_active && !wbs_we_i) begin
case (wbs_adr_i)
ctrl_get_nr:
begin
buffer_o <= ctrl_nr;
end
ctrl_get_id:
buffer_o <= ctrl_id;
ctrl_fibonacci_clock:
buffer_o <= {26'b0, clock_op};
ctrl_fibonacci_ctrl:
buffer_o <= {31'b0, fibonacci_switch};
ctrl_fibonacci_val:
buffer_o <= {2'h0, buf_io_out[37:8]};
ctrl_read:
buffer_o <= buffer;
ctrl_panic:
buffer_o <= {31'b0, panic};
default:
buffer_o <= nack;
endcase
if (wbs_adr_i >= base_address && wbs_adr_i <= ctrl_panic)
transmit <= 1'b1;
end
/* write case */
if (wb_active && wbs_we_i && &wbs_sel_i) begin
case (wbs_adr_i)
ctrl_set_irq:
begin
tickle_irq <= wbs_dat_i[2:0];
buffer_o <= ack;
end
ctrl_fibonacci_ctrl:
begin
fibonacci_switch <= wbs_dat_i[0];
buffer_o <= ack;
end
ctrl_fibonacci_clock:
begin
clock_op <= wbs_dat_i[clock_width-1:0];
buffer_o <= ack;
end
ctrl_write:
begin
buffer <= wbs_dat_i;
buffer_o <= ack;
end
ctrl_panic:
begin
panic <= 1'b1;
buffer <= wbs_dat_i;
buffer_o <= ack;
end
default:
buffer_o <= nack;
endcase
if (wbs_adr_i >= base_address && wbs_adr_i <= ctrl_panic)
transmit <= 1'b1;
end
end
end
assign wbs_ack_o = reset ? 1'b0 : transmit;
assign wbs_dat_o = reset ? 32'b0 : buffer_o;
assign switch_out = reset ? 1'b0 : fibonacci_switch;
assign irq_out = reset ? 3'b000 : (|tickle_irq ? tickle_irq : 3'b000);
endmodule
`default_nettype wire
|
/*
* this ip is the atmega 8bit timer simulation.
*
* copyright (c) 2020 iulian gheorghiu (morgoth@devboard.tech)
*
* this program is free software; you can redistribute it and/or
* modify it under the terms of the gnu general public license
* as published by the free software foundation; either version 2
* of the license, or (at your option) any later version.
*
* this program is distributed in the hope that it will be useful,
* but without any warranty; without even the implied warranty of
* merchantability or fitness for a particular purpose. see the
* gnu general public license for more details.
*
* you should have received a copy of the gnu general public license
* along with this program; if not, write to the free software
* foundation, inc., 51 franklin street, fifth floor, boston, ma 02110-1301, usa.
*/
`timescale 1ns / 1ps
module atmega_tim_8bit_sim(
);
reg clk = 1;
reg rst = 1;
always #(1) clk <= ~clk;
reg [5:0]io_addr = 0;
reg [7:0]io_bus_in = 0;
reg io_wr;
reg io_rd;
reg [7:0]data_addr = 0;
reg [7:0]data_bus_in = 0;
reg data_wr;
reg data_rd;
wire tov_int;
reg tov_int_rst;
wire ocra_int;
reg ocra_int_rst;
wire ocrb_int;
reg ocrb_int_rst;
wire ocrc_int;
reg ocrc_int_rst;
wire ocrd_int;
reg ocrd_int_rst;
wire oca;
wire ocb;
wire occ;
wire ocd;
initial begin
io_addr = 0;
io_bus_in = 8'h00;
io_rd = 1'b0;
io_wr = 1'b0;
data_addr = 'h00;
data_bus_in = 8'h00;
data_wr = 1'b0;
data_rd = 1'b0;
tov_int_rst = 1'b0;
ocra_int_rst = 1'b0;
ocrb_int_rst = 1'b0;
ocrc_int_rst = 1'b0;
ocrd_int_rst = 1'b0;
wait(clk);
wait(~clk);
rst = 1;
wait(~clk);
wait(clk);
#0.1; // insert real logick delay because, always signals arrive after clock.
rst = 0;
#10;
data_addr = 'h89; // ocrah
data_bus_in = 8'h00;
data_wr = 1'b1;
#2;
data_wr = 1'b0;
#4;
data_addr = 'h88; // ocral
data_bus_in = 8'h7f;
data_wr = 1'b1;
#2;
data_wr = 1'b0;
#4;
data_addr = 'h8b; // ocrbh
data_bus_in = 8'h00;
data_wr = 1'b1;
#2;
data_wr = 1'b0;
#4;
data_addr = 'h8a; // ocrbl
data_bus_in = 8'h3f;
data_wr = 1'b1;
#2;
data_wr = 1'b0;
#4;
data_addr = 'h8d; // ocrch
data_bus_in = 8'h00;
data_wr = 1'b1;
#2;
data_wr = 1'b0;
#4;
data_addr = 'h8c; // ocrcl
data_bus_in = 8'h1f;
data_wr = 1'b1;
#2;
data_wr = 1'b0;
#4;
data_addr = 'h8f; // ocrdh
data_bus_in = 8'h00;
data_wr = 1'b1;
#2;
data_wr = 1'b0;
#4;
data_addr = 'h8e; // ocrdl
data_bus_in = 8'h0f;
data_wr = 1'b1;
#2;
data_wr = 1'b0;
#4;
data_addr = 'h6f; // timsk
data_bus_in = 8'b00011111;
data_wr = 1'b1;
#2;
data_wr = 1'b0;
#4;
data_addr = 'h80; // tccra
data_bus_in = 8'b10101000;
data_wr = 1'b1;
#2;
data_wr = 1'b0;
#4;
data_addr = 'h81; // tccrb
data_bus_in = 8'b00001001;
data_wr = 1'b1;
#2;
data_wr = 1'b0;
#4;
while(1)
begin
if(tov_int)
begin
#2;
tov_int_rst = 1'b1;
#2;
tov_int_rst = 1'b0;
end
if(ocra_int)
begin
#2;
ocra_int_rst = 1'b1;
#2;
ocra_int_rst = 1'b0;
end
if(ocrb_int)
begin
#2;
ocrb_int_rst = 1'b1;
#2;
ocrb_int_rst = 1'b0;
end
if(ocrc_int)
begin
#2;
ocrc_int_rst = 1'b1;
#2;
ocrc_int_rst = 1'b0;
end
if(ocrd_int)
begin
#2;
ocrd_int_rst = 1'b1;
#2;
ocrd_int_rst = 1'b0;
end
#2;
end
end
wire clk8;
wire clk64;
wire clk256;
wire clk1024;
tim_013_prescaller tim_013_prescaller_inst(
.rst(rst),
.clk(clk),
.clk8(clk8),
.clk64(clk64),
.clk256(clk256),
.clk1024(clk1024)
);
wire [7:0]io_tim0_d_out;
wire [7:0]dat_tim0_d_out;
atmega_tim_16bit # (
.platform("xilinx"),
.use_ocrb("true"),
.use_ocrc("true"),
.use_ocrd("false"),
.bus_addr_io_len(6),
.bus_addr_data_len(8),
.gtccr_addr('h23),
.tccra_addr('h80),
.tccrb_addr('h81),
.tccrc_addr('h82),
.tccrd_addr('h0),
.tcntl_addr('h84),
.tcnth_addr('h85),
.icrl_addr('h86),
.icrh_addr('h87),
.ocral_addr('h88),
.ocrah_addr('h89),
.ocrbl_addr('h8a),
.ocrbh_addr('h8b),
.ocrcl_addr('h8c),
.ocrch_addr('h8d),
.ocrdl_addr('h0),
.ocrdh_addr('h0),
.timsk_addr('h6f),
.tifr_addr('h16),
.dinamic_baudrate("true"),
.baudrate_divider(1)
)tim_1_sim(
.rst(rst),
.clk(clk),
.clk8(clk8),
.clk64(clk64),
.clk256(clk256),
.clk1024(clk1024),
.addr_io(io_addr),
.wr_io(io_wr),
.rd_io(io_rd),
.bus_io_in(io_bus_in),
.bus_io_out(io_tim0_d_out),
.addr_dat(data_addr[7:0]),
.wr_dat(data_wr),
.rd_dat(data_rd),
.bus_dat_in(data_bus_in),
.bus_dat_out(dat_tim0_d_out),
.tov_int(tov_int),
.tov_int_rst(tov_int_rst),
.ocra_int(ocra_int),
.ocra_int_rst(ocra_int_rst),
.ocrb_int(ocrb_int),
.ocrb_int_rst(ocrb_int_rst),
.ocrc_int(ocrc_int),
.ocrc_int_rst(ocrc_int_rst),
.ocrd_int(ocrd_int),
.ocrd_int_rst(ocrd_int_rst),
.t(),
.oca(oca),
.ocb(ocb),
.occ(occ),
.ocd(ocd),
.oca_io_connect(),
.ocb_io_connect(),
.occ_io_connect(),
.ocd_io_connect()
);
endmodule
|
/*
* Copyright 2012, Homer Hsing <homer.hsing@gmail.com>
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
`timescale 1ns / 1ps
`define P 20 // clock period
module test_fsm;
// Inputs
reg clk;
reg reset;
reg [25:0] rom_q;
// Outputs
wire [8:0] rom_addr;
wire [5:0] ram_a_addr;
wire [5:0] ram_b_addr;
wire ram_b_w;
wire [10:0] pe;
wire done;
// Instantiate the Unit Under Test (UUT)
FSM uut (
.clk(clk),
.reset(reset),
.rom_addr(rom_addr),
.rom_q(rom_q),
.ram_a_addr(ram_a_addr),
.ram_b_addr(ram_b_addr),
.ram_b_w(ram_b_w),
.pe(pe),
.done(done)
);
initial begin
// Initialize Inputs
clk = 0;
reset = 0;
// Wait 100 ns for global reset to finish
#100;
// Add stimulus here
#(`P/2); reset = 1; #(`P); reset = 0;
@(posedge done);
$finish;
end
initial #100 forever #(`P/2) clk = ~clk;
/* rom code format
* wire [5:0] dest, src1, src2, times; wire [1:0] op;
* assign {dest, src1, op, times, src2} = rom_q;
*/
parameter ADD=2'd0, SUB=2'd1, CUBIC=2'd2, MULT=2'd3;
always @ (posedge clk)
case(rom_addr)
0: rom_q <= {6'd10, 6'd11, ADD, 6'd1, 6'd12};
1: rom_q <= {6'd20, 6'd21, SUB, 6'd1, 6'd22};
2: rom_q <= {6'd30, 6'd31, CUBIC, 6'd5, 6'd32};
3: rom_q <= {6'd40, 6'd41, MULT, 6'd33, 6'd42};
default: rom_q <= 0;
endcase
endmodule
|
/*copyright 2018-2021 t-head semiconductor co., ltd.
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and
limitations under the license.
*/
module ahb_fifo_counter(
counter_done,
counter_en,
counter_load,
cpu_clk,
cpu_rst_b
);
// &ports; @20
input counter_en;
input [31:0] counter_load;
input cpu_clk;
input cpu_rst_b;
output counter_done;
// ®s; @21
reg [31:0] counter;
reg counter_en_ff;
// &wires; @22
wire counter_done;
wire counter_en;
wire [31:0] counter_load;
wire cpu_clk;
wire cpu_rst_b;
wire load_cnt_en;
always @(posedge cpu_clk or negedge cpu_rst_b)
begin
if(!cpu_rst_b)
begin
counter_en_ff <= 1'b0;
end
else
begin
counter_en_ff <=counter_en ;
end
end
assign load_cnt_en= (counter_en && !counter_en_ff);
/// || !(|counter[31:0]);
always @(posedge cpu_clk or negedge cpu_rst_b)
begin
if(!cpu_rst_b)
begin
counter[31:0] <= 32'h0;
end
else if (load_cnt_en)
begin
counter[31:0] <= counter_load[31:0];
end
else if (counter_done)
counter[31:0] <= 32'b0;
else
counter[31:0] <= counter[31:0] -1'b1;
end
assign counter_done = (counter[31:0] == 32'b0) ;
// &force("output","counter_done"); @56
// &moduleend; @57
endmodule
|
/*
* next state calculation for fetch fsm
* copyright (c) 2010 zeus gomez marmolejo <zeus@aluzina.org>
*
* this file is part of the zet processor. this processor is free
* hardware; you can redistribute it and/or modify it under the terms of
* the gnu general public license as published by the free software
* foundation; either version 3, or (at your option) any later version.
*
* zet is distrubuted in the hope that it will be useful, but without
* any warranty; without even the implied warranty of merchantability
* or fitness for a particular purpose. see the gnu general public
* license for more details.
*
* you should have received a copy of the gnu general public license
* along with zet; see the file copying. if not, see
* <http://www.gnu.org/licenses/>.
*/
module zet_nstate (
input [2:0] state,
input prefix,
input need_modrm,
input need_off,
input need_imm,
input end_seq,
input [5:0] ftype,
input of,
input next_in_opco,
input next_in_exec,
input block,
input div_exc,
input tflm,
input intr,
input iflm,
input nmir,
input iflss,
output [2:0] next_state
);
// net declarations
parameter opcod_st = 3'h0;
parameter modrm_st = 3'h1;
parameter offse_st = 3'h2;
parameter immed_st = 3'h3;
parameter execu_st = 3'h4;
wire into, end_instr, end_into;
wire [2:0] n_state;
wire intr_iflm;
wire intrs_tni;
// assignments
assign into = (ftype==6'b111_010);
assign end_into = into ? ~of : end_seq;
assign end_instr = !div_exc && !intrs_tni && end_into && !next_in_exec;
assign intr_iflm = intr & iflm;
assign intrs_tni = (tflm | nmir | intr_iflm) & iflss;
assign n_state = (state == opcod_st) ? (prefix ? opcod_st
: (next_in_opco ? opcod_st
: (need_modrm ? modrm_st
: (need_off ? offse_st
: (need_imm ? immed_st : execu_st)))))
: (state == modrm_st) ? (need_off ? offse_st
: (need_imm ? immed_st : execu_st))
: (state == offse_st) ? (need_imm ? immed_st : execu_st)
: (state == immed_st) ? (execu_st)
/* state == execu_st */ : (end_instr ? opcod_st : execu_st);
assign next_state = block ? state : n_state;
endmodule
|
// Atari XL/XE SD cartridge
// Copyright (C) 2013 Piotr Wiszowaty
//
// This program is free software: you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation, either version 3 of the License, or
// (at your option) any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program. If not, see http://www.gnu.org/licenses/.
`timescale 1ns / 1ps
module main(
input cart_fi2,
output cart_fi2_copy,
input fi2,
input cart_s4,
input cart_s5,
input cart_rw,
input cart_cctl,
output reg cart_rd4 = 1,
output reg cart_rd5 = 1,
input [12:0] cart_addr,
inout [7:0] cart_data,
output ram_oe,
output ram_we,
output [14:0] ram_addr,
inout [7:0] ram_data,
input clk,
inout [7:0] uc_data,
output reg uc_ack = 0,
input uc_read,
input uc_write,
input set_addr_lo,
input set_addr_hi,
input strobe_addr,
output aux0,
input aux1,
input cart_write_enable,
/*input aux3,*/
/*input aux4,*/
/*input aux5,*/
output dbg0,
output dbg1);
wire cart_select;
wire cart_ram_select;
wire cart_d5_select;
wire cart_d5ef_select;
wire fi2_falling;
wire fi2_rising;
reg state_cart_write = 0;
reg state_cart_read = 0;
reg state_uc_write = 0;
reg state_uc_read = 0;
reg [1:0] phase = 2'b01;
reg [1:0] fi2_r = 2'b00;
reg s4_r = 1;
reg s5_r = 1;
reg rw_r = 1;
reg cctl_r = 1;
reg rd4_r = 1;
reg rd5_r = 1;
reg [7:0] cart_out_data_latch;
reg [14:0] uc_addr = 0;
reg [7:0] uc_out_data_latch = 0;
reg [13:0] read_address = 0;
assign cart_fi2_copy = cart_fi2 ^ aux1;
assign fi2_falling = fi2_r[1] & ~fi2_r[0];
assign fi2_rising = ~fi2_r[1] & fi2_r[0];
assign cart_ram_select = s4_r ^ s5_r;
assign cart_d5_select = ~cctl_r & (cart_addr[7:3] == 5'b11101); // D5E8-D5EF
//assign cart_d5ef_select = ~cctl_r & (cart_addr[7:0] == 8'b11101111); // D5EF
assign cart_d5ef_select = cart_d5_select & cart_addr[2:0] == 3'b111;
assign cart_select = cart_ram_select | cart_d5_select;
assign cart_data = (cart_select & cart_rw & cart_fi2) ? cart_out_data_latch : 8'hzz;
assign ram_addr = (state_cart_read & cart_d5ef_select) ? {1'b1, read_address} :
(state_cart_write | state_cart_read) ? {cctl_r, s4_r, cart_addr} :
uc_addr;
assign ram_data = state_cart_write ? cart_data :
state_uc_write ? uc_data :
8'hzz;
assign uc_data = uc_read ? uc_out_data_latch : 8'hzz;
always @(posedge strobe_addr) begin
if (set_addr_lo)
uc_addr[7:0] <= uc_data;
else if (set_addr_hi)
uc_addr[14:8] <= uc_data[6:0];
else
uc_addr <= uc_addr + 1;
end
always @(posedge fi2) begin
s4_r <= cart_s4;
s5_r <= cart_s5;
rw_r <= cart_rw;
cctl_r <= cart_cctl;
end
always @(posedge clk) begin
fi2_r <= {fi2_r[0], fi2};
if (state_cart_write | state_cart_read | state_uc_write | state_uc_read)
case (phase)
2'b01: phase <= 2'b11;
2'b11: phase <= 2'b10;
2'b10: phase <= 2'b00;
2'b00: phase <= 2'b01;
endcase
case ({state_cart_write, state_cart_read, state_uc_write, state_uc_read})
// idle
4'b0000:
if (fi2_rising & ~rw_r & (cart_d5_select | (cart_ram_select & cart_write_enable)))
state_cart_write <= 1;
else if (fi2_rising & rw_r & cart_select)
state_cart_read <= 1;
else if (fi2_falling & uc_write & ~uc_ack)
state_uc_write <= 1;
else if (fi2_falling & uc_read & ~uc_ack)
state_uc_read <= 1;
// cart write
4'b1000:
if (phase == 2'b00)
state_cart_write <= 0;
// cart read
4'b0100:
if (phase == 2'b00)
state_cart_read <= 0;
// uc write
4'b0010:
if (phase == 2'b00)
state_uc_write <= 0;
// uc read
4'b0001:
if (phase == 2'b00)
state_uc_read <= 0;
endcase
if (state_cart_read & phase == 2'b10)
cart_out_data_latch <= ram_data;
if (cart_d5_select & state_cart_write & phase[1] & cart_addr[2:0] == 3'b111)
{rd5_r, rd4_r} <= cart_data[7:6];
if (state_uc_read & phase == 2'b10)
uc_out_data_latch <= ram_data;
if ((state_uc_write | state_uc_read) & phase == 2'b00)
uc_ack <= 1;
else if (~uc_write & ~uc_read)
uc_ack <= 0;
if (fi2_rising & ~cart_select)
{cart_rd5, cart_rd4} <= {rd5_r, rd4_r};
if (state_cart_read & cart_d5ef_select & phase == 2'b00)
read_address <= read_address + 1;
else if (state_cart_write & cart_d5ef_select & phase == 2'b00)
read_address <= {cart_data[4:0], 9'b0};
end
assign ram_oe = ~(state_cart_read | state_uc_read);
assign ram_we = ~((state_cart_write | state_uc_write) & phase[1]);
assign dbg0 = state_uc_read;
assign dbg1 = ram_oe;
assign aux0 = 1;
endmodule
|
`timescale 1ns / 1ps
/* This file is part of JT12.
JT12 program is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.
JT12 program is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with JT12. If not, see <http://www.gnu.org/licenses/>.
Based on Sauraen VHDL version of OPN/OPN2, which is based on die shots.
Author: Jose Tejada Gomez. Twitter: @topapate
Version: 1.0
Date: 27-1-2017
*/
module jt12_opram
(
input [4:0] wr_addr,
input [4:0] rd_addr,
input clk,
input clk_en,
input [43:0] data,
output reg [43:0] q
);
reg [43:0] ram[31:0];
always @ (posedge clk) if(clk_en) begin
q <= ram[rd_addr];
ram[wr_addr] <= data;
end
endmodule
|
//---------------------------------------------------------------------------
//-- Copyright 2015 - 2017 Systems Group, ETH Zurich
//--
//-- This hardware module is free software: you can redistribute it and/or
//-- modify it under the terms of the GNU General Public License as published
//-- by the Free Software Foundation, either version 3 of the License, or
//-- (at your option) any later version.
//--
//-- This program is distributed in the hope that it will be useful,
//-- but WITHOUT ANY WARRANTY; without even the implied warranty of
//-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
//-- GNU General Public License for more details.
//--
//-- You should have received a copy of the GNU General Public License
//-- along with this program. If not, see <http://www.gnu.org/licenses/>.
//---------------------------------------------------------------------------
module rem_top_ff #(parameter CHAR_COUNT=32, DELIMITER=0, STATE_COUNT=4)
(
clk,
rst, //active high
softRst,
input_valid,
input_data,
input_ready,
output_valid,
output_match,
output_index
);
input clk;
input rst;
input softRst;
input input_valid;
input [511:0] input_data;
output reg input_ready;
output reg output_valid;
output reg output_match;
output reg [15:0] output_index;
reg scan_mode;
reg input_wasvalid;
reg input_wasready;
reg input_hasdata;
reg [511:0] input_datareg;
reg config_valid;
reg [CHAR_COUNT*8-1:0] config_chars;
reg [CHAR_COUNT/2-1:0] config_ranges;
reg [CHAR_COUNT-1:0] config_conds;
reg [STATE_COUNT*(CHAR_COUNT)-1:0] config_state_pred;
reg [STATE_COUNT*STATE_COUNT-1:0] config_state_act;
reg restart;
reg wait_new;
reg wait_conf;
wire pred_valid;
wire [CHAR_COUNT-1:0] pred_bits;
wire [15:0] pred_index;
wire pred_last;
reg need_purge;
reg pred_valid_D;
reg pred_last_D;
reg [15:0] pred_index_D;
reg [STATE_COUNT*(CHAR_COUNT)-1:0] state_pred_masks;
reg [STATE_COUNT*STATE_COUNT-1:0] state_act_masks;
wire [STATE_COUNT-1:0] state_match_bits;
wire [STATE_COUNT-1:0] state_inact_bits;
wire [STATE_COUNT-1:0] state_outact_bits;
reg [STATE_COUNT*4-1:0] state_inact_delays;
reg [STATE_COUNT-1:0] always_activated;
reg [STATE_COUNT-1:0] state_act_sticky;
reg [15:0] string_length;
reg [7:0] length_remaining ;
reg [5:0] byte_addr;
reg waiting_pred;
reg dec_valid;
reg dec_last;
reg [7:0] dec_char;
reg rstBuf;
localparam STATE_ACT_SIZE = (STATE_COUNT*STATE_COUNT % 8 ==0) ? STATE_COUNT*STATE_COUNT : STATE_COUNT*STATE_COUNT+8-(STATE_COUNT*STATE_COUNT%8);
rem_decoder #(
.CHAR_COUNT(CHAR_COUNT),
.DELIMITER(DELIMITER)
) decoder_inst (
.clk(clk),
.rst(rstBuf),
.config_valid(config_valid),
.config_chars(config_chars),
.config_ranges(config_ranges),
.config_conds(config_conds),
.input_valid(dec_valid),
.input_last(dec_last),
.input_char(dec_char),
.index_rewind(wait_new),
.output_valid(pred_valid),
.output_data(pred_bits),
.output_index(pred_index),
.output_last(pred_last)
);
genvar X;
generate
for (X=0; X<STATE_COUNT; X=X+1)
begin: gen_states
rem_onestate onestate_inst (
.clk(clk),
.rst(rstBuf | wait_new),
.is_sticky(state_act_sticky),
.delay_valid(config_valid),
.delay_cycles(state_inact_delays[X*4 +: 4]),
.pred_valid(pred_valid),
.pred_match(state_match_bits[X]),
.act_input(state_inact_bits[X]),
.act_output(state_outact_bits[X])
);
assign state_match_bits[X] = ((state_pred_masks[(X+1)*(CHAR_COUNT)-1:X*(CHAR_COUNT)] & pred_bits) == 0 && state_pred_masks[(X+1)*(CHAR_COUNT)-1:X*(CHAR_COUNT)]!=0) ? 0 : 1;
assign state_inact_bits[X] = ((state_act_masks[(X+1)*STATE_COUNT-1:X*STATE_COUNT] & state_outact_bits) != 0) ? 1 : always_activated[X];
end
endgenerate
integer ind;
always @(posedge clk) begin
pred_valid_D <= pred_valid;
pred_last_D <= pred_last;
pred_index_D <= pred_index;
rstBuf <= rst;
if (rst) begin
output_valid <= 0;
always_activated <= 0;
string_length <= 0;
wait_new <= 1;
wait_conf <= 1;
restart <= 0;
need_purge <= 0;
input_ready <= 1;
config_valid <= 0;
dec_valid <= 0;
dec_last <= 0;
input_wasready <= input_ready;
input_wasvalid <= input_valid;
input_hasdata <= 0;
state_inact_delays <= 0;
waiting_pred <= 0;
scan_mode <= 0;
end
else begin
if (restart) begin
wait_conf <= 1 & (~scan_mode);
wait_new <= 1;
restart <= 0;
end
if (softRst) begin
wait_conf <= 1;
wait_new <= 1;
restart <= 0;
end
input_wasvalid <= input_valid;
input_wasready <= input_ready;
output_valid <= 0;
config_valid <= 0;
dec_valid <= 0;
dec_last <= 0;
if (input_valid==1) begin
input_ready <= 0;
end
input_hasdata <= input_ready==1 ? 0 : input_hasdata;
if (input_ready && input_valid) begin
input_datareg <= input_data;
input_hasdata <= 1;
end
if (input_hasdata==1 && wait_conf==1) begin
config_valid <= 1;
config_chars <= input_datareg[CHAR_COUNT*8-1:0];
config_ranges <= input_datareg[CHAR_COUNT/2 + CHAR_COUNT*8-1 : CHAR_COUNT*8];
config_conds <= input_datareg[CHAR_COUNT-1+CHAR_COUNT/2 + CHAR_COUNT*8:CHAR_COUNT/2 + CHAR_COUNT*8];
config_state_pred <= input_datareg[STATE_COUNT*CHAR_COUNT+CHAR_COUNT+CHAR_COUNT/2 + CHAR_COUNT*8-1:CHAR_COUNT/2 + CHAR_COUNT*8+CHAR_COUNT];
config_state_act <= input_datareg[STATE_COUNT*STATE_COUNT+STATE_COUNT*CHAR_COUNT+CHAR_COUNT+CHAR_COUNT/2 + CHAR_COUNT*8-1:STATE_COUNT*CHAR_COUNT+CHAR_COUNT+CHAR_COUNT/2 + CHAR_COUNT*8];
state_pred_masks <= input_datareg[STATE_COUNT*CHAR_COUNT+CHAR_COUNT+CHAR_COUNT/2 + CHAR_COUNT*8-1:CHAR_COUNT/2 + CHAR_COUNT*8+CHAR_COUNT];
state_act_masks <= input_datareg[STATE_COUNT*STATE_COUNT+STATE_COUNT*CHAR_COUNT+CHAR_COUNT+CHAR_COUNT/2 + CHAR_COUNT*8-1:STATE_COUNT*CHAR_COUNT+CHAR_COUNT+CHAR_COUNT/2 + CHAR_COUNT*8];
state_inact_delays <= input_datareg[STATE_COUNT*4-1+STATE_ACT_SIZE+STATE_COUNT*CHAR_COUNT+CHAR_COUNT+CHAR_COUNT/2 + CHAR_COUNT*8 : STATE_ACT_SIZE+STATE_COUNT*CHAR_COUNT+CHAR_COUNT+CHAR_COUNT/2 + CHAR_COUNT*8];
state_act_sticky <= input_datareg[STATE_COUNT-1+STATE_COUNT*4+STATE_ACT_SIZE+STATE_COUNT*CHAR_COUNT+CHAR_COUNT+CHAR_COUNT/2 + CHAR_COUNT*8 : STATE_COUNT*4+STATE_ACT_SIZE+STATE_COUNT*CHAR_COUNT+CHAR_COUNT+CHAR_COUNT/2 + CHAR_COUNT*8];
for (ind=0; ind<STATE_COUNT; ind=ind+1) begin
always_activated[ind]=0;
if (input_datareg[(ind)*STATE_COUNT+STATE_COUNT*CHAR_COUNT+CHAR_COUNT+CHAR_COUNT/2 + CHAR_COUNT*8 +: STATE_COUNT]==0) always_activated[ind]=1;
end
wait_conf <= 0;
input_ready <= 1;
scan_mode <= input_datareg[511];
end
if (restart==0 && wait_conf==0) begin
if (!input_ready && input_hasdata==1 && wait_new==1) begin
byte_addr <= 2;
string_length <= input_datareg[15:0];
length_remaining <= (input_datareg[15:0]+63)/64;
wait_new <= 0;
if (input_datareg[15:0]==0) begin
wait_new <=1;
input_ready <= 1;
end
end
if (!input_ready && input_hasdata==1 && wait_new==0) begin
if (byte_addr<=63) begin
dec_valid <= 1;
dec_char <= input_datareg[byte_addr[5:0]*8 +: 8];
byte_addr <= byte_addr+1;
if (byte_addr==63 && length_remaining==1) begin
dec_last <= 1;
end else begin
dec_last <= 0;
end
end
if (byte_addr==63 && length_remaining>1) begin
byte_addr <= 0;
input_ready <= 1;
length_remaining <= length_remaining-1;
end
else if (byte_addr==63 && length_remaining==1 && !need_purge) begin
byte_addr <= 0;
input_hasdata <= 0;
waiting_pred <= 1;
length_remaining <= 0;
end
if (need_purge==1) begin
if (length_remaining>1) begin
byte_addr <= 64;
length_remaining <= length_remaining-1;
input_ready <= 1;
end
else begin
byte_addr <= 0;
restart <= 1;
input_ready <= 1;
need_purge <= 0;
end
end
end
if (!need_purge && !wait_new && pred_valid_D==1 && (state_outact_bits[STATE_COUNT-1]==1 || pred_last_D==1)) begin
output_valid <= 1;
output_match <= state_outact_bits[STATE_COUNT-1]==1;
output_index <= pred_index_D;
if (!waiting_pred) begin
need_purge<=1;
end
else begin
waiting_pred <= 0;
byte_addr <= 0;
restart <= 1;
input_ready <= 1;
need_purge <= 0;
end
end
if (!input_hasdata && output_valid==1 && waiting_pred==1) begin
waiting_pred <= 0;
byte_addr <= 0;
restart <= 1;
input_ready <= 1;
need_purge <= 0;
end
if (!need_purge && waiting_pred==1 && pred_valid_D==0 && length_remaining==0) begin
output_valid <= 1;
output_match <= 0;
output_index <= 0;
waiting_pred <= 0;
byte_addr <= 0;
restart <= 1;
input_ready <= 1;
need_purge <= 0;
end
end
end
end
endmodule
|
/*
:Project
FPGA-Imaging-Library
:Design
ColorRGB24toVGA
:Function
Covert 24bits-RGB to VGA.
:Module
Main module
:Version
1.0
:Modified
2015-05-12
Copyright (C) 2015 Tianyu Dai (dtysky) <dtysky@outlook.com>
This library is free software; you can redistribute it and/or
modify it under the terms of the GNU Lesser General Public
License as published by the Free Software Foundation; either
version 2.1 of the License, or (at your option) any later version.
This library is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
Lesser General Public License for more details.
You should have received a copy of the GNU Lesser General Public
License along with this library; if not, write to the Free Software
Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA
Homepage for this project:
http://fil.dtysky.moe
Sources for this project:
https://github.com/dtysky/FPGA-Imaging-Library
My e-mail:
dtysky@outlook.com
My blog:
http://dtysky.moe
*/
`timescale 1ns / 1ps
module ColorRGB24toVGA(
input[23:0] rgb24,
output[15:0] vga
);
assign vga[15:11] = rgb24[23:19];
assign vga[10:5] = rgb24[15:10];
assign vga[4:0] = rgb24[7:3];
endmodule
|
/* Argmin helper is the recursive step of the argmin function.
*
* Copyright (c) 2016, Stephen Longfield, stephenlongfield.com
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
`ifndef CENSUS_ARGMIN_HELPER_V_
`define CENSUS_ARGMIN_HELPER_V_
`include "argmin_stage.v"
`include "dff.v"
// Argmin helper is an individual 'layer' of the argmin tree.
// Argmin is made out of a pipelined tree of argmin helpers, each of which looks
// to see if the left or right value is smaller, and then forwards along the
// minimum value, along with the 'address' of the minimum for the section of the
// list it's scanned so far. For instance, for a length-6 list:
//
// Out
// _|_
// 0/ \1
// __|__ |___
// 0/ \1 \0
// X X X
// 0/ \1 0/ \1 0/ \1
// 0 1 2 3 4 5
//
// If element 3 is the minimum, then follow the path from the output back to
// 3 to get the address, 011, or 3. Note that when a layer isn't a multiple of
// two long, a pass-through stage is used.
module argmin_helper#(
parameter WIDTH=1,
parameter ADDR_WIDTH=1,
parameter NUM_INP=2,
parameter NUM_OUTP=1,
parameter STAGE=1
) (
input wire clk,
input wire rst,
input wire [WIDTH*NUM_INP-1:0] inp,
input wire [ADDR_WIDTH*NUM_INP-1:0] inp_addr,
output wire [WIDTH*NUM_OUTP-1:0] outp,
output wire [ADDR_WIDTH*NUM_OUTP-1:0] outp_addr
);
localparam INP_WIDTH = WIDTH*NUM_INP;
localparam INP_A_WIDTH = ADDR_WIDTH*NUM_INP;
// Unpack the input words
wire [WIDTH-1:0] inp_word[NUM_INP];
wire [ADDR_WIDTH-1:0] inp_addr_word[NUM_INP];
genvar i;
generate
for (i = 0; i < NUM_INP; i++) begin
assign inp_word[i] = inp[(INP_WIDTH-WIDTH*i-1):(INP_WIDTH-WIDTH*(i+1))];
assign inp_addr_word[i] = inp_addr[(INP_A_WIDTH-ADDR_WIDTH*i-1):
(INP_A_WIDTH-ADDR_WIDTH*(i+1))];
end
endgenerate
localparam OUTP_WIDTH = WIDTH*NUM_OUTP;
localparam OUTP_A_WIDTH = ADDR_WIDTH*NUM_OUTP;
// Pack the output words
wire [WIDTH-1:0] outp_word[NUM_OUTP];
wire [ADDR_WIDTH-1:0] outp_addr_word[NUM_OUTP];
genvar j;
generate
for (j = 0; j < NUM_OUTP; j++) begin
assign outp[(OUTP_WIDTH-WIDTH*j-1):(OUTP_WIDTH-WIDTH*(j+1))] = outp_word[j];
assign outp_addr[(OUTP_A_WIDTH-ADDR_WIDTH*j-1):
(OUTP_A_WIDTH-ADDR_WIDTH*(j+1))] = outp_addr_word[j];
end
endgenerate
// Create the different argmin stages.
genvar k;
generate
for (k = 0; k < NUM_INP; k += 2) begin : node
if (k+2 > NUM_INP) begin
// This will be satisfied iff NUM_INP is odd, and we are at the end of the
// list. If that's the case, generate pass-through flip-flops instead of
// the argmin stage.
dff#(.WIDTH(WIDTH)) val(clk, rst, inp_word[k], outp_word[k/2]);
dff#(.WIDTH(ADDR_WIDTH)) addr(clk, rst, inp_addr_word[k],
outp_addr_word[k/2]);
end else begin
// Otherwise, generate an argmin_stage that reduces two inputs to a single
// output.
argmin_stage#(.WIDTH(WIDTH), .ADDR_WIDTH(ADDR_WIDTH), .STAGE(STAGE))
as(clk, rst, inp_word[k], inp_addr_word[k], inp_word[k+1],
inp_addr_word[k+1],
outp_word[k/2], outp_addr_word[k/2]);
end
end
endgenerate
endmodule
`endif // CENSUS_ARGMIN_HELPER_V_
|
/*
* MBus Copyright 2015 Regents of the University of Michigan
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
/*
* Update history:
*
* date: 04/08 '13
* modified content: add external interrupt
* modified by: Ye-sheng Kuo <samkuo@umich.edu>
*
* date: 11/08 '13
* modified content: add power gating macro
* modified by: Ye-sheng Kuo <samkuo@umich.edu>
* --------------------------------------------------------------------------
* IMPORTANT: Don't change blocking statement to non-blocking, it causes
* simulation problems!!
* --------------------------------------------------------------------------
* */
`timescale 1ns/1ps
`include "include/mbus_def.v"
module mbus_wire_ctrl(
input RESETn,
input DOUT_FROM_BUS,
input CLKOUT_FROM_BUS,
`ifdef POWER_GATING
input DIN,
input CLKIN,
input RELEASE_ISO_FROM_SLEEP_CTRL,
input EXTERNAL_INT,
`endif
output reg DOUT,
output reg CLKOUT
);
`ifdef POWER_GATING
always @ *
begin
if (~RESETn)
CLKOUT <= `SD 1'b1;
else if (RELEASE_ISO_FROM_SLEEP_CTRL==`IO_HOLD)
CLKOUT <= `SD CLKIN;
else
CLKOUT <= `SD CLKOUT_FROM_BUS;
if (~RESETn)
DOUT <= `SD 1'b1;
else if (EXTERNAL_INT)
DOUT <= `SD 0;
else
begin
if (RELEASE_ISO_FROM_SLEEP_CTRL==`IO_HOLD)
DOUT <= `SD DIN;
else
DOUT <= `SD DOUT_FROM_BUS;
end
end
`else
always @ *
begin
if (~RESETn)
CLKOUT <= `SD 1'b1;
else
CLKOUT <= `SD CLKOUT_FROM_BUS;
if (~RESETn)
DOUT <= `SD 1'b1;
else
DOUT <= `SD DOUT_FROM_BUS;
end
`endif
endmodule // mbus_wire_ctrl_wresetn
|
// the phoenix risc-v processor
// a reconfigurable embedded platform for approximate computing and fault-tolerant applications
// description: control status register file module
// copyright 2024 iran university of science and technology. <phoenix.digital.electronics@gmail.com>
// permission to use, copy, modify, and/or distribute this software for any
// purpose with or without fee is hereby granted, provided that the above
// copyright notice and this permission notice appear in all copies.
`include "defines.v"
module control_status_register_file
(
input wire clk,
input wire reset,
input wire [ 6 : 0] opcode,
input wire [ 2 : 0] funct3,
input wire [ 6 : 0] funct7,
input wire [11 : 0] funct12,
input wire [ 4 : 0] write_index,
input wire read_enable_csr,
input wire write_enable_csr,
input wire [11 : 0] csr_read_index,
input wire [11 : 0] csr_write_index,
input wire [31 : 0] csr_write_data,
output reg [31 : 0] csr_read_data,
output wire [31 : 0] alucsr_wire,
output wire [31 : 0] mulcsr_wire,
output wire [31 : 0] divcsr_wire
);
reg [31 : 0] alucsr_reg; // arithmetic logic unit aproximation control register
assign alucsr_wire = alucsr_reg;
reg [31 : 0] mulcsr_reg; // multiplier unit aproximation control register
assign mulcsr_wire = mulcsr_reg;
reg [31 : 0] divcsr_reg; // divider unit aproximation control register
assign divcsr_wire = divcsr_reg;
reg [63 : 0] mcycle_reg;
reg [63 : 0] minstret_reg;
always @(*)
begin
if (read_enable_csr)
begin
case (csr_read_index)
`alucsr : csr_read_data = alucsr_reg;
`mulcsr : csr_read_data = mulcsr_reg;
`divcsr : csr_read_data = divcsr_reg;
`mcycle : csr_read_data = mcycle_reg[31 : 0];
`mcycleh : csr_read_data = mcycle_reg[63 : 32];
`minstret : csr_read_data = minstret_reg[31 : 0];
`minstreth : csr_read_data = minstret_reg[63 : 32];
default : csr_read_data = 32'bz;
endcase
end
else csr_read_data = 32'bz;
end
always @(negedge clk or posedge reset)
begin
if (reset)
begin
alucsr_reg <= 32'b0;
mulcsr_reg <= 32'b0;
divcsr_reg <= 32'b0;
end
else if (write_enable_csr)
begin
case (csr_write_index)
`alucsr : alucsr_reg <= csr_write_data;
`mulcsr : mulcsr_reg <= csr_write_data;
`divcsr : divcsr_reg <= csr_write_data;
endcase
end
end
////////////////////////////////
// performance counters //
////////////////////////////////
// -------------
// cycle counter
// -------------
always @(posedge clk)
begin
if (reset) mcycle_reg <= 32'b0;
else mcycle_reg <= mcycle_reg + 32'd1;
end
// -------------------
// instruction counter
// -------------------
always @(posedge clk)
begin
if (reset) minstret_reg <= 32'b0;
else if (!(
opcode == `nop_opcode &
funct3 == `nop_funct3 &
funct7 == `nop_funct7 &
funct12 == `nop_funct12 &
write_index == `nop_write_index
))
minstret_reg <= minstret_reg + 32'b1;
end
endmodule
|
/*
* Milkymist SoC
* Copyright (C) 2007, 2008, 2009, 2010, 2011 Sebastien Bourdeauducq
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, version 3 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
module tmu2_fdest #(
parameter fml_depth = 26
) (
input sys_clk,
input sys_rst,
output [fml_depth-1:0] fml_adr,
output reg fml_stb,
input fml_ack,
input [63:0] fml_di,
input flush,
output busy,
input fetch_en,
input pipe_stb_i,
output reg pipe_ack_o,
input [15:0] color,
input [fml_depth-1-1:0] dadr, /* in 16-bit words */
output pipe_stb_o,
input pipe_ack_i,
output reg [15:0] color_f,
output [fml_depth-1-1:0] dadr_f, /* in 16-bit words */
output reg [15:0] dcolor
);
/* Hit detection */
reg valid;
reg [fml_depth-1-1-4:0] tag;
reg [fml_depth-1-1:0] dadr_r;
reg hit;
reg tag_we;
always @(posedge sys_clk) begin
if(sys_rst) begin
valid <= 1'b0;
hit <= 1'b0;
dadr_r <= {fml_depth-1{1'b0}};
end else begin
if(tag_we)
valid <= 1'b1;
if(flush)
valid <= 1'b0;
if(pipe_stb_i & pipe_ack_o) begin
hit <= valid & (tag == dadr[fml_depth-1-1:4]);
dadr_r <= dadr;
end
end
end
always @(posedge sys_clk) begin
if(sys_rst)
tag <= {fml_depth-1-4{1'b0}};
else if(tag_we)
tag <= dadr_r[fml_depth-1-1:4];
end
/* Forward */
always @(posedge sys_clk) begin
if(sys_rst)
color_f <= 16'd0;
else if(pipe_stb_i & pipe_ack_o)
color_f <= color;
end
assign dadr_f = dadr_r;
/* Storage */
reg [63:0] storage[0:3];
// synthesis translate_off
initial begin
storage[0] = 64'd0;
storage[1] = 64'd0;
storage[2] = 64'd0;
storage[3] = 64'd0;
end
// synthesis translate_on
wire [63:0] storage_do = storage[dadr_r[3:2]];
always @(*) begin
case(dadr_r[1:0])
2'd0: dcolor = storage_do[63:48];
2'd1: dcolor = storage_do[47:32];
2'd2: dcolor = storage_do[31:16];
2'd3: dcolor = storage_do[15:0];
endcase
end
reg [1:0] storage_wa;
reg storage_we;
always @(posedge sys_clk) begin
if(storage_we)
storage[storage_wa] = fml_di;
end
/* Control & bus master */
assign fml_adr = {dadr_r[fml_depth-1-1:4], 5'd0};
reg wanted;
always @(posedge sys_clk) begin
if(sys_rst)
wanted <= 1'b0;
else if(pipe_ack_o)
wanted <= pipe_stb_i;
end
reg stb_after_fetch;
reg [2:0] state;
reg [2:0] next_state;
parameter IDLE = 3'd0;
parameter FETCH1 = 3'd1;
parameter FETCH2 = 3'd2;
parameter FETCH3 = 3'd3;
parameter FETCH4 = 3'd4;
parameter OUT = 3'd5;
always @(posedge sys_clk) begin
if(sys_rst)
state <= IDLE;
else
state <= next_state;
end
always @(*) begin
next_state = state;
tag_we = 1'b0;
storage_we = 1'b0;
storage_wa = 2'bx;
fml_stb = 1'b0;
pipe_ack_o = 1'b0;
stb_after_fetch = 1'b0;
case(state)
IDLE: begin
pipe_ack_o = pipe_ack_i | ~wanted;
if(wanted & ~hit & fetch_en) begin
pipe_ack_o = 1'b0;
next_state = FETCH1;
end
end
FETCH1: begin
fml_stb = 1'b1;
storage_we = 1'b1;
storage_wa = 2'd0;
if(fml_ack)
next_state = FETCH2;
end
FETCH2: begin
storage_we = 1'b1;
storage_wa = 2'd1;
next_state = FETCH3;
end
FETCH3: begin
storage_we = 1'b1;
storage_wa = 2'd2;
next_state = FETCH4;
end
FETCH4: begin
storage_we = 1'b1;
storage_wa = 2'd3;
tag_we = 1'b1;
next_state = OUT;
end
OUT: begin
stb_after_fetch = 1'b1;
pipe_ack_o = pipe_ack_i;
if(pipe_ack_i)
next_state = IDLE;
end
endcase
end
assign pipe_stb_o = stb_after_fetch | (wanted & (hit | ~fetch_en));
assign busy = wanted;
endmodule
|
/*
*
* Copyright (c) 2011 fpgaminer@bitcoin-mining.com
*
*
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
`timescale 1ns/1ps
module fpgaminer_top (
input CLK_100MHZ
);
//// PLL
wire hash_clk;
`ifndef SIM
main_pll pll_blk (.CLK_IN1(CLK_100MHZ), .CLK_OUT1(hash_clk));
`else
assign hash_clk = CLK_100MHZ;
`endif
//// Mining Cores
reg [255:0] midstate_buf = 0, data_buf = 0;
wire [1:0] is_golden_ticket;
wire [31:0] golden_nonce0, golden_nonce1;
mining_core # (.LOOP_LOG2(0), .NONCE_WIDTH(31), .NONCE_PREFIX(1'b0)) mining_core_0 (
.hash_clk(hash_clk),
.rx_midstate(midstate_buf),
.rx_data(data_buf[95:0]),
.tx_is_golden_ticket(is_golden_ticket[0]),
.tx_golden_nonce(golden_nonce0)
);
mining_core # (.LOOP_LOG2(0), .NONCE_WIDTH(31), .NONCE_PREFIX(1'b1)) mining_core_1 (
.hash_clk(hash_clk),
.rx_midstate(midstate_buf),
.rx_data(data_buf[95:0]),
.tx_is_golden_ticket(is_golden_ticket[1]),
.tx_golden_nonce(golden_nonce1)
);
//// Virtual Wire Control
wire [255:0] midstate_vw, data2_vw;
`ifndef SIM
wire [35:0] control0, control1, control2;
chipscope_icon ICON_inst ( .CONTROL0(control0), .CONTROL1(control1), .CONTROL2(control2));
chipscope_vio_tochip midstate_vw_blk ( .CONTROL(control0), .CLK(hash_clk), .SYNC_OUT(midstate_vw) );
chipscope_vio_tochip data_vw_blk ( .CONTROL(control1), .CLK(hash_clk), .SYNC_OUT(data2_vw) );
`endif
//// Virtual Wire Output
reg [31:0] golden_nonce = 0;
`ifndef SIM
chipscope_vio_fromchip golden_nonce_vw_blk ( .CONTROL(control2), .CLK(hash_clk), .SYNC_IN(golden_nonce) );
`endif
//// Control Unit
always @ (posedge hash_clk)
begin
`ifdef SIM
//midstate_buf <= 256'h2b3f81261b3cfd001db436cfd4c8f3f9c7450c9a0d049bee71cba0ea2619c0b5;
//data_buf <= 256'h00000000000000000000000080000000_00000000_39f3001b6b7b8d4dc14bfc31;
//nonce <= 30411740;
`else
midstate_buf <= midstate_vw;
data_buf <= data2_vw;
`endif
if (is_golden_ticket[0])
golden_nonce <= golden_nonce0;
else if (is_golden_ticket[1])
golden_nonce <= golden_nonce1;
end
endmodule
|
/*
*
* Copyright (c) 2011 fpgaminer@bitcoin-mining.com
*
*
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
`timescale 1ns/1ps
module e0 (x, y);
input [31:0] x;
output [31:0] y;
assign y = {x[1:0],x[31:2]} ^ {x[12:0],x[31:13]} ^ {x[21:0],x[31:22]};
endmodule
module e1 (x, y);
input [31:0] x;
output [31:0] y;
assign y = {x[5:0],x[31:6]} ^ {x[10:0],x[31:11]} ^ {x[24:0],x[31:25]};
endmodule
module ch (x, y, z, o);
input [31:0] x, y, z;
output [31:0] o;
assign o = z ^ (x & (y ^ z));
endmodule
module maj (x, y, z, o);
input [31:0] x, y, z;
output [31:0] o;
assign o = (x & y) | (z & (x | y));
endmodule
module s0 (x, y);
input [31:0] x;
output [31:0] y;
assign y[31:29] = x[6:4] ^ x[17:15];
assign y[28:0] = {x[3:0], x[31:7]} ^ {x[14:0],x[31:18]} ^ x[31:3];
endmodule
module s1 (x, y);
input [31:0] x;
output [31:0] y;
assign y[31:22] = x[16:7] ^ x[18:9];
assign y[21:0] = {x[6:0],x[31:17]} ^ {x[8:0],x[31:19]} ^ x[31:10];
endmodule
|
/**
* microcode_rom.v - Microcoded Accumulator CPU
* Copyright (C) 2015 Orlando Arias, David Mascenik
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
`timescale 1ns / 1ps
`include "microcodedefs.v"
module microcode_rom(
input wire clk, /* clock input */
input wire [`OFFSET_WIDTH - 1 : 0] offset, /* ROM address */
output reg [`MCROM_WIDTH - 1 : 0] mc_word /* ROM word output */
);
always @(posedge clk) begin
case(offset)
/* fetch */
`OFFSET_WIDTH'h00:
mc_word = `MCROM_WIDTH'b1_000001_xxx_xxx_0_0_1_0_xx_0_xx_0_x_0_xx;
/* decode */
`OFFSET_WIDTH'h01:
mc_word = `MCROM_WIDTH'b0_xxxxxx_xxx_xxx_0_0_0_0_xx_0_xx_0_x_0_xx;
/* clear accumulator register */
`OFFSET_WIDTH'h02:
mc_word = `MCROM_WIDTH'b1_000000_110_101_0_1_0_1_00_0_xx_0_x_1_10;
/* load immediate into accumulator */
`OFFSET_WIDTH'h03:
mc_word = `MCROM_WIDTH'b1_000000_xxx_xxx_0_0_0_1_00_0_xx_0_x_1_11;
/* load from immediate memory address into accumulator */
`OFFSET_WIDTH'h04:
mc_word = `MCROM_WIDTH'b1_000101_xxx_xxx_0_0_0_0_xx_0_xx_1_1_0_xx;
`OFFSET_WIDTH'h05:
mc_word = `MCROM_WIDTH'b1_000110_xxx_xxx_0_0_0_0_xx_0_xx_0_x_0_xx;
`OFFSET_WIDTH'h06:
mc_word = `MCROM_WIDTH'b1_000111_xxx_xxx_0_0_0_0_xx_1_01_0_x_0_xx;
`OFFSET_WIDTH'h07:
mc_word = `MCROM_WIDTH'b1_000000_xxx_xxx_0_0_0_1_00_0_xx_0_x_1_01;
/* store from accumulator into immediate memory address */
`OFFSET_WIDTH'h08:
mc_word = `MCROM_WIDTH'b1_001001_xxx_xxx_0_0_0_0_xx_1_11_1_1_0_xx;
`OFFSET_WIDTH'h09:
mc_word = `MCROM_WIDTH'b1_000000_xxx_xxx_1_0_0_1_00_0_xx_0_x_0_xx;
/* add from immediate memory address into accumulator */
`OFFSET_WIDTH'h0a:
mc_word = `MCROM_WIDTH'b1_001011_xxx_xxx_0_0_0_0_xx_0_xx_1_1_0_xx;
`OFFSET_WIDTH'h0b:
mc_word = `MCROM_WIDTH'b1_001100_xxx_xxx_0_0_0_0_xx_0_xx_0_x_0_xx;
`OFFSET_WIDTH'h0c:
mc_word = `MCROM_WIDTH'b1_001101_xxx_xxx_0_0_0_0_xx_1_01_0_x_0_xx;
`OFFSET_WIDTH'h0d:
mc_word = `MCROM_WIDTH'b1_000000_000_111_0_1_0_1_00_0_xx_0_x_1_10;
/* absolute branch to immediate address or conditional branch taken */
`OFFSET_WIDTH'h0e:
mc_word = `MCROM_WIDTH'b1_000000_xxx_xxx_0_0_0_1_01_0_xx_0_x_0_xx;
/* conditional branch not taken */
`OFFSET_WIDTH'h0f:
mc_word = `MCROM_WIDTH'b1_000000_xxx_xxx_0_0_0_1_00_0_xx_0_x_0_xx;
/* increment accumulator */
`OFFSET_WIDTH'h10:
mc_word = `MCROM_WIDTH'b1_000000_000_001_0_1_0_1_00_0_xx_0_x_1_10;
/* compare with immediate constant */
`OFFSET_WIDTH'h11:
mc_word = `MCROM_WIDTH'b1_000000_010_110_0_1_0_1_00_0_xx_0_x_0_xx;
/* compare with value at immediate address */
`OFFSET_WIDTH'h12:
mc_word = `MCROM_WIDTH'b1_010011_xxx_xxx_0_0_0_0_xx_0_xx_1_1_0_xx;
`OFFSET_WIDTH'h13:
mc_word = `MCROM_WIDTH'b1_010100_xxx_xxx_0_0_0_0_xx_0_xx_0_x_0_xx;
`OFFSET_WIDTH'h14:
mc_word = `MCROM_WIDTH'b1_010101_xxx_xxx_0_0_0_0_xx_1_01_0_x_0_xx;
`OFFSET_WIDTH'h15:
mc_word = `MCROM_WIDTH'b1_000000_010_111_0_1_0_1_00_0_xx_0_x_0_xx;
/* subtract from immediate memory address into accumulator */
`OFFSET_WIDTH'h16:
mc_word = `MCROM_WIDTH'b1_010111_xxx_xxx_0_0_0_0_xx_0_xx_1_1_0_xx;
`OFFSET_WIDTH'h17:
mc_word = `MCROM_WIDTH'b1_011000_xxx_xxx_0_0_0_0_xx_0_xx_0_x_0_xx;
`OFFSET_WIDTH'h18:
mc_word = `MCROM_WIDTH'b1_011001_xxx_xxx_0_0_0_0_xx_1_01_0_x_0_xx;
`OFFSET_WIDTH'h19:
mc_word = `MCROM_WIDTH'b1_000000_010_111_0_1_0_1_00_0_xx_0_x_1_10;
/* exclusive OR from immediate memory address into accumulator */
`OFFSET_WIDTH'h1a:
mc_word = `MCROM_WIDTH'b1_011011_xxx_xxx_0_0_0_0_xx_0_xx_1_1_0_xx;
`OFFSET_WIDTH'h1b:
mc_word = `MCROM_WIDTH'b1_011100_xxx_xxx_0_0_0_0_xx_0_xx_0_x_0_xx;
`OFFSET_WIDTH'h1c:
mc_word = `MCROM_WIDTH'b1_011101_xxx_xxx_0_0_0_0_xx_1_01_0_x_0_xx;
`OFFSET_WIDTH'h1d:
mc_word = `MCROM_WIDTH'b1_000000_110_111_0_1_0_1_00_0_xx_0_x_1_10;
/* NOR from immediate memory address into accumulator */
`OFFSET_WIDTH'h1e:
mc_word = `MCROM_WIDTH'b1_011111_xxx_xxx_0_0_0_0_xx_0_xx_1_1_0_xx;
`OFFSET_WIDTH'h1f:
mc_word = `MCROM_WIDTH'b1_100000_xxx_xxx_0_0_0_0_xx_0_xx_0_x_0_xx;
`OFFSET_WIDTH'h20:
mc_word = `MCROM_WIDTH'b1_100001_xxx_xxx_0_0_0_0_xx_1_01_0_x_0_xx;
`OFFSET_WIDTH'h21:
mc_word = `MCROM_WIDTH'b1_000000_101_111_0_1_0_1_00_0_xx_0_x_1_10;
/* NAND from immediate memory address into accumulator */
`OFFSET_WIDTH'h22:
mc_word = `MCROM_WIDTH'b1_100011_xxx_xxx_0_0_0_0_xx_0_xx_1_1_0_xx;
`OFFSET_WIDTH'h23:
mc_word = `MCROM_WIDTH'b1_100100_xxx_xxx_0_0_0_0_xx_0_xx_0_x_0_xx;
`OFFSET_WIDTH'h24:
mc_word = `MCROM_WIDTH'b1_100101_xxx_xxx_0_0_0_0_xx_1_01_0_x_0_xx;
`OFFSET_WIDTH'h25:
mc_word = `MCROM_WIDTH'b1_000000_100_111_0_1_0_1_00_0_xx_0_x_1_10;
/* add immediate into accumulator */
`OFFSET_WIDTH'h26:
mc_word = `MCROM_WIDTH'b1_000000_000_110_0_1_0_1_00_0_xx_0_x_1_10;
/* invert accumulator */
`OFFSET_WIDTH'h27:
mc_word = `MCROM_WIDTH'b1_000000_110_011_0_1_0_1_00_0_xx_0_x_1_10;
/* shift right arithmetic accumulator */
`OFFSET_WIDTH'h28:
mc_word = `MCROM_WIDTH'b1_000000_111_101_0_1_0_1_00_0_xx_0_x_1_10;
/* shift left arithmetic accumulator */
`OFFSET_WIDTH'h29:
mc_word = `MCROM_WIDTH'b1_000000_000_101_0_1_0_1_00_0_xx_0_x_1_10;
/* halt condition */
default:
mc_word = {{(`OFFSET_WIDTH+1){1'b1}},
{(`MCROM_WIDTH - `OFFSET_WIDTH - 1){1'b0}}};
endcase
end
endmodule
`include "microcodeundefs.v"
/* vim: set ts=4 tw=79 syntax=verilog */
|
/*
* Milkymist SoC
* Copyright (C) 2007, 2008, 2009, 2010, 2011 Sebastien Bourdeauducq
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, version 3 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
module tb_minimac();
/* 100MHz system clock */
reg sys_clk;
initial sys_clk = 1'b0;
always #5 sys_clk = ~sys_clk;
/* 25MHz RX clock */
reg phy_rx_clk;
initial phy_rx_clk = 1'b0;
always #20 phy_rx_clk = ~phy_rx_clk;
/* 25MHz TX clock */
reg phy_tx_clk;
initial phy_tx_clk = 1'b0;
always #20 phy_tx_clk = ~phy_tx_clk;
reg sys_rst;
reg [13:0] csr_a;
reg csr_we;
reg [31:0] csr_di;
wire [31:0] csr_do;
reg [31:0] wb_adr_i;
reg [31:0] wb_dat_i;
wire [31:0] wb_dat_o;
reg wb_cyc_i;
reg wb_stb_i;
reg wb_we_i;
wire wb_ack_o;
reg [3:0] phy_rx_data;
reg phy_dv;
reg phy_rx_er;
wire phy_tx_en;
wire [3:0] phy_tx_data;
wire irq_rx;
wire irq_tx;
minimac2 #(
.csr_addr(4'h0)
) ethernet (
.sys_clk(sys_clk),
.sys_rst(sys_rst),
.csr_a(csr_a),
.csr_we(csr_we),
.csr_di(csr_di),
.csr_do(csr_do),
.wb_adr_i(wb_adr_i),
.wb_dat_i(wb_dat_i),
.wb_dat_o(wb_dat_o),
.wb_cyc_i(wb_cyc_i),
.wb_stb_i(wb_stb_i),
.wb_we_i(wb_we_i),
.wb_sel_i(4'hf),
.wb_ack_o(wb_ack_o),
.irq_rx(irq_rx),
.irq_tx(irq_tx),
.phy_tx_clk(phy_tx_clk),
.phy_tx_data(phy_tx_data),
.phy_tx_en(phy_tx_en),
.phy_tx_er(),
.phy_rx_clk(phy_rx_clk),
.phy_rx_data(phy_rx_data),
.phy_dv(phy_dv),
.phy_rx_er(phy_rx_er),
.phy_col(),
.phy_crs(),
.phy_mii_clk(),
.phy_mii_data()
);
task waitclock;
begin
@(posedge sys_clk);
#1;
end
endtask
task csrwrite;
input [31:0] address;
input [31:0] data;
begin
csr_a = address[16:2];
csr_di = data;
csr_we = 1'b1;
waitclock;
$display("Configuration Write: %x=%x", address, data);
csr_we = 1'b0;
end
endtask
task csrread;
input [31:0] address;
begin
csr_a = address[16:2];
waitclock;
$display("Configuration Read : %x=%x", address, csr_do);
end
endtask
task wbwrite;
input [31:0] address;
input [31:0] data;
integer i;
begin
wb_adr_i = address;
wb_dat_i = data;
wb_cyc_i = 1'b1;
wb_stb_i = 1'b1;
wb_we_i = 1'b1;
i = 0;
while(~wb_ack_o) begin
i = i+1;
waitclock;
end
waitclock;
$display("WB Write: %x=%x acked in %d clocks", address, data, i);
wb_cyc_i = 1'b0;
wb_stb_i = 1'b0;
wb_we_i = 1'b0;
end
endtask
task wbread;
input [31:0] address;
integer i;
begin
wb_adr_i = address;
wb_cyc_i = 1'b1;
wb_stb_i = 1'b1;
wb_we_i = 1'b0;
i = 0;
while(~wb_ack_o) begin
i = i+1;
waitclock;
end
$display("WB Read : %x=%x acked in %d clocks", address, wb_dat_o, i);
waitclock;
wb_cyc_i = 1'b0;
wb_stb_i = 1'b0;
wb_we_i = 1'b0;
end
endtask
integer cycle;
initial cycle = 0;
always @(posedge phy_rx_clk) begin
cycle <= cycle + 1;
phy_rx_er <= 1'b0;
phy_rx_data <= cycle;
if(phy_dv) begin
//$display("rx: %x", phy_rx_data);
if((cycle % 16) == 13) begin
phy_dv <= 1'b0;
//$display("** stopping transmission");
end
end else begin
if((cycle % 16) == 15) begin
phy_dv <= 1'b1;
//$display("** starting transmission");
end
end
end
always @(posedge phy_tx_clk) begin
if(phy_tx_en)
$display("tx: %x", phy_tx_data);
end
initial begin
/* Reset / Initialize our logic */
sys_rst = 1'b1;
csr_a = 14'd0;
csr_di = 32'd0;
csr_we = 1'b0;
phy_dv = 1'b0;
waitclock;
sys_rst = 1'b0;
waitclock;
csrwrite(32'h00, 0);
csrwrite(32'h08, 1);
wbwrite(32'h1000, 32'h12345678);
wbread(32'h1000);
csrwrite(32'h18, 10);
csrwrite(32'h10, 1);
#5000;
csrread(32'h08);
csrread(32'h0C);
csrread(32'h10);
csrread(32'h14);
wbread(32'h0000);
wbread(32'h0004);
wbread(32'h0008);
wbread(32'h0800);
wbread(32'h0804);
wbread(32'h0808);
$finish;
end
endmodule
|
/*
* this is the simplifyed io header file definition.
*
* copyright (c) 2017 iulian gheorghiu
*
* this program is free software; you can redistribute it and/or
* modify it under the terms of the gnu general public license
* as published by the free software foundation; either version 2
* of the license, or (at your option) any later version.
*
* this program is distributed in the hope that it will be useful,
* but without any warranty; without even the implied warranty of
* merchantability or fitness for a particular purpose. see the
* gnu general public license for more details.
*
* you should have received a copy of the gnu general public license
* along with this program; if not, write to the free software
* foundation, inc., 51 franklin street, fifth floor, boston, ma 02110-1301, usa.
*/
`timescale 1ns / 1ps
/*
--------------------------------------------------------------------------
rtc - real time counter interface
--------------------------------------------------------------------------
*/
`define rtc_cnt 'h00
`define rtc_period 'h04
`define rtc_status 'h08
/*
--------------------------------------------------------------------------
lcd - lcd display interface
--------------------------------------------------------------------------
*/
`define lcd_ctrl 0
`define lcd_h_res_low 1
`define lcd_h_res_high 2
`define lcd_h_pulse_width 3
`define lcd_h_back_porch 4
`define lcd_h_front_porch 5
`define lcd_v_res_low 6
`define lcd_v_res_high 7
`define lcd_v_pulse_width 8
`define lcd_v_back_porch 9
`define lcd_v_front_porch 10
`define lcd_pixel_size 11
`define lcd_base_addr_byte0 12
`define lcd_base_addr_byte1 13
`define lcd_base_addr_byte2 14
`define lcd_base_addr_byte3 15
`define en_bp 1
`define hsynk_inverted_bp 2
`define vsynk_inverted_bp 4
`define data_enable_inverted_bp 8
/*
--------------------------------------------------------------------------
gfx_accel - gfx_accel lcd display 2d accelerator interface
--------------------------------------------------------------------------
*/
`define gfx_accel_cmd 16
`define gfx_accel_clip_x_min_l 17
`define gfx_accel_clip_x_min_h 18
`define gfx_accel_clip_x_max_l 19
`define gfx_accel_clip_x_max_h 20
`define gfx_accel_clip_y_min_l 21
`define gfx_accel_clip_y_min_h 22
`define gfx_accel_clip_y_max_l 23
`define gfx_accel_clip_y_max_h 24
`define gfx_accel_color_byte_0 25
`define gfx_accel_color_byte_1 26
`define gfx_accel_color_byte_2 27
`define gfx_accel_color_byte_3 28
`define gfx_accel_cmd_idle 0
`define gfx_accel_cmd_vram_access 1
`define gfx_accel_cmd_pixel_load 2
`define gfx_accel_cmd_pixel 3
`define gfx_accel_cmd_ctrl_access 4
`define gfx_accel_cmd_fill_rect 5
`define gfx_accel_cmd_off 254
`define gfx_accel_cmd_on 254
|
/*
* Titor - Barrel Processor - takes arguments powers of two bytes in length and conditinally sign extends them
* Copyright (C) 2012,2013 Sean Ryan Moore
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
`ifdef INC_Sign_Ext_Return
`else
`define INC_Sign_Ext_Return
`timescale 1 ns / 100 ps
// Combinational module
// takes an argument a certain number of bytes long and conditionally sign-extends it to WORD length
module Sign_Ext_Return (
result,
operand,
size,
signage
);
`include "definition/Definition.v"
output reg [WORD-1:0] result; // the output
input [WORD-1:0] operand; // the short or full length input value
input [LOGWORDBYTE-1:0] size; // log2(how many bytes the operand is)
input signage; // sign extend the operand if possible
reg [WORD-1:0] extend [WORDBYTE-1:0];
genvar i;
generate
for(i=0; i<WORDBYTE; i=i+1) begin
always @(*) begin
extend[i] <= {
{( (WORDBYTE-i-1)*BYTE ){signage && operand[(i+1)*BYTE-1]}},
operand[(i+1)*BYTE-1:0]
};
end
end
endgenerate
always @(*) begin
result <= extend[size];
end
endmodule
`endif
|
/*
Copyright (C) 2009-2010 Parvez Ahmad
Written by Parvez Ahmad <parvez_ahmad@yahoo.co.uk>.
This program is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation; either version 3 of the License, or
(at your option) any later version.
This program is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with this program. If not, see <http://www.gnu.org/licenses/>. */
module BUF (input in, output out);
assign out = in;
endmodule
module TRIBUF(input in, enable, output out);
assign out = enable ? in : 1'bz;
endmodule
module INV(input in, output out);
assign out = ~in;
endmodule
module AND2 #(parameter SIZE = 2) (input [SIZE-1:0] in, output out);
assign out = ∈
endmodule
module AND3 #(parameter SIZE = 3) (input [SIZE-1:0] in, output out);
assign out = ∈
endmodule
module AND4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output out);
assign out = ∈
endmodule
module OR2 #(parameter SIZE = 2) (input [SIZE-1:0] in, output out);
assign out = |in;
endmodule
module OR3 #(parameter SIZE = 3) (input [SIZE-1:0] in, output out);
assign out = |in;
endmodule
module OR4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output out);
assign out = |in;
endmodule
module NAND2 #(parameter SIZE = 2) (input [SIZE-1:0] in, output out);
assign out = ~∈
endmodule
module NAND3 #(parameter SIZE = 3) (input [SIZE-1:0] in, output out);
assign out = ~∈
endmodule
module NAND4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output out);
assign out = ~∈
endmodule
module NOR2 #(parameter SIZE = 2) (input [SIZE-1:0] in, output out);
assign out = ~|in;
endmodule
module NOR3 #(parameter SIZE = 3) (input [SIZE-1:0] in, output out);
assign out = ~|in;
endmodule
module NOR4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output out);
assign out = ~|in;
endmodule
module XOR2 #(parameter SIZE = 2) (input [SIZE-1:0] in, output out);
assign out = ^in;
endmodule
module XOR3 #(parameter SIZE = 3) (input [SIZE-1:0] in, output out);
assign out = ^in;
endmodule
module XOR4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output out);
assign out = ^in;
endmodule
module XNOR2 #(parameter SIZE = 2) (input [SIZE-1:0] in, output out);
assign out = ~^in;
endmodule
module XNOR3 #(parameter SIZE = 3) (input [SIZE-1:0] in, output out);
assign out = ~^in;
endmodule
module XNOR4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output out);
assign out = ~^in;
endmodule
module DEC1 (input in, enable, output reg [1:0] out);
always @(in or enable)
if(!enable)
out = 2'b00;
else begin
case (in)
1'b0 : out = 2'b01;
1'b1 : out = 2'b10;
endcase
end
endmodule
module DEC2 (input [1:0] in, input enable, output reg [3:0] out);
always @(in or enable)
if(!enable)
out = 4'b0000;
else begin
case (in)
2'b00 : out = 4'b0001;
2'b01 : out = 4'b0010;
2'b10 : out = 4'b0100;
2'b11 : out = 4'b1000;
endcase
end
endmodule
module DEC3 (input [2:0] in, input enable, output reg [7:0] out);
always @(in or enable)
if(!enable)
out = 8'b00000000;
else begin
case (in)
3'b000 : out = 8'b00000001;
3'b001 : out = 8'b00000010;
3'b010 : out = 8'b00000100;
3'b011 : out = 8'b00001000;
3'b100 : out = 8'b00010000;
3'b101 : out = 8'b00100000;
3'b110 : out = 8'b01000000;
3'b111 : out = 8'b10000000;
endcase
end
endmodule
module DEC4 (input [3:0] in, input enable, output reg [15:0] out);
always @(in or enable)
if(!enable)
out = 16'b0000000000000000;
else begin
case (in)
4'b0000 : out = 16'b0000000000000001;
4'b0001 : out = 16'b0000000000000010;
4'b0010 : out = 16'b0000000000000100;
4'b0011 : out = 16'b0000000000001000;
4'b0100 : out = 16'b0000000000010000;
4'b0101 : out = 16'b0000000000100000;
4'b0110 : out = 16'b0000000001000000;
4'b0111 : out = 16'b0000000010000000;
4'b1000 : out = 16'b0000000100000000;
4'b1001 : out = 16'b0000001000000000;
4'b1010 : out = 16'b0000010000000000;
4'b1011 : out = 16'b0000100000000000;
4'b1100 : out = 16'b0001000000000000;
4'b1101 : out = 16'b0010000000000000;
4'b1110 : out = 16'b0100000000000000;
4'b1111 : out = 16'b1000000000000000;
endcase
end
endmodule
module DEC5 (input [4:0] in, input enable, output reg [31:0] out);
always @(in or enable)
if(!enable)
out = 32'b00000000000000000000000000000000;
else begin
case (in)
5'b00000 : out = 32'b00000000000000000000000000000001;
5'b00001 : out = 32'b00000000000000000000000000000010;
5'b00010 : out = 32'b00000000000000000000000000000100;
5'b00011 : out = 32'b00000000000000000000000000001000;
5'b00100 : out = 32'b00000000000000000000000000010000;
5'b00101 : out = 32'b00000000000000000000000000100000;
5'b00110 : out = 32'b00000000000000000000000001000000;
5'b00111 : out = 32'b00000000000000000000000010000000;
5'b01000 : out = 32'b00000000000000000000000100000000;
5'b01001 : out = 32'b00000000000000000000001000000000;
5'b01010 : out = 32'b00000000000000000000010000000000;
5'b01011 : out = 32'b00000000000000000000100000000000;
5'b01100 : out = 32'b00000000000000000001000000000000;
5'b01101 : out = 32'b00000000000000000010000000000000;
5'b01110 : out = 32'b00000000000000000100000000000000;
5'b01111 : out = 32'b00000000000000001000000000000000;
5'b10000 : out = 32'b00000000000000010000000000000000;
5'b10001 : out = 32'b00000000000000100000000000000000;
5'b10010 : out = 32'b00000000000001000000000000000000;
5'b10011 : out = 32'b00000000000010000000000000000000;
5'b10100 : out = 32'b00000000000100000000000000000000;
5'b10101 : out = 32'b00000000001000000000000000000000;
5'b10110 : out = 32'b00000000010000000000000000000000;
5'b10111 : out = 32'b00000000100000000000000000000000;
5'b11000 : out = 32'b00000001000000000000000000000000;
5'b11001 : out = 32'b00000010000000000000000000000000;
5'b11010 : out = 32'b00000100000000000000000000000000;
5'b11011 : out = 32'b00001000000000000000000000000000;
5'b11100 : out = 32'b00010000000000000000000000000000;
5'b11101 : out = 32'b00100000000000000000000000000000;
5'b11110 : out = 32'b01000000000000000000000000000000;
5'b11111 : out = 32'b10000000000000000000000000000000;
endcase
end
endmodule
module DEC6 (input [5:0] in, input enable, output reg [63:0] out);
always @(in or enable)
if(!enable)
out = 64'b0000000000000000000000000000000000000000000000000000000000000000;
else begin
case (in)
6'b000000 : out = 64'b0000000000000000000000000000000000000000000000000000000000000001;
6'b000001 : out = 64'b0000000000000000000000000000000000000000000000000000000000000010;
6'b000010 : out = 64'b0000000000000000000000000000000000000000000000000000000000000100;
6'b000011 : out = 64'b0000000000000000000000000000000000000000000000000000000000001000;
6'b000100 : out = 64'b0000000000000000000000000000000000000000000000000000000000010000;
6'b000101 : out = 64'b0000000000000000000000000000000000000000000000000000000000100000;
6'b000110 : out = 64'b0000000000000000000000000000000000000000000000000000000001000000;
6'b000111 : out = 64'b0000000000000000000000000000000000000000000000000000000010000000;
6'b001000 : out = 64'b0000000000000000000000000000000000000000000000000000000100000000;
6'b001001 : out = 64'b0000000000000000000000000000000000000000000000000000001000000000;
6'b001010 : out = 64'b0000000000000000000000000000000000000000000000000000010000000000;
6'b001011 : out = 64'b0000000000000000000000000000000000000000000000000000100000000000;
6'b001100 : out = 64'b0000000000000000000000000000000000000000000000000001000000000000;
6'b001101 : out = 64'b0000000000000000000000000000000000000000000000000010000000000000;
6'b001110 : out = 64'b0000000000000000000000000000000000000000000000000100000000000000;
6'b001111 : out = 64'b0000000000000000000000000000000000000000000000001000000000000000;
6'b010000 : out = 64'b0000000000000000000000000000000000000000000000010000000000000000;
6'b010001 : out = 64'b0000000000000000000000000000000000000000000000100000000000000000;
6'b010010 : out = 64'b0000000000000000000000000000000000000000000001000000000000000000;
6'b010011 : out = 64'b0000000000000000000000000000000000000000000010000000000000000000;
6'b010100 : out = 64'b0000000000000000000000000000000000000000000100000000000000000000;
6'b010101 : out = 64'b0000000000000000000000000000000000000000001000000000000000000000;
6'b010110 : out = 64'b0000000000000000000000000000000000000000010000000000000000000000;
6'b010111 : out = 64'b0000000000000000000000000000000000000000100000000000000000000000;
6'b011000 : out = 64'b0000000000000000000000000000000000000001000000000000000000000000;
6'b011001 : out = 64'b0000000000000000000000000000000000000010000000000000000000000000;
6'b011010 : out = 64'b0000000000000000000000000000000000000100000000000000000000000000;
6'b011011 : out = 64'b0000000000000000000000000000000000001000000000000000000000000000;
6'b011100 : out = 64'b0000000000000000000000000000000000010000000000000000000000000000;
6'b011101 : out = 64'b0000000000000000000000000000000000100000000000000000000000000000;
6'b011110 : out = 64'b0000000000000000000000000000000001000000000000000000000000000000;
6'b011111 : out = 64'b0000000000000000000000000000000010000000000000000000000000000000;
6'b100000 : out = 64'b0000000000000000000000000000000100000000000000000000000000000000;
6'b100001 : out = 64'b0000000000000000000000000000001000000000000000000000000000000000;
6'b100010 : out = 64'b0000000000000000000000000000010000000000000000000000000000000000;
6'b100011 : out = 64'b0000000000000000000000000000100000000000000000000000000000000000;
6'b100100 : out = 64'b0000000000000000000000000001000000000000000000000000000000000000;
6'b100101 : out = 64'b0000000000000000000000000010000000000000000000000000000000000000;
6'b100110 : out = 64'b0000000000000000000000000100000000000000000000000000000000000000;
6'b100111 : out = 64'b0000000000000000000000001000000000000000000000000000000000000000;
6'b101000 : out = 64'b0000000000000000000000010000000000000000000000000000000000000000;
6'b101001 : out = 64'b0000000000000000000000100000000000000000000000000000000000000000;
6'b101010 : out = 64'b0000000000000000000001000000000000000000000000000000000000000000;
6'b101011 : out = 64'b0000000000000000000010000000000000000000000000000000000000000000;
6'b101100 : out = 64'b0000000000000000000100000000000000000000000000000000000000000000;
6'b101101 : out = 64'b0000000000000000001000000000000000000000000000000000000000000000;
6'b101110 : out = 64'b0000000000000000010000000000000000000000000000000000000000000000;
6'b101111 : out = 64'b0000000000000000100000000000000000000000000000000000000000000000;
6'b110000 : out = 64'b0000000000000001000000000000000000000000000000000000000000000000;
6'b110001 : out = 64'b0000000000000010000000000000000000000000000000000000000000000000;
6'b110010 : out = 64'b0000000000000100000000000000000000000000000000000000000000000000;
6'b110011 : out = 64'b0000000000001000000000000000000000000000000000000000000000000000;
6'b110100 : out = 64'b0000000000010000000000000000000000000000000000000000000000000000;
6'b110101 : out = 64'b0000000000100000000000000000000000000000000000000000000000000000;
6'b110110 : out = 64'b0000000001000000000000000000000000000000000000000000000000000000;
6'b110111 : out = 64'b0000000010000000000000000000000000000000000000000000000000000000;
6'b111000 : out = 64'b0000000100000000000000000000000000000000000000000000000000000000;
6'b111001 : out = 64'b0000001000000000000000000000000000000000000000000000000000000000;
6'b111010 : out = 64'b0000010000000000000000000000000000000000000000000000000000000000;
6'b111011 : out = 64'b0000100000000000000000000000000000000000000000000000000000000000;
6'b111100 : out = 64'b0001000000000000000000000000000000000000000000000000000000000000;
6'b111101 : out = 64'b0010000000000000000000000000000000000000000000000000000000000000;
6'b111110 : out = 64'b0100000000000000000000000000000000000000000000000000000000000000;
6'b111111 : out = 64'b1000000000000000000000000000000000000000000000000000000000000000;
endcase
end
endmodule
module MUX2(input [1:0] in, input select, output reg out);
always @( in or select)
case (select)
0: out = in[0];
1: out = in[1];
endcase
endmodule
module MUX4(input [3:0] in, input [1:0] select, output reg out);
always @( in or select)
case (select)
0: out = in[0];
1: out = in[1];
2: out = in[2];
3: out = in[3];
endcase
endmodule
module MUX8(input [7:0] in, input [2:0] select, output reg out);
always @( in or select)
case (select)
0: out = in[0];
1: out = in[1];
2: out = in[2];
3: out = in[3];
4: out = in[4];
5: out = in[5];
6: out = in[6];
7: out = in[7];
endcase
endmodule
module MUX16(input [15:0] in, input [3:0] select, output reg out);
always @( in or select)
case (select)
0: out = in[0];
1: out = in[1];
2: out = in[2];
3: out = in[3];
4: out = in[4];
5: out = in[5];
6: out = in[6];
7: out = in[7];
8: out = in[8];
9: out = in[9];
10: out = in[10];
11: out = in[11];
12: out = in[12];
13: out = in[13];
14: out = in[14];
15: out = in[15];
endcase
endmodule
module MUX32(input [31:0] in, input [4:0] select, output reg out);
always @( in or select)
case (select)
0: out = in[0];
1: out = in[1];
2: out = in[2];
3: out = in[3];
4: out = in[4];
5: out = in[5];
6: out = in[6];
7: out = in[7];
8: out = in[8];
9: out = in[9];
10: out = in[10];
11: out = in[11];
12: out = in[12];
13: out = in[13];
14: out = in[14];
15: out = in[15];
16: out = in[16];
17: out = in[17];
18: out = in[18];
19: out = in[19];
20: out = in[20];
21: out = in[21];
22: out = in[22];
23: out = in[23];
24: out = in[24];
25: out = in[25];
26: out = in[26];
27: out = in[27];
28: out = in[28];
29: out = in[29];
30: out = in[30];
31: out = in[31];
endcase
endmodule
module MUX64(input [63:0] in, input [5:0] select, output reg out);
always @( in or select)
case (select)
0: out = in[0];
1: out = in[1];
2: out = in[2];
3: out = in[3];
4: out = in[4];
5: out = in[5];
6: out = in[6];
7: out = in[7];
8: out = in[8];
9: out = in[9];
10: out = in[10];
11: out = in[11];
12: out = in[12];
13: out = in[13];
14: out = in[14];
15: out = in[15];
16: out = in[16];
17: out = in[17];
18: out = in[18];
19: out = in[19];
20: out = in[20];
21: out = in[21];
22: out = in[22];
23: out = in[23];
24: out = in[24];
25: out = in[25];
26: out = in[26];
27: out = in[27];
28: out = in[28];
29: out = in[29];
30: out = in[30];
31: out = in[31];
32: out = in[32];
33: out = in[33];
34: out = in[34];
35: out = in[35];
36: out = in[36];
37: out = in[37];
38: out = in[38];
39: out = in[39];
40: out = in[40];
41: out = in[41];
42: out = in[42];
43: out = in[43];
44: out = in[44];
45: out = in[45];
46: out = in[46];
47: out = in[47];
48: out = in[48];
49: out = in[49];
50: out = in[50];
51: out = in[51];
52: out = in[52];
53: out = in[53];
54: out = in[54];
55: out = in[55];
56: out = in[56];
57: out = in[57];
58: out = in[58];
59: out = in[59];
60: out = in[60];
61: out = in[61];
62: out = in[62];
63: out = in[63];
endcase
endmodule
module ADD1(input in1, in2, cin, output out, cout);
assign {cout, out} = in1 + in2 + cin;
endmodule
module ADD2 #(parameter SIZE = 2)(input [SIZE-1:0] in1, in2,
input cin, output [SIZE-1:0] out, output cout);
assign {cout, out} = in1 + in2 + cin;
endmodule
module ADD4 #(parameter SIZE = 4)(input [SIZE-1:0] in1, in2,
input cin, output [SIZE-1:0] out, output cout);
assign {cout, out} = in1 + in2 + cin;
endmodule
module ADD8 #(parameter SIZE = 8)(input [SIZE-1:0] in1, in2,
input cin, output [SIZE-1:0] out, output cout);
assign {cout, out} = in1 + in2 + cin;
endmodule
module ADD16 #(parameter SIZE = 16)(input [SIZE-1:0] in1, in2,
input cin, output [SIZE-1:0] out, output cout);
assign {cout, out} = in1 + in2 + cin;
endmodule
module ADD32 #(parameter SIZE = 32)(input [SIZE-1:0] in1, in2,
input cin, output [SIZE-1:0] out, output cout);
assign {cout, out} = in1 + in2 + cin;
endmodule
module ADD64 #(parameter SIZE = 64)(input [SIZE-1:0] in1, in2,
input cin, output [SIZE-1:0] out, output cout);
assign {cout, out} = in1 + in2 + cin;
endmodule
module SUB1(input in1, in2, cin, output out, cout);
assign {cout, out} = in1 - in2 - cin;
endmodule
module SUB2 #(parameter SIZE = 2)(input [SIZE-1:0] in1, in2,
input cin, output [SIZE-1:0] out, output cout);
assign {cout, out} = in1 - in2 - cin;
endmodule
module SUB4 #(parameter SIZE = 4)(input [SIZE-1:0] in1, in2,
input cin, output [SIZE-1:0] out, output cout);
assign {cout, out} = in1 - in2 - cin;
endmodule
module SUB8 #(parameter SIZE = 8)(input [SIZE-1:0] in1, in2,
input cin, output [SIZE-1:0] out, output cout);
assign {cout, out} = in1 - in2 - cin;
endmodule
module SUB16 #(parameter SIZE = 16)(input [SIZE-1:0] in1, in2,
input cin, output [SIZE-1:0] out, output cout);
assign {cout, out} = in1 - in2 - cin;
endmodule
module SUB32 #(parameter SIZE = 32)(input [SIZE-1:0] in1, in2,
input cin, output [SIZE-1:0] out, output cout);
assign {cout, out} = in1 - in2 - cin;
endmodule
module SUB64 #(parameter SIZE = 64)(input [SIZE-1:0] in1, in2,
input cin, output [SIZE-1:0] out, output cout);
assign {cout, out} = in1 - in2 - cin;
endmodule
module MUL1 #(parameter SIZE = 1)(input in1, in2, output [2*SIZE-1:0] out);
assign out = in1*in2;
endmodule
module MUL2 #(parameter SIZE = 2)(input [SIZE-1:0] in1, in2, output [2*SIZE-1:0] out);
assign out = in1*in2;
endmodule
module MUL4 #(parameter SIZE = 4)(input [SIZE-1:0] in1, in2, output [2*SIZE-1:0] out);
assign out = in1*in2;
endmodule
module MUL8 #(parameter SIZE = 8)(input [SIZE-1:0] in1, in2, output [2*SIZE-1:0] out);
assign out = in1*in2;
endmodule
module MUL16 #(parameter SIZE = 16)(input [SIZE-1:0] in1, in2, output [2*SIZE-1:0] out);
assign out = in1*in2;
endmodule
module MUL32 #(parameter SIZE = 32)(input [SIZE-1:0] in1, in2, output [2*SIZE-1:0] out);
assign out = in1*in2;
endmodule
module MUL64 #(parameter SIZE = 64)(input [SIZE-1:0] in1, in2, output [2*SIZE-1:0] out);
assign out = in1*in2;
endmodule
module DIV1 #(parameter SIZE = 1)(input in1, in2, output out, rem);
assign out = in1/in2;
assign rem = in1%in2;
endmodule
module DIV2 #(parameter SIZE = 2)(input [SIZE-1:0] in1, in2,
output [SIZE-1:0] out, rem);
assign out = in1/in2;
assign rem = in1%in2;
endmodule
module DIV4 #(parameter SIZE = 4)(input [SIZE-1:0] in1, in2,
output [SIZE-1:0] out, rem);
assign out = in1/in2;
assign rem = in1%in2;
endmodule
module DIV8 #(parameter SIZE = 8)(input [SIZE-1:0] in1, in2,
output [SIZE-1:0] out, rem);
assign out = in1/in2;
assign rem = in1%in2;
endmodule
module DIV16 #(parameter SIZE = 16)(input [SIZE-1:0] in1, in2,
output [SIZE-1:0] out, rem);
assign out = in1/in2;
assign rem = in1%in2;
endmodule
module DIV32 #(parameter SIZE = 32)(input [SIZE-1:0] in1, in2,
output [SIZE-1:0] out, rem);
assign out = in1/in2;
assign rem = in1%in2;
endmodule
module DIV64 #(parameter SIZE = 64)(input [SIZE-1:0] in1, in2,
output [SIZE-1:0] out, rem);
assign out = in1/in2;
assign rem = in1%in2;
endmodule
module FF (input d, clk, output reg q);
always @( posedge clk)
q <= d;
endmodule
module RFF(input d, clk, reset, output reg q);
always @(posedge clk or posedge reset)
if(reset)
q <= 0;
else
q <= d;
endmodule
module SFF(input d, clk, set, output reg q);
always @(posedge clk or posedge set)
if(set)
q <= 1;
else
q <= d;
endmodule
module RSFF(input d, clk, set, reset, output reg q);
always @(posedge clk or posedge reset or posedge set)
if(reset)
q <= 0;
else if(set)
q <= 1;
else
q <= d;
endmodule
module SRFF(input d, clk, set, reset, output reg q);
always @(posedge clk or posedge set or posedge reset)
if(set)
q <= 1;
else if(reset)
q <= 0;
else
q <= d;
endmodule
module LATCH(input d, enable, output reg q);
always @( d or enable)
if(enable)
q <= d;
endmodule
module RLATCH(input d, reset, enable, output reg q);
always @( d or enable or reset)
if(enable)
if(reset)
q <= 0;
else
q <= d;
endmodule
module LSHIFT1 #(parameter SIZE = 1)(input in, shift, val, output reg out);
always @ (in, shift, val) begin
if(shift)
out = val;
else
out = in;
end
endmodule
module LSHIFT2 #(parameter SIZE = 2)(input [SIZE-1:0] in,
input [SIZE-1:0] shift, input val,
output reg [SIZE-1:0] out);
always @(in or shift or val) begin
out = in << shift;
if(val)
out = out | ({SIZE-1 {1'b1} } >> (SIZE-1-shift));
end
endmodule
module LSHIFT4 #(parameter SIZE = 4)(input [SIZE-1:0] in,
input [2:0] shift, input val, output reg [SIZE-1:0] out);
always @(in or shift or val) begin
out = in << shift;
if(val)
out = out | ({SIZE-1 {1'b1} } >> (SIZE-1-shift));
end
endmodule
module LSHIFT8 #(parameter SIZE = 8)(input [SIZE-1:0] in,
input [3:0] shift, input val, output reg [SIZE-1:0] out);
always @(in or shift or val) begin
out = in << shift;
if(val)
out = out | ({SIZE-1 {1'b1} } >> (SIZE-1-shift));
end
endmodule
module LSHIFT16 #(parameter SIZE = 16)(input [SIZE-1:0] in,
input [4:0] shift, input val, output reg [SIZE-1:0] out);
always @(in or shift or val) begin
out = in << shift;
if(val)
out = out | ({SIZE-1 {1'b1} } >> (SIZE-1-shift));
end
endmodule
module LSHIFT32 #(parameter SIZE = 32)(input [SIZE-1:0] in,
input [5:0] shift, input val, output reg [SIZE-1:0] out);
always @(in or shift or val) begin
out = in << shift;
if(val)
out = out | ({SIZE-1 {1'b1} } >> (SIZE-1-shift));
end
endmodule
module LSHIFT64 #(parameter SIZE = 64)(input [SIZE-1:0] in,
input [6:0] shift, input val, output reg [SIZE-1:0] out);
always @(in or shift or val) begin
out = in << shift;
if(val)
out = out | ({SIZE-1 {1'b1} } >> (SIZE-1-shift));
end
endmodule
module RSHIFT1 #(parameter SIZE = 1)(input in, shift, val, output reg out);
always @ (in, shift, val) begin
if(shift)
out = val;
else
out = in;
end
endmodule
module RSHIFT2 #(parameter SIZE = 2)(input [SIZE-1:0] in,
input [SIZE-1:0] shift, input val,
output reg [SIZE-1:0] out);
always @(in or shift or val) begin
out = in >> shift;
if(val)
out = out | ({SIZE-1 {1'b1} } << (SIZE-1-shift));
end
endmodule
module RSHIFT4 #(parameter SIZE = 4)(input [SIZE-1:0] in,
input [2:0] shift, input val,
output reg [SIZE-1:0] out);
always @(in or shift or val) begin
out = in >> shift;
if(val)
out = out | ({SIZE-1 {1'b1} } << (SIZE-1-shift));
end
endmodule
module RSHIFT8 #(parameter SIZE = 8)(input [SIZE-1:0] in,
input [3:0] shift, input val,
output reg [SIZE-1:0] out);
always @(in or shift or val) begin
out = in >> shift;
if(val)
out = out | ({SIZE-1 {1'b1} } << (SIZE-1-shift));
end
endmodule
module RSHIFT16 #(parameter SIZE = 16)(input [SIZE-1:0] in,
input [4:0] shift, input val,
output reg [SIZE-1:0] out);
always @(in or shift or val) begin
out = in >> shift;
if(val)
out = out | ({SIZE-1 {1'b1} } << (SIZE-1-shift));
end
endmodule
module RSHIFT32 #(parameter SIZE = 32)(input [SIZE-1:0] in,
input [5:0] shift, input val,
output reg [SIZE-1:0] out);
always @(in or shift or val) begin
out = in >> shift;
if(val)
out = out | ({SIZE-1 {1'b1} } << (SIZE-1-shift));
end
endmodule
module RSHIFT64 #(parameter SIZE = 64)(input [SIZE-1:0] in,
input [6:0] shift, input val,
output reg [SIZE-1:0] out);
always @(in or shift or val) begin
out = in >> shift;
if(val)
out = out | ({SIZE-1 {1'b1} } << (SIZE-1-shift));
end
endmodule
module CMP1 #(parameter SIZE = 1) (input in1, in2,
output reg equal, unequal, greater, lesser);
always @ (in1 or in2) begin
if(in1 == in2) begin
equal = 1;
unequal = 0;
greater = 0;
lesser = 0;
end
else begin
equal = 0;
unequal = 1;
if(in1 < in2) begin
greater = 0;
lesser = 1;
end
else begin
greater = 1;
lesser = 0;
end
end
end
endmodule
module CMP2 #(parameter SIZE = 2) (input [SIZE-1:0] in1, in2,
output reg equal, unequal, greater, lesser);
always @ (in1 or in2) begin
if(in1 == in2) begin
equal = 1;
unequal = 0;
greater = 0;
lesser = 0;
end
else begin
equal = 0;
unequal = 1;
if(in1 < in2) begin
greater = 0;
lesser = 1;
end
else begin
greater = 1;
lesser = 0;
end
end
end
endmodule
module CMP4 #(parameter SIZE = 4) (input [SIZE-1:0] in1, in2,
output reg equal, unequal, greater, lesser);
always @ (in1 or in2) begin
if(in1 == in2) begin
equal = 1;
unequal = 0;
greater = 0;
lesser = 0;
end
else begin
equal = 0;
unequal = 1;
if(in1 < in2) begin
greater = 0;
lesser = 1;
end
else begin
greater = 1;
lesser = 0;
end
end
end
endmodule
module CMP8 #(parameter SIZE = 8) (input [SIZE-1:0] in1, in2,
output reg equal, unequal, greater, lesser);
always @ (in1 or in2) begin
if(in1 == in2) begin
equal = 1;
unequal = 0;
greater = 0;
lesser = 0;
end
else begin
equal = 0;
unequal = 1;
if(in1 < in2) begin
greater = 0;
lesser = 1;
end
else begin
greater = 1;
lesser = 0;
end
end
end
endmodule
module CMP16 #(parameter SIZE = 16) (input [SIZE-1:0] in1, in2,
output reg equal, unequal, greater, lesser);
always @ (in1 or in2) begin
if(in1 == in2) begin
equal = 1;
unequal = 0;
greater = 0;
lesser = 0;
end
else begin
equal = 0;
unequal = 1;
if(in1 < in2) begin
greater = 0;
lesser = 1;
end
else begin
greater = 1;
lesser = 0;
end
end
end
endmodule
module CMP32 #(parameter SIZE = 32) (input [SIZE-1:0] in1, in2,
output reg equal, unequal, greater, lesser);
always @ (in1 or in2) begin
if(in1 == in2) begin
equal = 1;
unequal = 0;
greater = 0;
lesser = 0;
end
else begin
equal = 0;
unequal = 1;
if(in1 < in2) begin
greater = 0;
lesser = 1;
end
else begin
greater = 1;
lesser = 0;
end
end
end
endmodule
module CMP64 #(parameter SIZE = 64) (input [SIZE-1:0] in1, in2,
output reg equal, unequal, greater, lesser);
always @ (in1 or in2) begin
if(in1 == in2) begin
equal = 1;
unequal = 0;
greater = 0;
lesser = 0;
end
else begin
equal = 0;
unequal = 1;
if(in1 < in2) begin
greater = 0;
lesser = 1;
end
else begin
greater = 1;
lesser = 0;
end
end
end
endmodule
module VCC (output supply1 out);
endmodule
module GND (output supply0 out);
endmodule
module INC1 #(parameter SIZE = 1) (input in, output [SIZE:0] out);
assign out = in + 1;
endmodule
module INC2 #(parameter SIZE = 2) (input [SIZE-1:0] in, output [SIZE:0] out);
assign out = in + 1;
endmodule
module INC4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output [SIZE:0] out);
assign out = in + 1;
endmodule
module INC8 #(parameter SIZE = 8) (input [SIZE-1:0] in, output [SIZE:0] out);
assign out = in + 1;
endmodule
module INC16 #(parameter SIZE = 16) (input [SIZE-1:0] in, output [SIZE:0] out);
assign out = in + 1;
endmodule
module INC32 #(parameter SIZE = 32) (input [SIZE-1:0] in, output [SIZE:0] out);
assign out = in + 1;
endmodule
module INC64 #(parameter SIZE = 64) (input [SIZE-1:0] in, output [SIZE:0] out);
assign out = in + 1;
endmodule
|
/*
* this ip is the atmega pio implementation.
*
* copyright (c) 2020 iulian gheorghiu (morgoth@devboard.tech)
*
* this program is free software; you can redistribute it and/or
* modify it under the terms of the gnu general public license
* as published by the free software foundation; either version 2
* of the license, or (at your option) any later version.
*
* this program is distributed in the hope that it will be useful,
* but without any warranty; without even the implied warranty of
* merchantability or fitness for a particular purpose. see the
* gnu general public license for more details.
*
* you should have received a copy of the gnu general public license
* along with this program; if not, write to the free software
* foundation, inc., 51 franklin street, fifth floor, boston, ma 02110-1301, usa.
*/
/************************************************************/
/* atention! this file contain platform dependent modules. */
/************************************************************/
`timescale 1ns / 1ps
module atmega_pio # (
parameter platform = "xilinx",
parameter bus_addr_io_len = 16,
parameter port_addr = 0,
parameter ddr_addr = 1,
parameter pin_addr = 2,
parameter pinmask = 8'hff,
parameter pullup_mask = 8'h0,
parameter pulldn_mask = 8'h0,
parameter inverse_mask = 8'h0,
parameter out_enabled_mask = 8'hff
)(
input rst,
input clk,
input [bus_addr_io_len-1:0]addr,
input wr,
input rd,
input [7:0]bus_in,
output reg [7:0]bus_out,
input [7:0]io_in,
output [7:0]io_out,
output [7:0]pio_out_io_connect,
output [4:0]debug
);
reg [7:0]ddr;
reg [7:0]port;
reg [7:0]pin;
assign debug = pin[7:3];
assign pio_out_io_connect = ddr;
always @ (posedge rst or posedge clk)
begin
if(rst)
begin
ddr <= 8'h00;
port <= 8'h00;
pin <= 8'h00;
end
else
begin
pin <= io_in;
if(wr)
begin
case(addr)
ddr_addr: ddr <= bus_in;
port_addr: port <= bus_in;
endcase
end
end
end
integer cnt_;
always @ *
begin
bus_out = 8'h00;
if(rd & ~rst)
begin
case(addr)
port_addr: bus_out = port;
ddr_addr: bus_out = ddr;
pin_addr:
begin
for(cnt_ = 0; cnt_ < 8; cnt_ = cnt_ + 1)
begin
if (pinmask[cnt_])
begin
bus_out[cnt_] = inverse_mask[cnt_] ? ~pin[cnt_] : pin[cnt_];
end
end
end
endcase
end
end
genvar cnt;
generate
for (cnt = 0; cnt < 8; cnt = cnt + 1)
begin:outs
if (pinmask[cnt] && out_enabled_mask[cnt])
begin
assign io_out[cnt] = ddr[cnt] ? (inverse_mask[cnt] ? ~port[cnt] : port[cnt]) : 1'bz;
end
else
begin
assign io_out[cnt] = 1'bz;
end
end
for (cnt = 0; cnt < 8; cnt = cnt + 1)
begin:pullups
if (pullup_mask[cnt] && pinmask[cnt])
begin
if (platform == "xilinx")
begin
pullup pullup_inst (
.o(io_out[cnt]) // pullup output (connect directly to top-level port)
);
end
end
end
for (cnt = 0; cnt < 8; cnt = cnt + 1)
begin:pulldowns
if (pulldn_mask[cnt] && pinmask[cnt])
begin
if (platform == "xilinx")
begin
pulldown pulldown_inst (
.o(io_out[cnt]) // pulldown output (connect directly to top-level port)
);
end
end
end
endgenerate
endmodule
|
// spdx-filecopyrighttext: 2020 efabless corporation
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
// spdx-license-identifier: apache-2.0
`default_nettype none
/*
*-------------------------------------------------------------
*
* user_project_wrapper
*
* this wrapper enumerates all of the pins available to the
* user for the user project.
*
* an example user project is provided in this wrapper. the
* example should be removed and replaced with the actual
* user project.
*
*-------------------------------------------------------------
*/
module user_project_wrapper #(
parameter bits = 32
) (
`ifdef use_power_pins
inout vdda1, // user area 1 3.3v supply
inout vdda2, // user area 2 3.3v supply
inout vssa1, // user area 1 analog ground
inout vssa2, // user area 2 analog ground
inout vccd1, // user area 1 1.8v supply
inout vccd2, // user area 2 1.8v supply
inout vssd1, // user area 1 digital ground
inout vssd2, // user area 2 digital ground
`endif
// wishbone slave ports (wb mi a)
input wb_clk_i,
input wb_rst_i,
input wbs_stb_i,
input wbs_cyc_i,
input wbs_we_i,
input [3:0] wbs_sel_i,
input [31:0] wbs_dat_i,
input [31:0] wbs_adr_i,
output wbs_ack_o,
output [31:0] wbs_dat_o,
// logic analyzer signals
input [127:0] la_data_in,
output [127:0] la_data_out,
input [127:0] la_oenb,
// ios
input [`mprj_io_pads-1:0] io_in,
output [`mprj_io_pads-1:0] io_out,
output [`mprj_io_pads-1:0] io_oeb,
// analog (direct connection to gpio pad---use with caution)
// note that analog i/o is not available on the 7 lowest-numbered
// gpio pads, and so the analog_io indexing is offset from the
// gpio indexing by 7 (also upper 2 gpios do not have analog_io).
inout [`mprj_io_pads-10:0] analog_io,
// independent clock (on independent integer divider)
input user_clock2,
// user maskable interrupt signals
output [2:0] user_irq
);
wire ipulse;
wire [15:0]ichallenge;
wire oresponse;
assign io_in[22]=ipulse;
// assign io_in[`mprj_io_pads-1:23]=ichallenge;
assign io_in[37:23]=ichallenge;
// assign io_oeb[`mprj_io_pads-1:22]=17'b1111_1111_1111_11111;
assign io_out[20]=oresponse;
//assign io_oeb[20]=1'b0;
/*--------------------------------------*/
/* user project is instantiated here */
/*--------------------------------------*/
arbiterpuf puf1(
`ifdef use_power_pins
.vccd1(vccd1), // user area 1 1.8v power
.vssd1(vssd1), // user area 1 digital ground
`endif
.ipulse(ipulse),
.ichallenge(ichallenge),
.oresponse(oresponse)
);
/*
user_proj_example mprj (
`ifdef use_power_pins
.vccd1(vccd1), // user area 1 1.8v power
.vssd1(vssd1), // user area 1 digital ground
`endif
/* .wb_clk_i(wb_clk_i),
.wb_rst_i(wb_rst_i),
// mgmt soc wishbone slave
.wbs_cyc_i(wbs_cyc_i),
.wbs_stb_i(wbs_stb_i),
.wbs_we_i(wbs_we_i),
.wbs_sel_i(wbs_sel_i),
.wbs_adr_i(wbs_adr_i),
.wbs_dat_i(wbs_dat_i),
.wbs_ack_o(wbs_ack_o),
.wbs_dat_o(wbs_dat_o),
// logic analyzer
.la_data_in(la_data_in),
.la_data_out(la_data_out),
.la_oenb (la_oenb),
*/
// io pads
// .io_in (io_in),
// .io_out(io_out),
//.io_oeb(io_oeb),
//
// irq
//.irq(user_irq)
//);
endmodule // user_project_wrapper
`default_nettype wire
|
// -*- verilog -*-
//
// USRP - Universal Software Radio Peripheral
//
// Copyright (C) 2007 Corgan Enterprises LLC
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or
// (at your option) any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA
//
module sounder_rx(clk_i,rst_i,ena_i,sum_strobe_i,ref_strobe_i,
mask_i,degree_i,rx_in_i_i,rx_in_q_i,rx_i_o,rx_q_o);
input clk_i; // Master clock
input rst_i; // Subsystem reset
input ena_i; // Subsystem enable
input sum_strobe_i; // Strobe on last sample per period
input ref_strobe_i; // PN code reference retarded one sample per period
input [15:0] mask_i; // PN code LFSR mask
input [4:0] degree_i; // PN code LFSR sequency degree
input [15:0] rx_in_i_i; // I channel on receive
input [15:0] rx_in_q_i; // Q channel on receive
output [15:0] rx_i_o; // I channel of impulse response
output [15:0] rx_q_o; // Q channel of impulse response
reg [31:0] sum_i, sum_q;
reg [31:0] total_i, total_q;
wire [31:0] i_ext, q_ext;
sign_extend #(16,32) i_extender(rx_in_i_i, i_ext);
sign_extend #(16,32) q_extender(rx_in_q_i, q_ext);
wire pn_ref;
lfsr ref_code
( .clk_i(clk_i),.rst_i(rst_i),.ena_i(ena_i),.strobe_i(ref_strobe_i),.mask_i(mask_i),.pn_o(pn_ref) );
wire [31:0] prod_i = pn_ref ? i_ext : -i_ext;
wire [31:0] prod_q = pn_ref ? q_ext : -q_ext;
always @(posedge clk_i)
if (rst_i | ~ena_i)
begin
sum_i <= #5 0;
sum_q <= #5 0;
total_i <= #5 0;
total_q <= #5 0;
end
else
if (sum_strobe_i)
begin
total_i <= #5 sum_i;
total_q <= #5 sum_q;
sum_i <= #5 prod_i;
sum_q <= #5 prod_q;
end
else
begin
sum_i <= #5 sum_i + prod_i;
sum_q <= #5 sum_q + prod_q;
end
wire [5:0] offset = (5'd16-degree_i);
wire [31:0] scaled_i = total_i << offset;
wire [31:0] scaled_q = total_q << offset;
assign rx_i_o = scaled_i[31:16];
assign rx_q_o = scaled_q[31:16];
endmodule // sounder_rx
|
/*
* copyright (c)2006-2019 aquaxis technology.
* don't remove this header.
* when you use this source, there is a need to inherit this header.
*
* license: mit license
*
* for further information please contact.
* uri: http://www.aquaxis.com/
* e-mail: info(at)aquaxis.com
*/
module aq_calc_size(
input rst_n,
input clk,
input start,
input ena,
input [15:0] org,
input [15:0] cnv,
output valid,
output [15:0] ma,
output [15:0] mb
);
wire [15:0] next_ma, next_mb;
reg [15:0] reg_ma, reg_mb;
assign next_ma[15:0] = (start || (reg_mb == 16'd0))?16'd0:(org-reg_mb>cnv)?cnv:org-reg_mb;
assign next_mb[15:0] = (start || (reg_mb == 16'd0))?cnv:(org-reg_mb>cnv)?next_ma+reg_mb:cnv-next_ma;
always @(posedge clk or negedge rst_n) begin
if(!rst_n) begin
reg_ma <= 16'd0;
reg_mb <= 16'd0;
end else begin
if( ena ) begin
reg_ma <= next_ma;
reg_mb <= next_mb;
end;
end
end
assign ma = reg_ma;
assign mb = reg_mb;
assign valid = ((reg_ma > 16'd0) && (reg_mb <= cnv))?1'b1:1'b0;
endmodule
|
// spdx-filecopyrighttext:
// 2021 andrew attwood
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
//
// spdx-license-identifier: apache-2.0
module peripheral (
clk,
reset,
slave_data_addr_i,
slave_data_we_i,
slave_data_be_i,
slave_data_wdata_i,
slave_data_rdata_o,
slave_data_rvalid_o,
slave_data_gnt_o,
data_req_i,
rxd_uart,
txd_uart
);
parameter data_width = 32;
parameter addr_width = 10;
input clk;
input reset;
input wire [addr_width - 1:0] slave_data_addr_i;
input wire slave_data_we_i;
input wire [(data_width / 8) - 1:0] slave_data_be_i;
input wire [data_width - 1:0] slave_data_wdata_i;
output reg [data_width - 1:0] slave_data_rdata_o;
output reg slave_data_rvalid_o;
output reg slave_data_gnt_o;
input wire data_req_i;
input wire rxd_uart;
output wire txd_uart;
localparam tdataaddress = 2'b00;
reg [7:0] s_axis_tdata_uart;
reg s_axis_tvalid_uart;
wire s_axis_tready_uart;
reg [7:0] m_axis_tdata_uart;
wire m_axis_tvalid_uart;
reg m_axis_tready_uart;
wire tx_busy_uart;
wire rx_busy_uart;
wire rx_overrun_error_uart;
wire rx_frame_error_uart;
reg [22:0] configuration;
always @(posedge clk) begin : setregister
if (reset) begin
slave_data_rvalid_o <= 0;
slave_data_gnt_o <= 0;
slave_data_rdata_o <= 0;
s_axis_tdata_uart <= 0;
s_axis_tvalid_uart <= 0;
configuration[22:0] <= 0;
end
else if (data_req_i == 1) begin
slave_data_gnt_o <= 1;
slave_data_rvalid_o <= 1;
if (slave_data_addr_i[2:0] == 3'h0) begin
if (slave_data_we_i == 1'b1) begin
if (s_axis_tready_uart == 1'b1) begin
s_axis_tdata_uart <= slave_data_wdata_i[7:0];
s_axis_tvalid_uart <= 1;
end
end
else begin
slave_data_rdata_o[7:0] <= m_axis_tdata_uart;
configuration[22] <= 0;
end
end
else if (slave_data_addr_i[2:0] == 3'h4)
if (slave_data_we_i == 1'b1) begin
configuration[15:0] <= slave_data_wdata_i[15:0];
configuration[22] <= slave_data_wdata_i[22];
end
else
slave_data_rdata_o[21:0] <= configuration[21:0];
end
else begin
slave_data_rvalid_o <= 0;
slave_data_gnt_o <= 0;
s_axis_tvalid_uart <= 0;
configuration[16] <= rx_frame_error_uart;
configuration[17] <= rx_overrun_error_uart;
configuration[18] <= rx_busy_uart;
configuration[19] <= tx_busy_uart;
configuration[20] <= s_axis_tready_uart;
if (configuration[21] == 1'b0)
configuration[21] <= m_axis_tvalid_uart;
else if ((configuration[22] == 1) && (configuration[21] == 1'b1))
configuration[21] <= 1'b0;
end
end
reg [7:0] uart_data_recv;
always @(posedge clk) begin : uart_data
if (m_axis_tvalid_uart)
uart_data_recv <= m_axis_tdata_uart;
end
axi_uart axi_uart_i(
.clk(clk),
.rst(reset),
.s_axis_tdata(s_axis_tdata_uart),
.s_axis_tvalid(s_axis_tvalid_uart),
.s_axis_tready(s_axis_tready_uart),
.m_axis_tdata(m_axis_tdata_uart),
.m_axis_tvalid(m_axis_tvalid_uart),
.m_axis_tready(1'b1),
.rxd(rxd_uart),
.txd(txd_uart),
.tx_busy(tx_busy_uart),
.rx_busy(rx_busy_uart),
.rx_overrun_error(rx_overrun_error_uart),
.rx_frame_error(rx_frame_error_uart),
.prescale(configuration[15:0])
);
endmodule
|
/*
copyright 2023 efabless corp.
author: mohamed shalan (mshalan@aucegypt.edu)
this file is auto-generated by wrapper_gen.py on 2023-10-19
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and
limitations under the license.
*/
`timescale 1ns/1ns
`default_nettype none
module ef_tcc32_apb (
input wire ext_clk,
input wire pclk,
input wire presetn,
input wire [31:0] paddr,
input wire pwrite,
input wire psel,
input wire penable,
input wire [31:0] pwdata,
output wire [31:0] prdata,
output wire pready,
output wire irq
);
localparam[15:0] timer_reg_addr = 16'h0000;
localparam[15:0] period_reg_addr = 16'h0004;
localparam[15:0] counter_reg_addr = 16'h0008;
localparam[15:0] counter_match_reg_addr = 16'h000c;
localparam[15:0] control_reg_addr = 16'h0010;
localparam[15:0] icr_reg_addr = 16'h0f00;
localparam[15:0] ris_reg_addr = 16'h0f04;
localparam[15:0] im_reg_addr = 16'h0f08;
localparam[15:0] mis_reg_addr = 16'h0f0c;
reg [31:0] period_reg;
reg [31:0] counter_match_reg;
reg [31:0] control_reg;
reg [2:0] ris_reg;
reg [2:0] icr_reg;
reg [2:0] im_reg;
wire[31:0] tmr;
wire[31:0] timer_reg = tmr;
wire[31:0] period = period_reg[31:0];
wire[31:0] cp_count;
wire[31:0] counter_reg = cp_count;
wire[31:0] ctr_match = counter_match_reg[31:0];
wire en = control_reg[0:0];
wire tmr_en = control_reg[1:1];
wire cp_en = control_reg[3:3];
wire[3:0] clk_src = control_reg[11:8];
wire up = control_reg[16:16];
wire one_shot = control_reg[17:17];
wire[1:0] cp_event = control_reg[25:24];
wire to_flag;
wire _to_flag_ = to_flag;
wire cp_flag;
wire _cp_flag_ = cp_flag;
wire match_flag;
wire _match_flag_ = match_flag;
wire[2:0] mis_reg = ris_reg & im_reg;
wire ctr_in = ext_clk;
wire apb_valid = psel & penable;
wire apb_we = pwrite & apb_valid;
wire apb_re = ~pwrite & apb_valid;
wire _clk_ = pclk;
wire _rst_ = ~presetn;
ef_tcc32 inst_to_wrap (
.clk(_clk_),
.rst_n(~_rst_),
.ctr_in(ctr_in),
.period(period),
.ctr_match(ctr_match),
.tmr(tmr),
.cp_count(cp_count),
.clk_src(clk_src),
.to_flag(to_flag),
.match_flag(match_flag),
.tmr_en(tmr_en),
.one_shot(one_shot),
.up(up),
.cp_en(cp_en),
.cp_event(cp_event),
.cp_flag(cp_flag),
.en(en)
);
always @(posedge pclk or negedge presetn) if(~presetn) period_reg <= 0; else if(apb_we & (paddr[15:0]==period_reg_addr)) period_reg <= pwdata[32-1:0];
always @(posedge pclk or negedge presetn) if(~presetn) counter_match_reg <= 0; else if(apb_we & (paddr[15:0]==counter_match_reg_addr)) counter_match_reg <= pwdata[32-1:0];
always @(posedge pclk or negedge presetn) if(~presetn) control_reg <= 0; else if(apb_we & (paddr[15:0]==control_reg_addr)) control_reg <= pwdata[32-1:0];
always @(posedge pclk or negedge presetn) if(~presetn) im_reg <= 0; else if(apb_we & (paddr[15:0]==im_reg_addr)) im_reg <= pwdata[3-1:0];
always @(posedge pclk or negedge presetn) if(~presetn) icr_reg <= 3'b0; else if(apb_we & (paddr[15:0]==icr_reg_addr)) icr_reg <= pwdata[3-1:0]; else icr_reg <= 3'd0;
always @(posedge pclk or negedge presetn)
if(~presetn) ris_reg <= 3'd0;
else begin
if(_to_flag_) ris_reg[0] <= 1'b1; else if(icr_reg[0]) ris_reg[0] <= 1'b0;
if(_cp_flag_) ris_reg[1] <= 1'b1; else if(icr_reg[1]) ris_reg[1] <= 1'b0;
if(_match_flag_) ris_reg[2] <= 1'b1; else if(icr_reg[2]) ris_reg[2] <= 1'b0;
end
assign irq = |mis_reg;
assign prdata =
(paddr[15:0] == period_reg_addr) ? period_reg :
(paddr[15:0] == counter_match_reg_addr) ? counter_match_reg :
(paddr[15:0] == control_reg_addr) ? control_reg :
(paddr[15:0] == ris_reg_addr) ? ris_reg :
(paddr[15:0] == icr_reg_addr) ? icr_reg :
(paddr[15:0] == im_reg_addr) ? im_reg :
(paddr[15:0] == timer_reg_addr) ? timer_reg :
(paddr[15:0] == counter_reg_addr) ? counter_reg :
(paddr[15:0] == mis_reg_addr) ? mis_reg :
32'hdeadbeef;
assign pready = 1'b1;
endmodule
|
// This is a component of pluto_servo, a PWM servo driver and quadrature
// counter for emc2
// Copyright 2006 Jeff Epler <jepler@unpythonic.net>
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or
// (at your option) any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
module main(clk, led, nConfig, epp_nReset, pport_data, nWrite, nWait, nDataStr,
nAddrStr, dout, din, step, dir);
parameter W=10;
parameter F=11;
parameter T=4;
input clk;
output led, nConfig;
inout [7:0] pport_data;
input nWrite;
output nWait;
input nDataStr, nAddrStr, epp_nReset;
input [15:0] din;
reg Spolarity;
reg[13:0] real_dout; output [13:0] dout = do_tristate ? 14'bZ : real_dout;
wire[3:0] real_step; output [3:0] step = do_tristate ? 4'bZ : real_step ^ {4{Spolarity}};
wire[3:0] real_dir; output [3:0] dir = do_tristate ? 4'bZ : real_dir;
wire [W+F-1:0] pos0, pos1, pos2, pos3;
reg [F:0] vel0, vel1, vel2, vel3;
reg [T-1:0] dirtime, steptime;
reg [1:0] tap;
reg [10:0] div2048;
wire stepcnt = ~|(div2048[5:0]);
always @(posedge clk) begin
div2048 <= div2048 + 1'd1;
end
wire do_enable_wdt, do_tristate;
wdt w(clk, do_enable_wdt, &div2048, do_tristate);
stepgen #(W,F,T) s0(clk, stepcnt, pos0, vel0, dirtime, steptime, real_step[0], real_dir[0], tap);
stepgen #(W,F,T) s1(clk, stepcnt, pos1, vel1, dirtime, steptime, real_step[1], real_dir[1], tap);
stepgen #(W,F,T) s2(clk, stepcnt, pos2, vel2, dirtime, steptime, real_step[2], real_dir[2], tap);
stepgen #(W,F,T) s3(clk, stepcnt, pos3, vel3, dirtime, steptime, real_step[3], real_dir[3], tap);
// EPP stuff
wire EPP_write = ~nWrite;
wire EPP_read = nWrite;
wire EPP_addr_strobe = ~nAddrStr;
wire EPP_data_strobe = ~nDataStr;
wire EPP_strobe = EPP_data_strobe | EPP_addr_strobe;
wire EPP_wait; assign nWait = ~EPP_wait;
wire [7:0] EPP_datain = pport_data;
wire [7:0] EPP_dataout; assign pport_data = EPP_dataout;
reg [4:0] EPP_strobe_reg;
always @(posedge clk) EPP_strobe_reg <= {EPP_strobe_reg[3:0], EPP_strobe};
wire EPP_strobe_edge1 = (EPP_strobe_reg[2:1]==2'b01);
// reg led;
assign EPP_wait = EPP_strobe_reg[4];
wire[15:0] EPP_dataword = {EPP_datain, lowbyte};
reg[4:0] addr_reg;
reg[7:0] lowbyte;
always @(posedge clk)
if(EPP_strobe_edge1 & EPP_write & EPP_addr_strobe) begin
addr_reg <= EPP_datain[4:0];
end
else if(EPP_strobe_edge1 & !EPP_addr_strobe) addr_reg <= addr_reg + 4'd1;
always @(posedge clk) begin
if(EPP_strobe_edge1 & EPP_write & EPP_data_strobe) begin
if(addr_reg[3:0] == 4'd1) vel0 <= EPP_dataword[F:0];
else if(addr_reg[3:0] == 4'd3) vel1 <= EPP_dataword[F:0];
else if(addr_reg[3:0] == 4'd5) vel2 <= EPP_dataword[F:0];
else if(addr_reg[3:0] == 4'd7) vel3 <= EPP_dataword[F:0];
else if(addr_reg[3:0] == 4'd9) begin
real_dout <= { EPP_datain[5:0], lowbyte };
end
else if(addr_reg[3:0] == 4'd11) begin
tap <= lowbyte[7:6];
steptime <= lowbyte[T-1:0];
Spolarity <= EPP_datain[7];
// EPP_datain[6] is do_enable_wdt
dirtime <= EPP_datain[T-1:0];
end
else lowbyte <= EPP_datain;
end
end
reg [31:0] data_buf;
always @(posedge clk) begin
if(EPP_strobe_edge1 & EPP_read && addr_reg[1:0] == 2'd0) begin
if(addr_reg[4:2] == 3'd0) data_buf <= pos0;
else if(addr_reg[4:2] == 3'd1) data_buf <= pos1;
else if(addr_reg[4:2] == 3'd2) data_buf <= pos2;
else if(addr_reg[4:2] == 3'd3) data_buf <= pos3;
else if(addr_reg[4:2] == 3'd4)
data_buf <= din;
end
end
// the addr_reg test looks funny because it is auto-incremented in an always
// block so "1" reads the low byte, "2 and "3" read middle bytes, and "0"
// reads the high byte I have a feeling that I'm doing this in the wrong way.
wire [7:0] data_reg = addr_reg[1:0] == 2'd1 ? data_buf[7:0] :
(addr_reg[1:0] == 2'd2 ? data_buf[15:8] :
(addr_reg[1:0] == 2'd3 ? data_buf[23:16] :
data_buf[31:24]));
wire [7:0] EPP_data_mux = data_reg;
assign EPP_dataout = (EPP_read & EPP_wait) ? EPP_data_mux : 8'hZZ;
// assign do_enable_wdt = EPP_strobe_edge1 & EPP_write & EPP_data_strobe & (addr_reg[3:0] == 4'd9) & EPP_datain[6];
// assign led = do_tristate ? 1'BZ : (real_step[0] ^ real_dir[0]);
assign led = do_tristate ? 1'bZ : (real_step[0] ^ real_dir[0]);
assign nConfig = epp_nReset; // 1'b1;
assign do_enable_wdt = EPP_strobe_edge1 & EPP_write & EPP_data_strobe & (addr_reg[3:0] == 4'd9) & EPP_datain[6];
endmodule
|
// this program was cloned from: https://github.com/t-head-semi/openc910
// license: apache license 2.0
/*copyright 2019-2021 t-head semiconductor co., ltd.
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and
limitations under the license.
*/
module px_had_sync(
clk1,
clk2,
rst1_b,
rst2_b,
sync_in,
sync_out
);
// &ports; @22
input clk1;
input clk2;
input rst1_b;
input rst2_b;
input sync_in;
output sync_out;
// ®s; @23
reg sync_ff1_clk1;
reg sync_ff2_clk1;
reg sync_ff3_clk1;
reg sync_ff_clk2;
// &wires; @24
wire clk1;
wire clk2;
wire rst1_b;
wire rst2_b;
wire sync_in;
wire sync_out;
//==============================================================================
// sync logic from clk2 to clk1
// step 1. flop once in clk2 domain
// step 2. flop twice in clk1 domain
// result: a pulse signal in clk1 domain
// constraint: slow clock --> fast clock
//==============================================================================
always @(posedge clk2 or negedge rst2_b)
begin
if (!rst2_b)
sync_ff_clk2 <= 1'b0;
else
sync_ff_clk2 <= sync_in;
end
always @(posedge clk1 or negedge rst1_b)
begin
if (!rst1_b) begin
sync_ff1_clk1 <= 1'b0;
sync_ff2_clk1 <= 1'b0;
end
else begin
sync_ff1_clk1 <= sync_ff_clk2;
sync_ff2_clk1 <= sync_ff1_clk1;
end
end
// generates a pulse signal in clk1 domain
always @(posedge clk1 or negedge rst1_b)
begin
if (!rst1_b)
sync_ff3_clk1 <= 1'b0;
else
sync_ff3_clk1 <= sync_ff2_clk1;
end
assign sync_out = !sync_ff3_clk1 && sync_ff2_clk1;
// &moduleend; @66
endmodule
|
// spdx-filecopyrighttext:
// 2021 nguyen dao
//
// licensed under the apache license, version 2.0 (the "license");
// you may not use this file except in compliance with the license.
// you may obtain a copy of the license at
//
// http://www.apache.org/licenses/license-2.0
//
// unless required by applicable law or agreed to in writing, software
// distributed under the license is distributed on an "as is" basis,
// without warranties or conditions of any kind, either express or implied.
// see the license for the specific language governing permissions and
// limitations under the license.
//
// spdx-license-identifier: apache-2.0
module \$paramod$0a362f9b0fdb70e06c940ab2149ff94bc090a42d\inter (clk, reset, master_data_req_i, master_data_addr_i, master_data_we_i, master_data_be_i, master_data_wdata_i, master_data_rdata_o, master_data_rvalid_o, master_data_gnt_o, slave_data_req_o, slave_data_addr_o, slave_data_we_o, slave_data_be_o, slave_data_wdata_o, slave_data_rdata_i, slave_data_rvalid_i, slave_data_gnt_i);
wire _0000_;
wire _0001_;
wire _0002_;
wire _0003_;
wire _0004_;
wire _0005_;
wire _0006_;
wire _0007_;
wire _0008_;
wire _0009_;
wire _0010_;
wire _0011_;
wire _0012_;
wire _0013_;
wire _0014_;
wire _0015_;
wire _0016_;
wire _0017_;
wire _0018_;
wire _0019_;
wire _0020_;
wire _0021_;
wire _0022_;
wire _0023_;
wire _0024_;
wire _0025_;
wire _0026_;
wire _0027_;
wire _0028_;
wire _0029_;
wire _0030_;
wire _0031_;
wire _0032_;
wire _0033_;
wire _0034_;
wire _0035_;
wire _0036_;
wire _0037_;
wire _0038_;
wire _0039_;
wire _0040_;
wire _0041_;
wire _0042_;
wire _0043_;
wire _0044_;
wire _0045_;
wire _0046_;
wire _0047_;
wire _0048_;
wire _0049_;
wire _0050_;
wire _0051_;
wire _0052_;
wire _0053_;
wire _0054_;
wire _0055_;
wire _0056_;
wire _0057_;
wire _0058_;
wire _0059_;
wire _0060_;
wire _0061_;
wire _0062_;
wire _0063_;
wire _0064_;
wire _0065_;
wire _0066_;
wire _0067_;
wire _0068_;
wire _0069_;
wire _0070_;
wire _0071_;
wire _0072_;
wire _0073_;
wire _0074_;
wire _0075_;
wire _0076_;
wire _0077_;
wire _0078_;
wire _0079_;
wire _0080_;
wire _0081_;
wire _0082_;
wire _0083_;
wire _0084_;
wire _0085_;
wire _0086_;
wire _0087_;
wire _0088_;
wire _0089_;
wire _0090_;
wire _0091_;
wire _0092_;
wire _0093_;
wire _0094_;
wire _0095_;
wire _0096_;
wire _0097_;
wire _0098_;
wire _0099_;
wire _0100_;
wire _0101_;
wire _0102_;
wire _0103_;
wire _0104_;
wire _0105_;
wire _0106_;
wire _0107_;
wire _0108_;
wire _0109_;
wire _0110_;
wire _0111_;
wire _0112_;
wire _0113_;
wire _0114_;
wire _0115_;
wire _0116_;
wire _0117_;
wire _0118_;
wire _0119_;
wire _0120_;
wire _0121_;
wire _0122_;
wire _0123_;
wire _0124_;
wire _0125_;
wire _0126_;
wire _0127_;
wire _0128_;
wire _0129_;
wire _0130_;
wire _0131_;
wire _0132_;
wire _0133_;
wire _0134_;
wire _0135_;
wire _0136_;
wire _0137_;
wire _0138_;
wire _0139_;
wire _0140_;
wire _0141_;
wire _0142_;
wire _0143_;
wire _0144_;
wire _0145_;
wire _0146_;
wire _0147_;
wire _0148_;
wire _0149_;
wire _0150_;
wire _0151_;
wire _0152_;
wire _0153_;
wire _0154_;
wire _0155_;
wire _0156_;
wire _0157_;
wire _0158_;
wire _0159_;
wire _0160_;
wire _0161_;
wire _0162_;
wire _0163_;
wire _0164_;
wire _0165_;
wire _0166_;
wire _0167_;
wire _0168_;
wire _0169_;
wire _0170_;
wire _0171_;
wire _0172_;
wire _0173_;
wire _0174_;
wire _0175_;
wire _0176_;
wire _0177_;
wire _0178_;
wire _0179_;
wire _0180_;
wire _0181_;
wire _0182_;
wire _0183_;
wire _0184_;
wire _0185_;
wire _0186_;
wire _0187_;
wire _0188_;
wire _0189_;
wire _0190_;
wire _0191_;
wire _0192_;
wire _0193_;
wire _0194_;
wire _0195_;
wire _0196_;
wire _0197_;
wire _0198_;
wire _0199_;
wire _0200_;
wire _0201_;
wire _0202_;
wire _0203_;
wire _0204_;
wire _0205_;
wire _0206_;
wire _0207_;
wire _0208_;
wire _0209_;
wire _0210_;
wire _0211_;
wire _0212_;
wire _0213_;
wire _0214_;
wire _0215_;
wire _0216_;
wire _0217_;
wire _0218_;
wire _0219_;
wire _0220_;
wire _0221_;
wire _0222_;
wire _0223_;
wire _0224_;
wire _0225_;
wire _0226_;
wire _0227_;
wire _0228_;
wire _0229_;
wire _0230_;
wire _0231_;
wire _0232_;
wire _0233_;
wire _0234_;
wire _0235_;
wire _0236_;
wire _0237_;
wire _0238_;
wire _0239_;
wire _0240_;
wire _0241_;
wire _0242_;
wire _0243_;
wire _0244_;
wire _0245_;
wire _0246_;
wire _0247_;
wire _0248_;
wire _0249_;
wire _0250_;
wire _0251_;
wire _0252_;
wire _0253_;
wire _0254_;
wire _0255_;
wire _0256_;
wire _0257_;
wire _0258_;
wire _0259_;
wire _0260_;
wire _0261_;
wire _0262_;
wire _0263_;
wire _0264_;
wire _0265_;
wire _0266_;
wire _0267_;
wire _0268_;
wire _0269_;
wire _0270_;
wire _0271_;
wire _0272_;
wire _0273_;
wire _0274_;
wire _0275_;
wire _0276_;
wire _0277_;
wire _0278_;
wire _0279_;
wire _0280_;
wire _0281_;
wire _0282_;
wire _0283_;
wire _0284_;
wire _0285_;
wire _0286_;
wire _0287_;
wire _0288_;
wire _0289_;
wire _0290_;
wire _0291_;
wire _0292_;
wire _0293_;
wire _0294_;
wire _0295_;
wire _0296_;
wire _0297_;
wire _0298_;
wire _0299_;
wire _0300_;
wire _0301_;
wire _0302_;
wire _0303_;
wire _0304_;
wire _0305_;
wire _0306_;
wire _0307_;
wire _0308_;
wire _0309_;
wire _0310_;
wire _0311_;
wire _0312_;
wire _0313_;
wire _0314_;
wire _0315_;
wire _0316_;
wire _0317_;
wire _0318_;
wire _0319_;
wire _0320_;
wire _0321_;
wire _0322_;
wire _0323_;
wire _0324_;
wire _0325_;
wire _0326_;
wire _0327_;
wire _0328_;
wire _0329_;
wire _0330_;
wire _0331_;
wire _0332_;
wire _0333_;
wire _0334_;
wire _0335_;
wire _0336_;
wire _0337_;
wire _0338_;
wire _0339_;
wire _0340_;
wire _0341_;
wire _0342_;
wire _0343_;
wire _0344_;
wire _0345_;
wire _0346_;
wire _0347_;
wire _0348_;
wire _0349_;
wire _0350_;
wire _0351_;
wire _0352_;
wire _0353_;
wire _0354_;
wire _0355_;
wire _0356_;
wire _0357_;
wire _0358_;
wire _0359_;
wire _0360_;
wire _0361_;
wire _0362_;
wire _0363_;
wire _0364_;
wire _0365_;
wire _0366_;
wire _0367_;
wire _0368_;
wire _0369_;
wire _0370_;
wire _0371_;
wire _0372_;
wire _0373_;
wire _0374_;
wire _0375_;
wire _0376_;
wire _0377_;
wire _0378_;
wire _0379_;
wire _0380_;
wire _0381_;
wire _0382_;
wire _0383_;
wire _0384_;
wire _0385_;
wire _0386_;
wire _0387_;
wire _0388_;
wire _0389_;
wire _0390_;
wire _0391_;
wire _0392_;
wire _0393_;
wire _0394_;
wire _0395_;
wire _0396_;
wire _0397_;
wire _0398_;
wire _0399_;
wire _0400_;
wire _0401_;
wire _0402_;
wire _0403_;
wire _0404_;
wire _0405_;
wire _0406_;
wire _0407_;
wire _0408_;
wire _0409_;
wire _0410_;
wire _0411_;
wire _0412_;
wire _0413_;
wire _0414_;
wire _0415_;
wire _0416_;
wire _0417_;
wire _0418_;
wire _0419_;
wire _0420_;
wire _0421_;
wire _0422_;
wire _0423_;
wire _0424_;
wire _0425_;
wire _0426_;
wire _0427_;
wire _0428_;
wire _0429_;
wire _0430_;
wire _0431_;
wire _0432_;
wire _0433_;
wire _0434_;
wire _0435_;
wire _0436_;
wire _0437_;
wire _0438_;
wire _0439_;
wire _0440_;
wire _0441_;
wire _0442_;
wire _0443_;
wire _0444_;
wire _0445_;
wire _0446_;
wire _0447_;
wire _0448_;
wire _0449_;
wire _0450_;
wire _0451_;
wire _0452_;
wire _0453_;
wire _0454_;
wire _0455_;
wire _0456_;
wire _0457_;
wire _0458_;
wire _0459_;
wire _0460_;
wire _0461_;
wire _0462_;
wire _0463_;
wire _0464_;
wire _0465_;
wire _0466_;
wire _0467_;
wire _0468_;
wire _0469_;
wire _0470_;
wire _0471_;
wire _0472_;
wire _0473_;
wire _0474_;
wire _0475_;
wire _0476_;
wire _0477_;
wire _0478_;
wire _0479_;
wire _0480_;
wire _0481_;
wire _0482_;
wire _0483_;
wire _0484_;
wire _0485_;
wire _0486_;
wire _0487_;
wire _0488_;
wire _0489_;
wire _0490_;
wire _0491_;
wire _0492_;
wire _0493_;
wire _0494_;
wire _0495_;
wire _0496_;
wire _0497_;
wire _0498_;
wire _0499_;
wire _0500_;
wire _0501_;
wire _0502_;
wire _0503_;
wire _0504_;
wire _0505_;
wire _0506_;
wire _0507_;
wire _0508_;
wire _0509_;
wire _0510_;
wire _0511_;
wire _0512_;
wire _0513_;
wire _0514_;
wire _0515_;
wire _0516_;
wire _0517_;
wire _0518_;
wire _0519_;
wire _0520_;
wire _0521_;
wire _0522_;
wire _0523_;
wire _0524_;
wire _0525_;
wire _0526_;
wire _0527_;
wire _0528_;
wire _0529_;
wire _0530_;
wire _0531_;
wire _0532_;
wire _0533_;
wire _0534_;
wire _0535_;
wire _0536_;
wire _0537_;
wire _0538_;
wire _0539_;
wire _0540_;
wire _0541_;
wire _0542_;
wire _0543_;
wire _0544_;
wire _0545_;
wire _0546_;
wire _0547_;
wire _0548_;
wire _0549_;
wire _0550_;
wire _0551_;
wire _0552_;
wire _0553_;
wire _0554_;
wire _0555_;
wire _0556_;
wire _0557_;
wire _0558_;
wire _0559_;
wire _0560_;
wire _0561_;
wire _0562_;
wire _0563_;
wire _0564_;
wire _0565_;
wire _0566_;
wire _0567_;
wire _0568_;
wire _0569_;
wire _0570_;
wire _0571_;
wire _0572_;
wire _0573_;
wire _0574_;
wire _0575_;
wire _0576_;
wire _0577_;
wire _0578_;
wire _0579_;
wire _0580_;
wire _0581_;
wire _0582_;
wire _0583_;
wire _0584_;
wire _0585_;
wire _0586_;
wire _0587_;
wire _0588_;
wire _0589_;
wire _0590_;
wire _0591_;
wire _0592_;
wire _0593_;
wire _0594_;
wire _0595_;
wire _0596_;
wire _0597_;
wire _0598_;
wire _0599_;
wire _0600_;
wire _0601_;
wire _0602_;
wire _0603_;
wire _0604_;
wire _0605_;
wire _0606_;
wire _0607_;
wire _0608_;
wire _0609_;
wire _0610_;
wire _0611_;
wire _0612_;
wire _0613_;
wire _0614_;
wire _0615_;
wire _0616_;
wire _0617_;
wire _0618_;
wire _0619_;
wire _0620_;
wire _0621_;
wire _0622_;
wire _0623_;
wire _0624_;
wire _0625_;
wire _0626_;
wire _0627_;
wire _0628_;
wire _0629_;
wire _0630_;
wire _0631_;
wire _0632_;
wire _0633_;
wire _0634_;
wire _0635_;
wire _0636_;
wire _0637_;
wire _0638_;
wire _0639_;
wire _0640_;
wire _0641_;
wire _0642_;
wire _0643_;
wire _0644_;
wire _0645_;
wire _0646_;
wire _0647_;
wire _0648_;
wire _0649_;
wire _0650_;
wire _0651_;
wire _0652_;
wire _0653_;
wire _0654_;
wire _0655_;
wire _0656_;
wire _0657_;
wire _0658_;
wire _0659_;
wire _0660_;
wire _0661_;
wire _0662_;
wire _0663_;
wire _0664_;
wire _0665_;
wire _0666_;
wire _0667_;
wire _0668_;
wire _0669_;
wire _0670_;
wire _0671_;
wire _0672_;
wire _0673_;
wire _0674_;
wire _0675_;
wire _0676_;
wire _0677_;
wire _0678_;
wire _0679_;
wire _0680_;
wire _0681_;
wire _0682_;
wire _0683_;
wire _0684_;
wire _0685_;
wire _0686_;
wire _0687_;
wire _0688_;
wire _0689_;
wire _0690_;
wire _0691_;
wire _0692_;
wire _0693_;
wire _0694_;
wire _0695_;
wire _0696_;
wire _0697_;
wire _0698_;
wire _0699_;
wire _0700_;
wire _0701_;
wire _0702_;
wire _0703_;
wire _0704_;
wire _0705_;
wire _0706_;
wire _0707_;
wire _0708_;
wire _0709_;
wire _0710_;
wire _0711_;
wire _0712_;
wire _0713_;
wire _0714_;
wire _0715_;
wire _0716_;
wire _0717_;
wire _0718_;
wire _0719_;
wire _0720_;
wire _0721_;
wire _0722_;
wire _0723_;
wire _0724_;
wire _0725_;
wire _0726_;
wire _0727_;
wire _0728_;
wire _0729_;
wire _0730_;
wire _0731_;
wire _0732_;
wire _0733_;
wire _0734_;
wire _0735_;
wire _0736_;
wire _0737_;
wire _0738_;
wire _0739_;
wire _0740_;
wire _0741_;
wire _0742_;
wire _0743_;
wire _0744_;
wire _0745_;
wire _0746_;
wire _0747_;
wire _0748_;
wire _0749_;
wire _0750_;
wire _0751_;
wire _0752_;
wire _0753_;
wire _0754_;
wire _0755_;
wire _0756_;
wire _0757_;
wire _0758_;
wire _0759_;
wire _0760_;
wire _0761_;
wire _0762_;
wire _0763_;
wire _0764_;
wire _0765_;
wire _0766_;
wire _0767_;
wire _0768_;
wire _0769_;
wire _0770_;
wire _0771_;
wire _0772_;
wire _0773_;
wire _0774_;
wire _0775_;
wire _0776_;
wire _0777_;
wire _0778_;
wire _0779_;
wire _0780_;
wire _0781_;
wire _0782_;
wire _0783_;
wire _0784_;
wire _0785_;
wire _0786_;
wire _0787_;
wire _0788_;
wire _0789_;
wire _0790_;
wire _0791_;
wire _0792_;
wire _0793_;
wire _0794_;
wire _0795_;
wire _0796_;
wire _0797_;
wire _0798_;
wire _0799_;
wire _0800_;
wire _0801_;
wire _0802_;
wire _0803_;
wire _0804_;
wire _0805_;
wire _0806_;
wire _0807_;
wire _0808_;
wire _0809_;
wire _0810_;
wire _0811_;
wire _0812_;
wire _0813_;
wire _0814_;
wire _0815_;
wire _0816_;
wire _0817_;
wire _0818_;
wire _0819_;
wire _0820_;
wire _0821_;
wire _0822_;
wire _0823_;
wire _0824_;
wire _0825_;
wire _0826_;
wire _0827_;
wire _0828_;
wire _0829_;
wire _0830_;
wire _0831_;
wire _0832_;
wire _0833_;
wire _0834_;
wire _0835_;
wire _0836_;
wire _0837_;
wire _0838_;
wire _0839_;
wire _0840_;
wire _0841_;
wire _0842_;
wire _0843_;
wire _0844_;
wire _0845_;
wire _0846_;
wire _0847_;
wire _0848_;
wire _0849_;
wire _0850_;
wire _0851_;
wire _0852_;
wire _0853_;
wire _0854_;
wire _0855_;
wire _0856_;
wire _0857_;
wire _0858_;
wire _0859_;
wire _0860_;
wire _0861_;
wire _0862_;
wire _0863_;
wire _0864_;
wire _0865_;
wire _0866_;
wire _0867_;
wire _0868_;
wire _0869_;
wire _0870_;
wire _0871_;
wire _0872_;
wire _0873_;
wire _0874_;
wire _0875_;
wire _0876_;
wire _0877_;
wire _0878_;
wire _0879_;
wire _0880_;
wire _0881_;
wire _0882_;
wire _0883_;
wire _0884_;
wire _0885_;
wire _0886_;
wire _0887_;
wire _0888_;
wire _0889_;
wire _0890_;
wire _0891_;
wire _0892_;
wire _0893_;
wire _0894_;
wire _0895_;
wire _0896_;
wire _0897_;
wire _0898_;
wire _0899_;
wire _0900_;
wire _0901_;
wire _0902_;
wire _0903_;
wire _0904_;
wire _0905_;
wire _0906_;
wire _0907_;
wire _0908_;
wire _0909_;
wire _0910_;
wire _0911_;
wire _0912_;
wire _0913_;
wire _0914_;
wire arb_active;
wire \arbiter_grant[0] ;
wire \arbiter_grant[10] ;
wire \arbiter_grant[11] ;
wire \arbiter_grant[1] ;
wire \arbiter_grant[2] ;
wire \arbiter_grant[3] ;
wire \arbiter_grant[4] ;
wire \arbiter_grant[5] ;
wire \arbiter_grant[6] ;
wire \arbiter_grant[7] ;
wire \arbiter_grant[8] ;
wire \arbiter_grant[9] ;
wire \arbiter_request[0] ;
wire \arbiter_request[10] ;
wire \arbiter_request[11] ;
wire \arbiter_request[1] ;
wire \arbiter_request[2] ;
wire \arbiter_request[3] ;
wire \arbiter_request[4] ;
wire \arbiter_request[5] ;
wire \arbiter_request[6] ;
wire \arbiter_request[7] ;
wire \arbiter_request[8] ;
wire \arbiter_request[9] ;
input clk;
input [47:0] master_data_addr_i;
input [15:0] master_data_be_i;
output [3:0] master_data_gnt_o;
output [127:0] master_data_rdata_o;
input [3:0] master_data_req_i;
output [3:0] master_data_rvalid_o;
input [127:0] master_data_wdata_i;
input [3:0] master_data_we_i;
input reset;
output [29:0] slave_data_addr_o;
output [11:0] slave_data_be_o;
input [2:0] slave_data_gnt_i;
input [95:0] slave_data_rdata_i;
output [2:0] slave_data_req_o;
input [2:0] slave_data_rvalid_i;
output [95:0] slave_data_wdata_o;
output [2:0] slave_data_we_o;
sky130_fd_sc_hd__and3_2 _0915_ (
.a(slave_data_gnt_i[0]),
.b(master_data_req_i[3]),
.c(\arbiter_grant[3] ),
.x(_0712_)
);
sky130_fd_sc_hd__buf_1 _0916_ (
.a(_0712_),
.x(_0450_)
);
sky130_fd_sc_hd__inv_2 _0917_ (
.a(master_data_req_i[3]),
.y(_0713_)
);
sky130_fd_sc_hd__inv_2 _0918_ (
.a(slave_data_gnt_i[1]),
.y(_0714_)
);
sky130_fd_sc_hd__nor2_2 _0919_ (
.a(_0713_),
.b(_0714_),
.y(_0451_)
);
sky130_fd_sc_hd__inv_2 _0920_ (
.a(slave_data_gnt_i[2]),
.y(_0715_)
);
sky130_fd_sc_hd__nor2_2 _0921_ (
.a(_0713_),
.b(_0715_),
.y(_0453_)
);
sky130_fd_sc_hd__inv_2 _0922_ (
.a(\arbiter_grant[3] ),
.y(_0716_)
);
sky130_fd_sc_hd__buf_1 _0923_ (
.a(_0716_),
.x(_0717_)
);
sky130_fd_sc_hd__buf_1 _0924_ (
.a(_0717_),
.x(_0718_)
);
sky130_fd_sc_hd__inv_2 _0925_ (
.a(slave_data_rvalid_i[0]),
.y(_0719_)
);
sky130_fd_sc_hd__nor2_2 _0926_ (
.a(_0718_),
.b(_0719_),
.y(_0454_)
);
sky130_fd_sc_hd__inv_2 _0927_ (
.a(slave_data_rdata_i[0]),
.y(_0720_)
);
sky130_fd_sc_hd__nor2_2 _0928_ (
.a(_0718_),
.b(_0720_),
.y(_0456_)
);
sky130_fd_sc_hd__inv_2 _0929_ (
.a(slave_data_rdata_i[1]),
.y(_0721_)
);
sky130_fd_sc_hd__nor2_2 _0930_ (
.a(_0718_),
.b(_0721_),
.y(_0458_)
);
sky130_fd_sc_hd__inv_2 _0931_ (
.a(slave_data_rdata_i[2]),
.y(_0722_)
);
sky130_fd_sc_hd__nor2_2 _0932_ (
.a(_0718_),
.b(_0722_),
.y(_0460_)
);
sky130_fd_sc_hd__buf_1 _0933_ (
.a(_0717_),
.x(_0723_)
);
sky130_fd_sc_hd__inv_2 _0934_ (
.a(slave_data_rdata_i[3]),
.y(_0724_)
);
sky130_fd_sc_hd__nor2_2 _0935_ (
.a(_0723_),
.b(_0724_),
.y(_0462_)
);
sky130_fd_sc_hd__inv_2 _0936_ (
.a(slave_data_rdata_i[4]),
.y(_0725_)
);
sky130_fd_sc_hd__nor2_2 _0937_ (
.a(_0723_),
.b(_0725_),
.y(_0464_)
);
sky130_fd_sc_hd__inv_2 _0938_ (
.a(slave_data_rdata_i[5]),
.y(_0726_)
);
sky130_fd_sc_hd__nor2_2 _0939_ (
.a(_0723_),
.b(_0726_),
.y(_0466_)
);
sky130_fd_sc_hd__inv_2 _0940_ (
.a(slave_data_rdata_i[6]),
.y(_0727_)
);
sky130_fd_sc_hd__nor2_2 _0941_ (
.a(_0723_),
.b(_0727_),
.y(_0468_)
);
sky130_fd_sc_hd__buf_1 _0942_ (
.a(_0717_),
.x(_0728_)
);
sky130_fd_sc_hd__inv_2 _0943_ (
.a(slave_data_rdata_i[7]),
.y(_0729_)
);
sky130_fd_sc_hd__nor2_2 _0944_ (
.a(_0728_),
.b(_0729_),
.y(_0470_)
);
sky130_fd_sc_hd__inv_2 _0945_ (
.a(slave_data_rdata_i[8]),
.y(_0730_)
);
sky130_fd_sc_hd__nor2_2 _0946_ (
.a(_0728_),
.b(_0730_),
.y(_0472_)
);
sky130_fd_sc_hd__inv_2 _0947_ (
.a(slave_data_rdata_i[9]),
.y(_0731_)
);
sky130_fd_sc_hd__nor2_2 _0948_ (
.a(_0728_),
.b(_0731_),
.y(_0474_)
);
sky130_fd_sc_hd__inv_2 _0949_ (
.a(slave_data_rdata_i[10]),
.y(_0732_)
);
sky130_fd_sc_hd__nor2_2 _0950_ (
.a(_0728_),
.b(_0732_),
.y(_0476_)
);
sky130_fd_sc_hd__buf_1 _0951_ (
.a(_0716_),
.x(_0733_)
);
sky130_fd_sc_hd__buf_1 _0952_ (
.a(_0733_),
.x(_0734_)
);
sky130_fd_sc_hd__inv_2 _0953_ (
.a(slave_data_rdata_i[11]),
.y(_0735_)
);
sky130_fd_sc_hd__nor2_2 _0954_ (
.a(_0734_),
.b(_0735_),
.y(_0478_)
);
sky130_fd_sc_hd__inv_2 _0955_ (
.a(slave_data_rdata_i[12]),
.y(_0736_)
);
sky130_fd_sc_hd__nor2_2 _0956_ (
.a(_0734_),
.b(_0736_),
.y(_0480_)
);
sky130_fd_sc_hd__inv_2 _0957_ (
.a(slave_data_rdata_i[13]),
.y(_0737_)
);
sky130_fd_sc_hd__nor2_2 _0958_ (
.a(_0734_),
.b(_0737_),
.y(_0482_)
);
sky130_fd_sc_hd__inv_2 _0959_ (
.a(slave_data_rdata_i[14]),
.y(_0738_)
);
sky130_fd_sc_hd__nor2_2 _0960_ (
.a(_0734_),
.b(_0738_),
.y(_0484_)
);
sky130_fd_sc_hd__buf_1 _0961_ (
.a(_0733_),
.x(_0739_)
);
sky130_fd_sc_hd__inv_2 _0962_ (
.a(slave_data_rdata_i[15]),
.y(_0740_)
);
sky130_fd_sc_hd__nor2_2 _0963_ (
.a(_0739_),
.b(_0740_),
.y(_0486_)
);
sky130_fd_sc_hd__inv_2 _0964_ (
.a(slave_data_rdata_i[16]),
.y(_0741_)
);
sky130_fd_sc_hd__nor2_2 _0965_ (
.a(_0739_),
.b(_0741_),
.y(_0488_)
);
sky130_fd_sc_hd__inv_2 _0966_ (
.a(slave_data_rdata_i[17]),
.y(_0742_)
);
sky130_fd_sc_hd__nor2_2 _0967_ (
.a(_0739_),
.b(_0742_),
.y(_0490_)
);
sky130_fd_sc_hd__inv_2 _0968_ (
.a(slave_data_rdata_i[18]),
.y(_0743_)
);
sky130_fd_sc_hd__nor2_2 _0969_ (
.a(_0739_),
.b(_0743_),
.y(_0492_)
);
sky130_fd_sc_hd__buf_1 _0970_ (
.a(_0733_),
.x(_0744_)
);
sky130_fd_sc_hd__inv_2 _0971_ (
.a(slave_data_rdata_i[19]),
.y(_0745_)
);
sky130_fd_sc_hd__nor2_2 _0972_ (
.a(_0744_),
.b(_0745_),
.y(_0494_)
);
sky130_fd_sc_hd__inv_2 _0973_ (
.a(slave_data_rdata_i[20]),
.y(_0746_)
);
sky130_fd_sc_hd__nor2_2 _0974_ (
.a(_0744_),
.b(_0746_),
.y(_0496_)
);
sky130_fd_sc_hd__inv_2 _0975_ (
.a(slave_data_rdata_i[21]),
.y(_0747_)
);
sky130_fd_sc_hd__nor2_2 _0976_ (
.a(_0744_),
.b(_0747_),
.y(_0498_)
);
sky130_fd_sc_hd__inv_2 _0977_ (
.a(slave_data_rdata_i[22]),
.y(_0748_)
);
sky130_fd_sc_hd__nor2_2 _0978_ (
.a(_0744_),
.b(_0748_),
.y(_0500_)
);
sky130_fd_sc_hd__buf_1 _0979_ (
.a(_0733_),
.x(_0749_)
);
sky130_fd_sc_hd__inv_2 _0980_ (
.a(slave_data_rdata_i[23]),
.y(_0750_)
);
sky130_fd_sc_hd__nor2_2 _0981_ (
.a(_0749_),
.b(_0750_),
.y(_0502_)
);
sky130_fd_sc_hd__inv_2 _0982_ (
.a(slave_data_rdata_i[24]),
.y(_0751_)
);
sky130_fd_sc_hd__nor2_2 _0983_ (
.a(_0749_),
.b(_0751_),
.y(_0504_)
);
sky130_fd_sc_hd__inv_2 _0984_ (
.a(slave_data_rdata_i[25]),
.y(_0752_)
);
sky130_fd_sc_hd__nor2_2 _0985_ (
.a(_0749_),
.b(_0752_),
.y(_0506_)
);
sky130_fd_sc_hd__inv_2 _0986_ (
.a(slave_data_rdata_i[26]),
.y(_0753_)
);
sky130_fd_sc_hd__nor2_2 _0987_ (
.a(_0749_),
.b(_0753_),
.y(_0508_)
);
sky130_fd_sc_hd__buf_1 _0988_ (
.a(_0716_),
.x(_0754_)
);
sky130_fd_sc_hd__inv_2 _0989_ (
.a(slave_data_rdata_i[27]),
.y(_0755_)
);
sky130_fd_sc_hd__nor2_2 _0990_ (
.a(_0754_),
.b(_0755_),
.y(_0510_)
);
sky130_fd_sc_hd__inv_2 _0991_ (
.a(slave_data_rdata_i[28]),
.y(_0756_)
);
sky130_fd_sc_hd__nor2_2 _0992_ (
.a(_0754_),
.b(_0756_),
.y(_0512_)
);
sky130_fd_sc_hd__inv_2 _0993_ (
.a(slave_data_rdata_i[29]),
.y(_0757_)
);
sky130_fd_sc_hd__nor2_2 _0994_ (
.a(_0754_),
.b(_0757_),
.y(_0514_)
);
sky130_fd_sc_hd__inv_2 _0995_ (
.a(slave_data_rdata_i[30]),
.y(_0758_)
);
sky130_fd_sc_hd__nor2_2 _0996_ (
.a(_0754_),
.b(_0758_),
.y(_0516_)
);
sky130_fd_sc_hd__inv_2 _0997_ (
.a(slave_data_rdata_i[31]),
.y(_0759_)
);
sky130_fd_sc_hd__nor2_2 _0998_ (
.a(_0717_),
.b(_0759_),
.y(_0518_)
);
sky130_fd_sc_hd__and3_2 _0999_ (
.a(slave_data_gnt_i[0]),
.b(master_data_req_i[2]),
.c(\arbiter_grant[2] ),
.x(_0760_)
);
sky130_fd_sc_hd__buf_1 _1000_ (
.a(_0760_),
.x(_0520_)
);
sky130_fd_sc_hd__inv_2 _1001_ (
.a(master_data_req_i[2]),
.y(_0761_)
);
sky130_fd_sc_hd__nor2_2 _1002_ (
.a(_0714_),
.b(_0761_),
.y(_0521_)
);
sky130_fd_sc_hd__nor2_2 _1003_ (
.a(_0715_),
.b(_0761_),
.y(_0523_)
);
sky130_fd_sc_hd__inv_2 _1004_ (
.a(\arbiter_grant[2] ),
.y(_0762_)
);
sky130_fd_sc_hd__buf_1 _1005_ (
.a(_0762_),
.x(_0763_)
);
sky130_fd_sc_hd__buf_1 _1006_ (
.a(_0763_),
.x(_0764_)
);
sky130_fd_sc_hd__nor2_2 _1007_ (
.a(_0719_),
.b(_0764_),
.y(_0524_)
);
sky130_fd_sc_hd__nor2_2 _1008_ (
.a(_0720_),
.b(_0764_),
.y(_0526_)
);
sky130_fd_sc_hd__nor2_2 _1009_ (
.a(_0721_),
.b(_0764_),
.y(_0528_)
);
sky130_fd_sc_hd__nor2_2 _1010_ (
.a(_0722_),
.b(_0764_),
.y(_0530_)
);
sky130_fd_sc_hd__buf_1 _1011_ (
.a(_0763_),
.x(_0765_)
);
sky130_fd_sc_hd__nor2_2 _1012_ (
.a(_0724_),
.b(_0765_),
.y(_0532_)
);
sky130_fd_sc_hd__nor2_2 _1013_ (
.a(_0725_),
.b(_0765_),
.y(_0534_)
);
sky130_fd_sc_hd__nor2_2 _1014_ (
.a(_0726_),
.b(_0765_),
.y(_0536_)
);
sky130_fd_sc_hd__nor2_2 _1015_ (
.a(_0727_),
.b(_0765_),
.y(_0538_)
);
sky130_fd_sc_hd__buf_1 _1016_ (
.a(_0763_),
.x(_0766_)
);
sky130_fd_sc_hd__nor2_2 _1017_ (
.a(_0729_),
.b(_0766_),
.y(_0540_)
);
sky130_fd_sc_hd__nor2_2 _1018_ (
.a(_0730_),
.b(_0766_),
.y(_0542_)
);
sky130_fd_sc_hd__nor2_2 _1019_ (
.a(_0731_),
.b(_0766_),
.y(_0544_)
);
sky130_fd_sc_hd__nor2_2 _1020_ (
.a(_0732_),
.b(_0766_),
.y(_0546_)
);
sky130_fd_sc_hd__buf_1 _1021_ (
.a(_0762_),
.x(_0767_)
);
sky130_fd_sc_hd__buf_1 _1022_ (
.a(_0767_),
.x(_0768_)
);
sky130_fd_sc_hd__nor2_2 _1023_ (
.a(_0735_),
.b(_0768_),
.y(_0548_)
);
sky130_fd_sc_hd__nor2_2 _1024_ (
.a(_0736_),
.b(_0768_),
.y(_0550_)
);
sky130_fd_sc_hd__nor2_2 _1025_ (
.a(_0737_),
.b(_0768_),
.y(_0552_)
);
sky130_fd_sc_hd__nor2_2 _1026_ (
.a(_0738_),
.b(_0768_),
.y(_0554_)
);
sky130_fd_sc_hd__buf_1 _1027_ (
.a(_0767_),
.x(_0769_)
);
sky130_fd_sc_hd__nor2_2 _1028_ (
.a(_0740_),
.b(_0769_),
.y(_0556_)
);
sky130_fd_sc_hd__nor2_2 _1029_ (
.a(_0741_),
.b(_0769_),
.y(_0558_)
);
sky130_fd_sc_hd__nor2_2 _1030_ (
.a(_0742_),
.b(_0769_),
.y(_0560_)
);
sky130_fd_sc_hd__nor2_2 _1031_ (
.a(_0743_),
.b(_0769_),
.y(_0562_)
);
sky130_fd_sc_hd__buf_1 _1032_ (
.a(_0767_),
.x(_0770_)
);
sky130_fd_sc_hd__nor2_2 _1033_ (
.a(_0745_),
.b(_0770_),
.y(_0564_)
);
sky130_fd_sc_hd__nor2_2 _1034_ (
.a(_0746_),
.b(_0770_),
.y(_0566_)
);
sky130_fd_sc_hd__nor2_2 _1035_ (
.a(_0747_),
.b(_0770_),
.y(_0568_)
);
sky130_fd_sc_hd__nor2_2 _1036_ (
.a(_0748_),
.b(_0770_),
.y(_0570_)
);
sky130_fd_sc_hd__buf_1 _1037_ (
.a(_0767_),
.x(_0771_)
);
sky130_fd_sc_hd__nor2_2 _1038_ (
.a(_0750_),
.b(_0771_),
.y(_0572_)
);
sky130_fd_sc_hd__nor2_2 _1039_ (
.a(_0751_),
.b(_0771_),
.y(_0574_)
);
sky130_fd_sc_hd__nor2_2 _1040_ (
.a(_0752_),
.b(_0771_),
.y(_0576_)
);
sky130_fd_sc_hd__nor2_2 _1041_ (
.a(_0753_),
.b(_0771_),
.y(_0578_)
);
sky130_fd_sc_hd__buf_1 _1042_ (
.a(_0762_),
.x(_0772_)
);
sky130_fd_sc_hd__nor2_2 _1043_ (
.a(_0755_),
.b(_0772_),
.y(_0580_)
);
sky130_fd_sc_hd__nor2_2 _1044_ (
.a(_0756_),
.b(_0772_),
.y(_0582_)
);
sky130_fd_sc_hd__nor2_2 _1045_ (
.a(_0757_),
.b(_0772_),
.y(_0584_)
);
sky130_fd_sc_hd__nor2_2 _1046_ (
.a(_0758_),
.b(_0772_),
.y(_0586_)
);
sky130_fd_sc_hd__nor2_2 _1047_ (
.a(_0759_),
.b(_0763_),
.y(_0588_)
);
sky130_fd_sc_hd__and3_2 _1048_ (
.a(slave_data_gnt_i[0]),
.b(master_data_req_i[1]),
.c(\arbiter_grant[1] ),
.x(_0773_)
);
sky130_fd_sc_hd__buf_1 _1049_ (
.a(_0773_),
.x(_0590_)
);
sky130_fd_sc_hd__inv_2 _1050_ (
.a(master_data_req_i[1]),
.y(_0774_)
);
sky130_fd_sc_hd__nor2_2 _1051_ (
.a(_0714_),
.b(_0774_),
.y(_0591_)
);
sky130_fd_sc_hd__nor2_2 _1052_ (
.a(_0715_),
.b(_0774_),
.y(_0593_)
);
sky130_fd_sc_hd__inv_2 _1053_ (
.a(\arbiter_grant[1] ),
.y(_0775_)
);
sky130_fd_sc_hd__buf_1 _1054_ (
.a(_0775_),
.x(_0776_)
);
sky130_fd_sc_hd__buf_1 _1055_ (
.a(_0776_),
.x(_0777_)
);
sky130_fd_sc_hd__nor2_2 _1056_ (
.a(_0719_),
.b(_0777_),
.y(_0594_)
);
sky130_fd_sc_hd__nor2_2 _1057_ (
.a(_0720_),
.b(_0777_),
.y(_0596_)
);
sky130_fd_sc_hd__nor2_2 _1058_ (
.a(_0721_),
.b(_0777_),
.y(_0598_)
);
sky130_fd_sc_hd__nor2_2 _1059_ (
.a(_0722_),
.b(_0777_),
.y(_0600_)
);
sky130_fd_sc_hd__buf_1 _1060_ (
.a(_0776_),
.x(_0778_)
);
sky130_fd_sc_hd__nor2_2 _1061_ (
.a(_0724_),
.b(_0778_),
.y(_0602_)
);
sky130_fd_sc_hd__nor2_2 _1062_ (
.a(_0725_),
.b(_0778_),
.y(_0604_)
);
sky130_fd_sc_hd__nor2_2 _1063_ (
.a(_0726_),
.b(_0778_),
.y(_0606_)
);
sky130_fd_sc_hd__nor2_2 _1064_ (
.a(_0727_),
.b(_0778_),
.y(_0608_)
);
sky130_fd_sc_hd__buf_1 _1065_ (
.a(_0776_),
.x(_0779_)
);
sky130_fd_sc_hd__nor2_2 _1066_ (
.a(_0729_),
.b(_0779_),
.y(_0610_)
);
sky130_fd_sc_hd__nor2_2 _1067_ (
.a(_0730_),
.b(_0779_),
.y(_0612_)
);
sky130_fd_sc_hd__nor2_2 _1068_ (
.a(_0731_),
.b(_0779_),
.y(_0614_)
);
sky130_fd_sc_hd__nor2_2 _1069_ (
.a(_0732_),
.b(_0779_),
.y(_0616_)
);
sky130_fd_sc_hd__buf_1 _1070_ (
.a(_0775_),
.x(_0780_)
);
sky130_fd_sc_hd__buf_1 _1071_ (
.a(_0780_),
.x(_0781_)
);
sky130_fd_sc_hd__nor2_2 _1072_ (
.a(_0735_),
.b(_0781_),
.y(_0618_)
);
sky130_fd_sc_hd__nor2_2 _1073_ (
.a(_0736_),
.b(_0781_),
.y(_0620_)
);
sky130_fd_sc_hd__nor2_2 _1074_ (
.a(_0737_),
.b(_0781_),
.y(_0622_)
);
sky130_fd_sc_hd__nor2_2 _1075_ (
.a(_0738_),
.b(_0781_),
.y(_0624_)
);
sky130_fd_sc_hd__buf_1 _1076_ (
.a(_0780_),
.x(_0782_)
);
sky130_fd_sc_hd__nor2_2 _1077_ (
.a(_0740_),
.b(_0782_),
.y(_0626_)
);
sky130_fd_sc_hd__nor2_2 _1078_ (
.a(_0741_),
.b(_0782_),
.y(_0628_)
);
sky130_fd_sc_hd__nor2_2 _1079_ (
.a(_0742_),
.b(_0782_),
.y(_0630_)
);
sky130_fd_sc_hd__nor2_2 _1080_ (
.a(_0743_),
.b(_0782_),
.y(_0632_)
);
sky130_fd_sc_hd__buf_1 _1081_ (
.a(_0780_),
.x(_0783_)
);
sky130_fd_sc_hd__nor2_2 _1082_ (
.a(_0745_),
.b(_0783_),
.y(_0634_)
);
sky130_fd_sc_hd__nor2_2 _1083_ (
.a(_0746_),
.b(_0783_),
.y(_0636_)
);
sky130_fd_sc_hd__nor2_2 _1084_ (
.a(_0747_),
.b(_0783_),
.y(_0638_)
);
sky130_fd_sc_hd__nor2_2 _1085_ (
.a(_0748_),
.b(_0783_),
.y(_0640_)
);
sky130_fd_sc_hd__buf_1 _1086_ (
.a(_0780_),
.x(_0784_)
);
sky130_fd_sc_hd__nor2_2 _1087_ (
.a(_0750_),
.b(_0784_),
.y(_0642_)
);
sky130_fd_sc_hd__nor2_2 _1088_ (
.a(_0751_),
.b(_0784_),
.y(_0644_)
);
sky130_fd_sc_hd__nor2_2 _1089_ (
.a(_0752_),
.b(_0784_),
.y(_0646_)
);
sky130_fd_sc_hd__nor2_2 _1090_ (
.a(_0753_),
.b(_0784_),
.y(_0648_)
);
sky130_fd_sc_hd__buf_1 _1091_ (
.a(_0775_),
.x(_0785_)
);
sky130_fd_sc_hd__nor2_2 _1092_ (
.a(_0755_),
.b(_0785_),
.y(_0650_)
);
sky130_fd_sc_hd__nor2_2 _1093_ (
.a(_0756_),
.b(_0785_),
.y(_0652_)
);
sky130_fd_sc_hd__nor2_2 _1094_ (
.a(_0757_),
.b(_0785_),
.y(_0654_)
);
sky130_fd_sc_hd__nor2_2 _1095_ (
.a(_0758_),
.b(_0785_),
.y(_0656_)
);
sky130_fd_sc_hd__nor2_2 _1096_ (
.a(_0759_),
.b(_0776_),
.y(_0658_)
);
sky130_fd_sc_hd__and3_2 _1097_ (
.a(slave_data_gnt_i[0]),
.b(master_data_req_i[0]),
.c(\arbiter_grant[0] ),
.x(_0786_)
);
sky130_fd_sc_hd__buf_1 _1098_ (
.a(_0786_),
.x(_0660_)
);
sky130_fd_sc_hd__inv_2 _1099_ (
.a(master_data_req_i[0]),
.y(_0787_)
);
sky130_fd_sc_hd__buf_1 _1100_ (
.a(_0787_),
.x(_0788_)
);
sky130_fd_sc_hd__nor2_2 _1101_ (
.a(_0714_),
.b(_0788_),
.y(_0661_)
);
sky130_fd_sc_hd__nor2_2 _1102_ (
.a(_0715_),
.b(_0788_),
.y(_0663_)
);
sky130_fd_sc_hd__inv_2 _1103_ (
.a(\arbiter_grant[0] ),
.y(_0789_)
);
sky130_fd_sc_hd__buf_1 _1104_ (
.a(_0789_),
.x(_0790_)
);
sky130_fd_sc_hd__buf_1 _1105_ (
.a(_0790_),
.x(_0791_)
);
sky130_fd_sc_hd__nor2_2 _1106_ (
.a(_0719_),
.b(_0791_),
.y(_0664_)
);
sky130_fd_sc_hd__nor2_2 _1107_ (
.a(_0720_),
.b(_0791_),
.y(_0666_)
);
sky130_fd_sc_hd__nor2_2 _1108_ (
.a(_0721_),
.b(_0791_),
.y(_0668_)
);
sky130_fd_sc_hd__nor2_2 _1109_ (
.a(_0722_),
.b(_0791_),
.y(_0670_)
);
sky130_fd_sc_hd__buf_1 _1110_ (
.a(_0790_),
.x(_0792_)
);
sky130_fd_sc_hd__nor2_2 _1111_ (
.a(_0724_),
.b(_0792_),
.y(_0672_)
);
sky130_fd_sc_hd__nor2_2 _1112_ (
.a(_0725_),
.b(_0792_),
.y(_0674_)
);
sky130_fd_sc_hd__nor2_2 _1113_ (
.a(_0726_),
.b(_0792_),
.y(_0676_)
);
sky130_fd_sc_hd__nor2_2 _1114_ (
.a(_0727_),
.b(_0792_),
.y(_0678_)
);
sky130_fd_sc_hd__buf_1 _1115_ (
.a(_0790_),
.x(_0793_)
);
sky130_fd_sc_hd__nor2_2 _1116_ (
.a(_0729_),
.b(_0793_),
.y(_0680_)
);
sky130_fd_sc_hd__nor2_2 _1117_ (
.a(_0730_),
.b(_0793_),
.y(_0682_)
);
sky130_fd_sc_hd__nor2_2 _1118_ (
.a(_0731_),
.b(_0793_),
.y(_0684_)
);
sky130_fd_sc_hd__nor2_2 _1119_ (
.a(_0732_),
.b(_0793_),
.y(_0686_)
);
sky130_fd_sc_hd__buf_1 _1120_ (
.a(_0789_),
.x(_0794_)
);
sky130_fd_sc_hd__buf_1 _1121_ (
.a(_0794_),
.x(_0795_)
);
sky130_fd_sc_hd__buf_1 _1122_ (
.a(_0795_),
.x(_0796_)
);
sky130_fd_sc_hd__nor2_2 _1123_ (
.a(_0735_),
.b(_0796_),
.y(_0688_)
);
sky130_fd_sc_hd__nor2_2 _1124_ (
.a(_0736_),
.b(_0796_),
.y(_0690_)
);
sky130_fd_sc_hd__nor2_2 _1125_ (
.a(_0737_),
.b(_0796_),
.y(_0692_)
);
sky130_fd_sc_hd__nor2_2 _1126_ (
.a(_0738_),
.b(_0796_),
.y(_0694_)
);
sky130_fd_sc_hd__buf_1 _1127_ (
.a(_0795_),
.x(_0797_)
);
sky130_fd_sc_hd__nor2_2 _1128_ (
.a(_0740_),
.b(_0797_),
.y(_0696_)
);
sky130_fd_sc_hd__nor2_2 _1129_ (
.a(_0741_),
.b(_0797_),
.y(_0698_)
);
sky130_fd_sc_hd__nor2_2 _1130_ (
.a(_0742_),
.b(_0797_),
.y(_0700_)
);
sky130_fd_sc_hd__nor2_2 _1131_ (
.a(_0743_),
.b(_0797_),
.y(_0702_)
);
sky130_fd_sc_hd__buf_1 _1132_ (
.a(_0795_),
.x(_0798_)
);
sky130_fd_sc_hd__nor2_2 _1133_ (
.a(_0745_),
.b(_0798_),
.y(_0704_)
);
sky130_fd_sc_hd__nor2_2 _1134_ (
.a(_0746_),
.b(_0798_),
.y(_0706_)
);
sky130_fd_sc_hd__nor2_2 _1135_ (
.a(_0747_),
.b(_0798_),
.y(_0708_)
);
sky130_fd_sc_hd__nor2_2 _1136_ (
.a(_0748_),
.b(_0798_),
.y(_0710_)
);
sky130_fd_sc_hd__buf_1 _1137_ (
.a(_0795_),
.x(_0799_)
);
sky130_fd_sc_hd__nor2_2 _1138_ (
.a(_0750_),
.b(_0799_),
.y(_0000_)
);
sky130_fd_sc_hd__nor2_2 _1139_ (
.a(_0751_),
.b(_0799_),
.y(_0002_)
);
sky130_fd_sc_hd__nor2_2 _1140_ (
.a(_0752_),
.b(_0799_),
.y(_0004_)
);
sky130_fd_sc_hd__nor2_2 _1141_ (
.a(_0753_),
.b(_0799_),
.y(_0006_)
);
sky130_fd_sc_hd__buf_1 _1142_ (
.a(_0794_),
.x(_0800_)
);
sky130_fd_sc_hd__buf_1 _1143_ (
.a(_0800_),
.x(_0801_)
);
sky130_fd_sc_hd__nor2_2 _1144_ (
.a(_0755_),
.b(_0801_),
.y(_0008_)
);
sky130_fd_sc_hd__nor2_2 _1145_ (
.a(_0756_),
.b(_0801_),
.y(_0010_)
);
sky130_fd_sc_hd__nor2_2 _1146_ (
.a(_0757_),
.b(_0801_),
.y(_0012_)
);
sky130_fd_sc_hd__nor2_2 _1147_ (
.a(_0758_),
.b(_0801_),
.y(_0014_)
);
sky130_fd_sc_hd__buf_1 _1148_ (
.a(_0794_),
.x(_0802_)
);
sky130_fd_sc_hd__nor2_2 _1149_ (
.a(_0759_),
.b(_0802_),
.y(_0016_)
);
sky130_fd_sc_hd__inv_2 _1150_ (
.a(\arbiter_grant[8] ),
.y(_0803_)
);
sky130_fd_sc_hd__buf_1 _1151_ (
.a(_0803_),
.x(_0804_)
);
sky130_fd_sc_hd__buf_1 _1152_ (
.a(_0804_),
.x(_0805_)
);
sky130_fd_sc_hd__nor2_2 _1153_ (
.a(_0788_),
.b(_0805_),
.y(_0018_)
);
sky130_fd_sc_hd__inv_2 _1154_ (
.a(master_data_wdata_i[0]),
.y(_0806_)
);
sky130_fd_sc_hd__nor2_2 _1155_ (
.a(_0805_),
.b(_0806_),
.y(_0021_)
);
sky130_fd_sc_hd__inv_2 _1156_ (
.a(master_data_wdata_i[1]),
.y(_0807_)
);
sky130_fd_sc_hd__nor2_2 _1157_ (
.a(_0805_),
.b(_0807_),
.y(_0024_)
);
sky130_fd_sc_hd__inv_2 _1158_ (
.a(master_data_wdata_i[2]),
.y(_0808_)
);
sky130_fd_sc_hd__nor2_2 _1159_ (
.a(_0805_),
.b(_0808_),
.y(_0027_)
);
sky130_fd_sc_hd__buf_1 _1160_ (
.a(_0803_),
.x(_0809_)
);
sky130_fd_sc_hd__buf_1 _1161_ (
.a(_0809_),
.x(_0810_)
);
sky130_fd_sc_hd__inv_2 _1162_ (
.a(master_data_wdata_i[3]),
.y(_0811_)
);
sky130_fd_sc_hd__nor2_2 _1163_ (
.a(_0810_),
.b(_0811_),
.y(_0030_)
);
sky130_fd_sc_hd__inv_2 _1164_ (
.a(master_data_wdata_i[4]),
.y(_0812_)
);
sky130_fd_sc_hd__nor2_2 _1165_ (
.a(_0810_),
.b(_0812_),
.y(_0033_)
);
sky130_fd_sc_hd__inv_2 _1166_ (
.a(master_data_wdata_i[5]),
.y(_0813_)
);
sky130_fd_sc_hd__nor2_2 _1167_ (
.a(_0810_),
.b(_0813_),
.y(_0036_)
);
sky130_fd_sc_hd__inv_2 _1168_ (
.a(master_data_wdata_i[6]),
.y(_0814_)
);
sky130_fd_sc_hd__nor2_2 _1169_ (
.a(_0810_),
.b(_0814_),
.y(_0039_)
);
sky130_fd_sc_hd__buf_1 _1170_ (
.a(_0809_),
.x(_0815_)
);
sky130_fd_sc_hd__inv_2 _1171_ (
.a(master_data_wdata_i[7]),
.y(_0816_)
);
sky130_fd_sc_hd__nor2_2 _1172_ (
.a(_0815_),
.b(_0816_),
.y(_0042_)
);
sky130_fd_sc_hd__inv_2 _1173_ (
.a(master_data_wdata_i[8]),
.y(_0817_)
);
sky130_fd_sc_hd__nor2_2 _1174_ (
.a(_0815_),
.b(_0817_),
.y(_0045_)
);
sky130_fd_sc_hd__inv_2 _1175_ (
.a(master_data_wdata_i[9]),
.y(_0818_)
);
sky130_fd_sc_hd__nor2_2 _1176_ (
.a(_0815_),
.b(_0818_),
.y(_0048_)
);
sky130_fd_sc_hd__inv_2 _1177_ (
.a(master_data_wdata_i[10]),
.y(_0819_)
);
sky130_fd_sc_hd__nor2_2 _1178_ (
.a(_0815_),
.b(_0819_),
.y(_0051_)
);
sky130_fd_sc_hd__buf_1 _1179_ (
.a(_0809_),
.x(_0820_)
);
sky130_fd_sc_hd__inv_2 _1180_ (
.a(master_data_wdata_i[11]),
.y(_0821_)
);
sky130_fd_sc_hd__nor2_2 _1181_ (
.a(_0820_),
.b(_0821_),
.y(_0054_)
);
sky130_fd_sc_hd__inv_2 _1182_ (
.a(master_data_wdata_i[12]),
.y(_0822_)
);
sky130_fd_sc_hd__nor2_2 _1183_ (
.a(_0820_),
.b(_0822_),
.y(_0057_)
);
sky130_fd_sc_hd__inv_2 _1184_ (
.a(master_data_wdata_i[13]),
.y(_0823_)
);
sky130_fd_sc_hd__nor2_2 _1185_ (
.a(_0820_),
.b(_0823_),
.y(_0060_)
);
sky130_fd_sc_hd__inv_2 _1186_ (
.a(master_data_wdata_i[14]),
.y(_0824_)
);
sky130_fd_sc_hd__nor2_2 _1187_ (
.a(_0820_),
.b(_0824_),
.y(_0063_)
);
sky130_fd_sc_hd__buf_1 _1188_ (
.a(_0809_),
.x(_0825_)
);
sky130_fd_sc_hd__inv_2 _1189_ (
.a(master_data_wdata_i[15]),
.y(_0826_)
);
sky130_fd_sc_hd__nor2_2 _1190_ (
.a(_0825_),
.b(_0826_),
.y(_0066_)
);
sky130_fd_sc_hd__inv_2 _1191_ (
.a(master_data_wdata_i[16]),
.y(_0827_)
);
sky130_fd_sc_hd__nor2_2 _1192_ (
.a(_0825_),
.b(_0827_),
.y(_0069_)
);
sky130_fd_sc_hd__inv_2 _1193_ (
.a(master_data_wdata_i[17]),
.y(_0828_)
);
sky130_fd_sc_hd__nor2_2 _1194_ (
.a(_0825_),
.b(_0828_),
.y(_0072_)
);
sky130_fd_sc_hd__inv_2 _1195_ (
.a(master_data_wdata_i[18]),
.y(_0829_)
);
sky130_fd_sc_hd__nor2_2 _1196_ (
.a(_0825_),
.b(_0829_),
.y(_0075_)
);
sky130_fd_sc_hd__buf_1 _1197_ (
.a(_0803_),
.x(_0830_)
);
sky130_fd_sc_hd__buf_1 _1198_ (
.a(_0830_),
.x(_0831_)
);
sky130_fd_sc_hd__inv_2 _1199_ (
.a(master_data_wdata_i[19]),
.y(_0832_)
);
sky130_fd_sc_hd__nor2_2 _1200_ (
.a(_0831_),
.b(_0832_),
.y(_0078_)
);
sky130_fd_sc_hd__inv_2 _1201_ (
.a(master_data_wdata_i[20]),
.y(_0833_)
);
sky130_fd_sc_hd__nor2_2 _1202_ (
.a(_0831_),
.b(_0833_),
.y(_0081_)
);
sky130_fd_sc_hd__inv_2 _1203_ (
.a(master_data_wdata_i[21]),
.y(_0834_)
);
sky130_fd_sc_hd__nor2_2 _1204_ (
.a(_0831_),
.b(_0834_),
.y(_0084_)
);
sky130_fd_sc_hd__inv_2 _1205_ (
.a(master_data_wdata_i[22]),
.y(_0835_)
);
sky130_fd_sc_hd__nor2_2 _1206_ (
.a(_0831_),
.b(_0835_),
.y(_0087_)
);
sky130_fd_sc_hd__buf_1 _1207_ (
.a(_0830_),
.x(_0836_)
);
sky130_fd_sc_hd__inv_2 _1208_ (
.a(master_data_wdata_i[23]),
.y(_0837_)
);
sky130_fd_sc_hd__nor2_2 _1209_ (
.a(_0836_),
.b(_0837_),
.y(_0090_)
);
sky130_fd_sc_hd__inv_2 _1210_ (
.a(master_data_wdata_i[24]),
.y(_0838_)
);
sky130_fd_sc_hd__nor2_2 _1211_ (
.a(_0836_),
.b(_0838_),
.y(_0093_)
);
sky130_fd_sc_hd__inv_2 _1212_ (
.a(master_data_wdata_i[25]),
.y(_0839_)
);
sky130_fd_sc_hd__nor2_2 _1213_ (
.a(_0836_),
.b(_0839_),
.y(_0096_)
);
sky130_fd_sc_hd__inv_2 _1214_ (
.a(master_data_wdata_i[26]),
.y(_0840_)
);
sky130_fd_sc_hd__nor2_2 _1215_ (
.a(_0836_),
.b(_0840_),
.y(_0099_)
);
sky130_fd_sc_hd__buf_1 _1216_ (
.a(_0830_),
.x(_0841_)
);
sky130_fd_sc_hd__inv_2 _1217_ (
.a(master_data_wdata_i[27]),
.y(_0842_)
);
sky130_fd_sc_hd__nor2_2 _1218_ (
.a(_0841_),
.b(_0842_),
.y(_0102_)
);
sky130_fd_sc_hd__inv_2 _1219_ (
.a(master_data_wdata_i[28]),
.y(_0843_)
);
sky130_fd_sc_hd__nor2_2 _1220_ (
.a(_0841_),
.b(_0843_),
.y(_0105_)
);
sky130_fd_sc_hd__inv_2 _1221_ (
.a(master_data_wdata_i[29]),
.y(_0844_)
);
sky130_fd_sc_hd__nor2_2 _1222_ (
.a(_0841_),
.b(_0844_),
.y(_0108_)
);
sky130_fd_sc_hd__inv_2 _1223_ (
.a(master_data_wdata_i[30]),
.y(_0845_)
);
sky130_fd_sc_hd__nor2_2 _1224_ (
.a(_0841_),
.b(_0845_),
.y(_0111_)
);
sky130_fd_sc_hd__buf_1 _1225_ (
.a(_0830_),
.x(_0846_)
);
sky130_fd_sc_hd__inv_2 _1226_ (
.a(master_data_wdata_i[31]),
.y(_0847_)
);
sky130_fd_sc_hd__nor2_2 _1227_ (
.a(_0846_),
.b(_0847_),
.y(_0114_)
);
sky130_fd_sc_hd__inv_2 _1228_ (
.a(master_data_be_i[0]),
.y(_0848_)
);
sky130_fd_sc_hd__nor2_2 _1229_ (
.a(_0846_),
.b(_0848_),
.y(_0117_)
);
sky130_fd_sc_hd__inv_2 _1230_ (
.a(master_data_be_i[1]),
.y(_0849_)
);
sky130_fd_sc_hd__nor2_2 _1231_ (
.a(_0846_),
.b(_0849_),
.y(_0120_)
);
sky130_fd_sc_hd__inv_2 _1232_ (
.a(master_data_be_i[2]),
.y(_0850_)
);
sky130_fd_sc_hd__nor2_2 _1233_ (
.a(_0846_),
.b(_0850_),
.y(_0123_)
);
sky130_fd_sc_hd__buf_1 _1234_ (
.a(_0804_),
.x(_0851_)
);
sky130_fd_sc_hd__inv_2 _1235_ (
.a(master_data_be_i[3]),
.y(_0852_)
);
sky130_fd_sc_hd__nor2_2 _1236_ (
.a(_0851_),
.b(_0852_),
.y(_0126_)
);
sky130_fd_sc_hd__inv_2 _1237_ (
.a(master_data_we_i[0]),
.y(_0853_)
);
sky130_fd_sc_hd__nor2_2 _1238_ (
.a(_0851_),
.b(_0853_),
.y(_0129_)
);
sky130_fd_sc_hd__inv_2 _1239_ (
.a(master_data_addr_i[0]),
.y(_0854_)
);
sky130_fd_sc_hd__nor2_2 _1240_ (
.a(_0851_),
.b(_0854_),
.y(_0132_)
);
sky130_fd_sc_hd__inv_2 _1241_ (
.a(master_data_addr_i[1]),
.y(_0855_)
);
sky130_fd_sc_hd__nor2_2 _1242_ (
.a(_0851_),
.b(_0855_),
.y(_0135_)
);
sky130_fd_sc_hd__buf_1 _1243_ (
.a(_0804_),
.x(_0856_)
);
sky130_fd_sc_hd__inv_2 _1244_ (
.a(master_data_addr_i[2]),
.y(_0857_)
);
sky130_fd_sc_hd__nor2_2 _1245_ (
.a(_0856_),
.b(_0857_),
.y(_0138_)
);
sky130_fd_sc_hd__inv_2 _1246_ (
.a(master_data_addr_i[3]),
.y(_0858_)
);
sky130_fd_sc_hd__nor2_2 _1247_ (
.a(_0856_),
.b(_0858_),
.y(_0141_)
);
sky130_fd_sc_hd__inv_2 _1248_ (
.a(master_data_addr_i[4]),
.y(_0859_)
);
sky130_fd_sc_hd__nor2_2 _1249_ (
.a(_0856_),
.b(_0859_),
.y(_0144_)
);
sky130_fd_sc_hd__inv_2 _1250_ (
.a(master_data_addr_i[5]),
.y(_0860_)
);
sky130_fd_sc_hd__nor2_2 _1251_ (
.a(_0856_),
.b(_0860_),
.y(_0147_)
);
sky130_fd_sc_hd__buf_1 _1252_ (
.a(_0804_),
.x(_0861_)
);
sky130_fd_sc_hd__inv_2 _1253_ (
.a(master_data_addr_i[6]),
.y(_0862_)
);
sky130_fd_sc_hd__nor2_2 _1254_ (
.a(_0861_),
.b(_0862_),
.y(_0150_)
);
sky130_fd_sc_hd__inv_2 _1255_ (
.a(master_data_addr_i[7]),
.y(_0863_)
);
sky130_fd_sc_hd__nor2_2 _1256_ (
.a(_0861_),
.b(_0863_),
.y(_0153_)
);
sky130_fd_sc_hd__inv_2 _1257_ (
.a(master_data_addr_i[8]),
.y(_0864_)
);
sky130_fd_sc_hd__nor2_2 _1258_ (
.a(_0861_),
.b(_0864_),
.y(_0156_)
);
sky130_fd_sc_hd__inv_2 _1259_ (
.a(master_data_addr_i[9]),
.y(_0865_)
);
sky130_fd_sc_hd__nor2_2 _1260_ (
.a(_0861_),
.b(_0865_),
.y(_0159_)
);
sky130_fd_sc_hd__inv_2 _1261_ (
.a(\arbiter_grant[4] ),
.y(_0866_)
);
sky130_fd_sc_hd__buf_1 _1262_ (
.a(_0866_),
.x(_0867_)
);
sky130_fd_sc_hd__buf_1 _1263_ (
.a(_0867_),
.x(_0868_)
);
sky130_fd_sc_hd__nor2_2 _1264_ (
.a(_0788_),
.b(_0868_),
.y(_0162_)
);
sky130_fd_sc_hd__nor2_2 _1265_ (
.a(_0806_),
.b(_0868_),
.y(_0165_)
);
sky130_fd_sc_hd__nor2_2 _1266_ (
.a(_0807_),
.b(_0868_),
.y(_0168_)
);
sky130_fd_sc_hd__nor2_2 _1267_ (
.a(_0808_),
.b(_0868_),
.y(_0171_)
);
sky130_fd_sc_hd__buf_1 _1268_ (
.a(_0867_),
.x(_0869_)
);
sky130_fd_sc_hd__nor2_2 _1269_ (
.a(_0811_),
.b(_0869_),
.y(_0174_)
);
sky130_fd_sc_hd__nor2_2 _1270_ (
.a(_0812_),
.b(_0869_),
.y(_0177_)
);
sky130_fd_sc_hd__nor2_2 _1271_ (
.a(_0813_),
.b(_0869_),
.y(_0180_)
);
sky130_fd_sc_hd__nor2_2 _1272_ (
.a(_0814_),
.b(_0869_),
.y(_0183_)
);
sky130_fd_sc_hd__buf_1 _1273_ (
.a(_0867_),
.x(_0870_)
);
sky130_fd_sc_hd__nor2_2 _1274_ (
.a(_0816_),
.b(_0870_),
.y(_0186_)
);
sky130_fd_sc_hd__nor2_2 _1275_ (
.a(_0817_),
.b(_0870_),
.y(_0189_)
);
sky130_fd_sc_hd__nor2_2 _1276_ (
.a(_0818_),
.b(_0870_),
.y(_0192_)
);
sky130_fd_sc_hd__nor2_2 _1277_ (
.a(_0819_),
.b(_0870_),
.y(_0195_)
);
sky130_fd_sc_hd__buf_1 _1278_ (
.a(_0867_),
.x(_0871_)
);
sky130_fd_sc_hd__nor2_2 _1279_ (
.a(_0821_),
.b(_0871_),
.y(_0198_)
);
sky130_fd_sc_hd__nor2_2 _1280_ (
.a(_0822_),
.b(_0871_),
.y(_0201_)
);
sky130_fd_sc_hd__nor2_2 _1281_ (
.a(_0823_),
.b(_0871_),
.y(_0204_)
);
sky130_fd_sc_hd__nor2_2 _1282_ (
.a(_0824_),
.b(_0871_),
.y(_0207_)
);
sky130_fd_sc_hd__buf_1 _1283_ (
.a(_0866_),
.x(_0872_)
);
sky130_fd_sc_hd__buf_1 _1284_ (
.a(_0872_),
.x(_0873_)
);
sky130_fd_sc_hd__nor2_2 _1285_ (
.a(_0826_),
.b(_0873_),
.y(_0210_)
);
sky130_fd_sc_hd__nor2_2 _1286_ (
.a(_0827_),
.b(_0873_),
.y(_0213_)
);
sky130_fd_sc_hd__nor2_2 _1287_ (
.a(_0828_),
.b(_0873_),
.y(_0216_)
);
sky130_fd_sc_hd__nor2_2 _1288_ (
.a(_0829_),
.b(_0873_),
.y(_0219_)
);
sky130_fd_sc_hd__buf_1 _1289_ (
.a(_0872_),
.x(_0874_)
);
sky130_fd_sc_hd__nor2_2 _1290_ (
.a(_0832_),
.b(_0874_),
.y(_0222_)
);
sky130_fd_sc_hd__nor2_2 _1291_ (
.a(_0833_),
.b(_0874_),
.y(_0225_)
);
sky130_fd_sc_hd__nor2_2 _1292_ (
.a(_0834_),
.b(_0874_),
.y(_0228_)
);
sky130_fd_sc_hd__nor2_2 _1293_ (
.a(_0835_),
.b(_0874_),
.y(_0231_)
);
sky130_fd_sc_hd__buf_1 _1294_ (
.a(_0872_),
.x(_0875_)
);
sky130_fd_sc_hd__nor2_2 _1295_ (
.a(_0837_),
.b(_0875_),
.y(_0234_)
);
sky130_fd_sc_hd__nor2_2 _1296_ (
.a(_0838_),
.b(_0875_),
.y(_0237_)
);
sky130_fd_sc_hd__nor2_2 _1297_ (
.a(_0839_),
.b(_0875_),
.y(_0240_)
);
sky130_fd_sc_hd__nor2_2 _1298_ (
.a(_0840_),
.b(_0875_),
.y(_0243_)
);
sky130_fd_sc_hd__buf_1 _1299_ (
.a(_0872_),
.x(_0876_)
);
sky130_fd_sc_hd__nor2_2 _1300_ (
.a(_0842_),
.b(_0876_),
.y(_0246_)
);
sky130_fd_sc_hd__nor2_2 _1301_ (
.a(_0843_),
.b(_0876_),
.y(_0249_)
);
sky130_fd_sc_hd__nor2_2 _1302_ (
.a(_0844_),
.b(_0876_),
.y(_0252_)
);
sky130_fd_sc_hd__nor2_2 _1303_ (
.a(_0845_),
.b(_0876_),
.y(_0255_)
);
sky130_fd_sc_hd__buf_1 _1304_ (
.a(_0866_),
.x(_0877_)
);
sky130_fd_sc_hd__buf_1 _1305_ (
.a(_0877_),
.x(_0878_)
);
sky130_fd_sc_hd__nor2_2 _1306_ (
.a(_0847_),
.b(_0878_),
.y(_0258_)
);
sky130_fd_sc_hd__nor2_2 _1307_ (
.a(_0848_),
.b(_0878_),
.y(_0261_)
);
sky130_fd_sc_hd__nor2_2 _1308_ (
.a(_0849_),
.b(_0878_),
.y(_0264_)
);
sky130_fd_sc_hd__nor2_2 _1309_ (
.a(_0850_),
.b(_0878_),
.y(_0267_)
);
sky130_fd_sc_hd__buf_1 _1310_ (
.a(_0877_),
.x(_0879_)
);
sky130_fd_sc_hd__nor2_2 _1311_ (
.a(_0852_),
.b(_0879_),
.y(_0270_)
);
sky130_fd_sc_hd__nor2_2 _1312_ (
.a(_0853_),
.b(_0879_),
.y(_0273_)
);
sky130_fd_sc_hd__nor2_2 _1313_ (
.a(_0854_),
.b(_0879_),
.y(_0276_)
);
sky130_fd_sc_hd__nor2_2 _1314_ (
.a(_0855_),
.b(_0879_),
.y(_0279_)
);
sky130_fd_sc_hd__buf_1 _1315_ (
.a(_0877_),
.x(_0880_)
);
sky130_fd_sc_hd__nor2_2 _1316_ (
.a(_0857_),
.b(_0880_),
.y(_0282_)
);
sky130_fd_sc_hd__nor2_2 _1317_ (
.a(_0858_),
.b(_0880_),
.y(_0285_)
);
sky130_fd_sc_hd__nor2_2 _1318_ (
.a(_0859_),
.b(_0880_),
.y(_0288_)
);
sky130_fd_sc_hd__nor2_2 _1319_ (
.a(_0860_),
.b(_0880_),
.y(_0291_)
);
sky130_fd_sc_hd__buf_1 _1320_ (
.a(_0877_),
.x(_0881_)
);
sky130_fd_sc_hd__nor2_2 _1321_ (
.a(_0862_),
.b(_0881_),
.y(_0294_)
);
sky130_fd_sc_hd__nor2_2 _1322_ (
.a(_0863_),
.b(_0881_),
.y(_0297_)
);
sky130_fd_sc_hd__nor2_2 _1323_ (
.a(_0864_),
.b(_0881_),
.y(_0300_)
);
sky130_fd_sc_hd__nor2_2 _1324_ (
.a(_0865_),
.b(_0881_),
.y(_0303_)
);
sky130_fd_sc_hd__nor2_2 _1325_ (
.a(_0787_),
.b(_0802_),
.y(_0306_)
);
sky130_fd_sc_hd__nor2_2 _1326_ (
.a(_0802_),
.b(_0806_),
.y(_0309_)
);
sky130_fd_sc_hd__nor2_2 _1327_ (
.a(_0802_),
.b(_0807_),
.y(_0312_)
);
sky130_fd_sc_hd__buf_1 _1328_ (
.a(_0800_),
.x(_0882_)
);
sky130_fd_sc_hd__nor2_2 _1329_ (
.a(_0882_),
.b(_0808_),
.y(_0315_)
);
sky130_fd_sc_hd__nor2_2 _1330_ (
.a(_0882_),
.b(_0811_),
.y(_0318_)
);
sky130_fd_sc_hd__nor2_2 _1331_ (
.a(_0882_),
.b(_0812_),
.y(_0321_)
);
sky130_fd_sc_hd__nor2_2 _1332_ (
.a(_0882_),
.b(_0813_),
.y(_0324_)
);
sky130_fd_sc_hd__buf_1 _1333_ (
.a(_0800_),
.x(_0883_)
);
sky130_fd_sc_hd__nor2_2 _1334_ (
.a(_0883_),
.b(_0814_),
.y(_0327_)
);
sky130_fd_sc_hd__nor2_2 _1335_ (
.a(_0883_),
.b(_0816_),
.y(_0330_)
);
sky130_fd_sc_hd__nor2_2 _1336_ (
.a(_0883_),
.b(_0817_),
.y(_0333_)
);
sky130_fd_sc_hd__nor2_2 _1337_ (
.a(_0883_),
.b(_0818_),
.y(_0336_)
);
sky130_fd_sc_hd__buf_1 _1338_ (
.a(_0800_),
.x(_0884_)
);
sky130_fd_sc_hd__nor2_2 _1339_ (
.a(_0884_),
.b(_0819_),
.y(_0339_)
);
sky130_fd_sc_hd__nor2_2 _1340_ (
.a(_0884_),
.b(_0821_),
.y(_0342_)
);
sky130_fd_sc_hd__nor2_2 _1341_ (
.a(_0884_),
.b(_0822_),
.y(_0345_)
);
sky130_fd_sc_hd__nor2_2 _1342_ (
.a(_0884_),
.b(_0823_),
.y(_0348_)
);
sky130_fd_sc_hd__buf_1 _1343_ (
.a(_0794_),
.x(_0885_)
);
sky130_fd_sc_hd__buf_1 _1344_ (
.a(_0885_),
.x(_0886_)
);
sky130_fd_sc_hd__nor2_2 _1345_ (
.a(_0886_),
.b(_0824_),
.y(_0351_)
);
sky130_fd_sc_hd__nor2_2 _1346_ (
.a(_0886_),
.b(_0826_),
.y(_0354_)
);
sky130_fd_sc_hd__nor2_2 _1347_ (
.a(_0886_),
.b(_0827_),
.y(_0357_)
);
sky130_fd_sc_hd__nor2_2 _1348_ (
.a(_0886_),
.b(_0828_),
.y(_0360_)
);
sky130_fd_sc_hd__buf_1 _1349_ (
.a(_0885_),
.x(_0887_)
);
sky130_fd_sc_hd__nor2_2 _1350_ (
.a(_0887_),
.b(_0829_),
.y(_0363_)
);
sky130_fd_sc_hd__nor2_2 _1351_ (
.a(_0887_),
.b(_0832_),
.y(_0366_)
);
sky130_fd_sc_hd__nor2_2 _1352_ (
.a(_0887_),
.b(_0833_),
.y(_0369_)
);
sky130_fd_sc_hd__nor2_2 _1353_ (
.a(_0887_),
.b(_0834_),
.y(_0372_)
);
sky130_fd_sc_hd__buf_1 _1354_ (
.a(_0885_),
.x(_0888_)
);
sky130_fd_sc_hd__nor2_2 _1355_ (
.a(_0888_),
.b(_0835_),
.y(_0375_)
);
sky130_fd_sc_hd__nor2_2 _1356_ (
.a(_0888_),
.b(_0837_),
.y(_0378_)
);
sky130_fd_sc_hd__nor2_2 _1357_ (
.a(_0888_),
.b(_0838_),
.y(_0381_)
);
sky130_fd_sc_hd__nor2_2 _1358_ (
.a(_0888_),
.b(_0839_),
.y(_0384_)
);
sky130_fd_sc_hd__buf_1 _1359_ (
.a(_0885_),
.x(_0889_)
);
sky130_fd_sc_hd__nor2_2 _1360_ (
.a(_0889_),
.b(_0840_),
.y(_0387_)
);
sky130_fd_sc_hd__nor2_2 _1361_ (
.a(_0889_),
.b(_0842_),
.y(_0390_)
);
sky130_fd_sc_hd__nor2_2 _1362_ (
.a(_0889_),
.b(_0843_),
.y(_0393_)
);
sky130_fd_sc_hd__nor2_2 _1363_ (
.a(_0889_),
.b(_0844_),
.y(_0396_)
);
sky130_fd_sc_hd__buf_1 _1364_ (
.a(_0789_),
.x(_0890_)
);
sky130_fd_sc_hd__buf_1 _1365_ (
.a(_0890_),
.x(_0891_)
);
sky130_fd_sc_hd__nor2_2 _1366_ (
.a(_0891_),
.b(_0845_),
.y(_0399_)
);
sky130_fd_sc_hd__nor2_2 _1367_ (
.a(_0891_),
.b(_0847_),
.y(_0402_)
);
sky130_fd_sc_hd__nor2_2 _1368_ (
.a(_0891_),
.b(_0848_),
.y(_0405_)
);
sky130_fd_sc_hd__nor2_2 _1369_ (
.a(_0891_),
.b(_0849_),
.y(_0408_)
);
sky130_fd_sc_hd__buf_1 _1370_ (
.a(_0890_),
.x(_0892_)
);
sky130_fd_sc_hd__nor2_2 _1371_ (
.a(_0892_),
.b(_0850_),
.y(_0411_)
);
sky130_fd_sc_hd__nor2_2 _1372_ (
.a(_0892_),
.b(_0852_),
.y(_0414_)
);
sky130_fd_sc_hd__nor2_2 _1373_ (
.a(_0892_),
.b(_0853_),
.y(_0417_)
);
sky130_fd_sc_hd__nor2_2 _1374_ (
.a(_0892_),
.b(_0854_),
.y(_0420_)
);
sky130_fd_sc_hd__buf_1 _1375_ (
.a(_0890_),
.x(_0893_)
);
sky130_fd_sc_hd__nor2_2 _1376_ (
.a(_0893_),
.b(_0855_),
.y(_0423_)
);
sky130_fd_sc_hd__nor2_2 _1377_ (
.a(_0893_),
.b(_0857_),
.y(_0426_)
);
sky130_fd_sc_hd__nor2_2 _1378_ (
.a(_0893_),
.b(_0858_),
.y(_0429_)
);
sky130_fd_sc_hd__nor2_2 _1379_ (
.a(_0893_),
.b(_0859_),
.y(_0432_)
);
sky130_fd_sc_hd__buf_1 _1380_ (
.a(_0890_),
.x(_0894_)
);
sky130_fd_sc_hd__nor2_2 _1381_ (
.a(_0894_),
.b(_0860_),
.y(_0435_)
);
sky130_fd_sc_hd__nor2_2 _1382_ (
.a(_0894_),
.b(_0862_),
.y(_0438_)
);
sky130_fd_sc_hd__nor2_2 _1383_ (
.a(_0894_),
.b(_0863_),
.y(_0441_)
);
sky130_fd_sc_hd__nor2_2 _1384_ (
.a(_0894_),
.b(_0864_),
.y(_0444_)
);
sky130_fd_sc_hd__nor2_2 _1385_ (
.a(_0790_),
.b(_0865_),
.y(_0447_)
);
sky130_fd_sc_hd__inv_2 _1386_ (
.a(master_data_addr_i[11]),
.y(_0895_)
);
sky130_fd_sc_hd__inv_2 _1387_ (
.a(master_data_addr_i[10]),
.y(_0896_)
);
sky130_fd_sc_hd__and3_2 _1388_ (
.a(master_data_req_i[0]),
.b(_0895_),
.c(_0896_),
.x(_0897_)
);
sky130_fd_sc_hd__buf_1 _1389_ (
.a(_0897_),
.x(\arbiter_request[0] )
);
sky130_fd_sc_hd__inv_2 _1390_ (
.a(master_data_addr_i[23]),
.y(_0898_)
);
sky130_fd_sc_hd__inv_2 _1391_ (
.a(master_data_addr_i[22]),
.y(_0899_)
);
sky130_fd_sc_hd__and3_2 _1392_ (
.a(master_data_req_i[1]),
.b(_0898_),
.c(_0899_),
.x(_0900_)
);
sky130_fd_sc_hd__buf_1 _1393_ (
.a(_0900_),
.x(\arbiter_request[1] )
);
sky130_fd_sc_hd__inv_2 _1394_ (
.a(master_data_addr_i[35]),
.y(_0901_)
);
sky130_fd_sc_hd__inv_2 _1395_ (
.a(master_data_addr_i[34]),
.y(_0902_)
);
sky130_fd_sc_hd__and3_2 _1396_ (
.a(master_data_req_i[2]),
.b(_0901_),
.c(_0902_),
.x(_0903_)
);
sky130_fd_sc_hd__buf_1 _1397_ (
.a(_0903_),
.x(\arbiter_request[2] )
);
sky130_fd_sc_hd__inv_2 _1398_ (
.a(master_data_addr_i[47]),
.y(_0904_)
);
sky130_fd_sc_hd__inv_2 _1399_ (
.a(master_data_addr_i[46]),
.y(_0905_)
);
sky130_fd_sc_hd__and3_2 _1400_ (
.a(master_data_req_i[3]),
.b(_0904_),
.c(_0905_),
.x(_0906_)
);
sky130_fd_sc_hd__buf_1 _1401_ (
.a(_0906_),
.x(\arbiter_request[3] )
);
sky130_fd_sc_hd__and3_2 _1402_ (
.a(master_data_req_i[0]),
.b(_0895_),
.c(master_data_addr_i[10]),
.x(_0907_)
);
sky130_fd_sc_hd__buf_1 _1403_ (
.a(_0907_),
.x(\arbiter_request[4] )
);
sky130_fd_sc_hd__and3_2 _1404_ (
.a(master_data_req_i[1]),
.b(_0898_),
.c(master_data_addr_i[22]),
.x(_0908_)
);
sky130_fd_sc_hd__buf_1 _1405_ (
.a(_0908_),
.x(\arbiter_request[5] )
);
sky130_fd_sc_hd__and3_2 _1406_ (
.a(master_data_req_i[2]),
.b(_0901_),
.c(master_data_addr_i[34]),
.x(_0909_)
);
sky130_fd_sc_hd__buf_1 _1407_ (
.a(_0909_),
.x(\arbiter_request[6] )
);
sky130_fd_sc_hd__and3_2 _1408_ (
.a(master_data_req_i[3]),
.b(_0904_),
.c(master_data_addr_i[46]),
.x(_0910_)
);
sky130_fd_sc_hd__buf_1 _1409_ (
.a(_0910_),
.x(\arbiter_request[7] )
);
sky130_fd_sc_hd__and3_2 _1410_ (
.a(master_data_req_i[0]),
.b(master_data_addr_i[11]),
.c(_0896_),
.x(_0911_)
);
sky130_fd_sc_hd__buf_1 _1411_ (
.a(_0911_),
.x(\arbiter_request[8] )
);
sky130_fd_sc_hd__and3_2 _1412_ (
.a(master_data_req_i[1]),
.b(master_data_addr_i[23]),
.c(_0899_),
.x(_0912_)
);
sky130_fd_sc_hd__buf_1 _1413_ (
.a(_0912_),
.x(\arbiter_request[9] )
);
sky130_fd_sc_hd__and3_2 _1414_ (
.a(master_data_req_i[2]),
.b(master_data_addr_i[35]),
.c(_0902_),
.x(_0913_)
);
sky130_fd_sc_hd__buf_1 _1415_ (
.a(_0913_),
.x(\arbiter_request[10] )
);
sky130_fd_sc_hd__and3_2 _1416_ (
.a(master_data_req_i[3]),
.b(master_data_addr_i[47]),
.c(_0905_),
.x(_0914_)
);
sky130_fd_sc_hd__buf_1 _1417_ (
.a(_0914_),
.x(\arbiter_request[11] )
);
sky130_fd_sc_hd__mux2_1 _1418_ (
.a0(_0666_),
.a1(slave_data_rdata_i[32]),
.s(\arbiter_grant[4] ),
.x(_0667_)
);
sky130_fd_sc_hd__mux2_1 _1419_ (
.a0(_0667_),
.a1(slave_data_rdata_i[64]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[0])
);
sky130_fd_sc_hd__mux2_1 _1420_ (
.a0(_0668_),
.a1(slave_data_rdata_i[33]),
.s(\arbiter_grant[4] ),
.x(_0669_)
);
sky130_fd_sc_hd__mux2_1 _1421_ (
.a0(_0669_),
.a1(slave_data_rdata_i[65]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[1])
);
sky130_fd_sc_hd__mux2_1 _1422_ (
.a0(_0670_),
.a1(slave_data_rdata_i[34]),
.s(\arbiter_grant[4] ),
.x(_0671_)
);
sky130_fd_sc_hd__mux2_1 _1423_ (
.a0(_0671_),
.a1(slave_data_rdata_i[66]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[2])
);
sky130_fd_sc_hd__mux2_1 _1424_ (
.a0(_0672_),
.a1(slave_data_rdata_i[35]),
.s(\arbiter_grant[4] ),
.x(_0673_)
);
sky130_fd_sc_hd__mux2_1 _1425_ (
.a0(_0673_),
.a1(slave_data_rdata_i[67]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[3])
);
sky130_fd_sc_hd__mux2_1 _1426_ (
.a0(_0674_),
.a1(slave_data_rdata_i[36]),
.s(\arbiter_grant[4] ),
.x(_0675_)
);
sky130_fd_sc_hd__mux2_1 _1427_ (
.a0(_0675_),
.a1(slave_data_rdata_i[68]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[4])
);
sky130_fd_sc_hd__mux2_1 _1428_ (
.a0(_0676_),
.a1(slave_data_rdata_i[37]),
.s(\arbiter_grant[4] ),
.x(_0677_)
);
sky130_fd_sc_hd__mux2_1 _1429_ (
.a0(_0677_),
.a1(slave_data_rdata_i[69]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[5])
);
sky130_fd_sc_hd__mux2_1 _1430_ (
.a0(_0678_),
.a1(slave_data_rdata_i[38]),
.s(\arbiter_grant[4] ),
.x(_0679_)
);
sky130_fd_sc_hd__mux2_1 _1431_ (
.a0(_0679_),
.a1(slave_data_rdata_i[70]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[6])
);
sky130_fd_sc_hd__mux2_1 _1432_ (
.a0(_0680_),
.a1(slave_data_rdata_i[39]),
.s(\arbiter_grant[4] ),
.x(_0681_)
);
sky130_fd_sc_hd__mux2_1 _1433_ (
.a0(_0681_),
.a1(slave_data_rdata_i[71]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[7])
);
sky130_fd_sc_hd__mux2_1 _1434_ (
.a0(_0682_),
.a1(slave_data_rdata_i[40]),
.s(\arbiter_grant[4] ),
.x(_0683_)
);
sky130_fd_sc_hd__mux2_1 _1435_ (
.a0(_0683_),
.a1(slave_data_rdata_i[72]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[8])
);
sky130_fd_sc_hd__mux2_1 _1436_ (
.a0(_0684_),
.a1(slave_data_rdata_i[41]),
.s(\arbiter_grant[4] ),
.x(_0685_)
);
sky130_fd_sc_hd__mux2_1 _1437_ (
.a0(_0685_),
.a1(slave_data_rdata_i[73]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[9])
);
sky130_fd_sc_hd__mux2_1 _1438_ (
.a0(_0686_),
.a1(slave_data_rdata_i[42]),
.s(\arbiter_grant[4] ),
.x(_0687_)
);
sky130_fd_sc_hd__mux2_1 _1439_ (
.a0(_0687_),
.a1(slave_data_rdata_i[74]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[10])
);
sky130_fd_sc_hd__mux2_1 _1440_ (
.a0(_0688_),
.a1(slave_data_rdata_i[43]),
.s(\arbiter_grant[4] ),
.x(_0689_)
);
sky130_fd_sc_hd__mux2_1 _1441_ (
.a0(_0689_),
.a1(slave_data_rdata_i[75]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[11])
);
sky130_fd_sc_hd__mux2_1 _1442_ (
.a0(_0690_),
.a1(slave_data_rdata_i[44]),
.s(\arbiter_grant[4] ),
.x(_0691_)
);
sky130_fd_sc_hd__mux2_1 _1443_ (
.a0(_0691_),
.a1(slave_data_rdata_i[76]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[12])
);
sky130_fd_sc_hd__mux2_1 _1444_ (
.a0(_0692_),
.a1(slave_data_rdata_i[45]),
.s(\arbiter_grant[4] ),
.x(_0693_)
);
sky130_fd_sc_hd__mux2_1 _1445_ (
.a0(_0693_),
.a1(slave_data_rdata_i[77]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[13])
);
sky130_fd_sc_hd__mux2_1 _1446_ (
.a0(_0694_),
.a1(slave_data_rdata_i[46]),
.s(\arbiter_grant[4] ),
.x(_0695_)
);
sky130_fd_sc_hd__mux2_1 _1447_ (
.a0(_0695_),
.a1(slave_data_rdata_i[78]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[14])
);
sky130_fd_sc_hd__mux2_1 _1448_ (
.a0(_0696_),
.a1(slave_data_rdata_i[47]),
.s(\arbiter_grant[4] ),
.x(_0697_)
);
sky130_fd_sc_hd__mux2_1 _1449_ (
.a0(_0697_),
.a1(slave_data_rdata_i[79]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[15])
);
sky130_fd_sc_hd__mux2_1 _1450_ (
.a0(_0698_),
.a1(slave_data_rdata_i[48]),
.s(\arbiter_grant[4] ),
.x(_0699_)
);
sky130_fd_sc_hd__mux2_1 _1451_ (
.a0(_0699_),
.a1(slave_data_rdata_i[80]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[16])
);
sky130_fd_sc_hd__mux2_1 _1452_ (
.a0(_0700_),
.a1(slave_data_rdata_i[49]),
.s(\arbiter_grant[4] ),
.x(_0701_)
);
sky130_fd_sc_hd__mux2_1 _1453_ (
.a0(_0701_),
.a1(slave_data_rdata_i[81]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[17])
);
sky130_fd_sc_hd__mux2_1 _1454_ (
.a0(_0702_),
.a1(slave_data_rdata_i[50]),
.s(\arbiter_grant[4] ),
.x(_0703_)
);
sky130_fd_sc_hd__mux2_1 _1455_ (
.a0(_0703_),
.a1(slave_data_rdata_i[82]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[18])
);
sky130_fd_sc_hd__mux2_1 _1456_ (
.a0(_0704_),
.a1(slave_data_rdata_i[51]),
.s(\arbiter_grant[4] ),
.x(_0705_)
);
sky130_fd_sc_hd__mux2_1 _1457_ (
.a0(_0705_),
.a1(slave_data_rdata_i[83]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[19])
);
sky130_fd_sc_hd__mux2_1 _1458_ (
.a0(_0706_),
.a1(slave_data_rdata_i[52]),
.s(\arbiter_grant[4] ),
.x(_0707_)
);
sky130_fd_sc_hd__mux2_1 _1459_ (
.a0(_0707_),
.a1(slave_data_rdata_i[84]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[20])
);
sky130_fd_sc_hd__mux2_1 _1460_ (
.a0(_0708_),
.a1(slave_data_rdata_i[53]),
.s(\arbiter_grant[4] ),
.x(_0709_)
);
sky130_fd_sc_hd__mux2_1 _1461_ (
.a0(_0709_),
.a1(slave_data_rdata_i[85]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[21])
);
sky130_fd_sc_hd__mux2_1 _1462_ (
.a0(_0710_),
.a1(slave_data_rdata_i[54]),
.s(\arbiter_grant[4] ),
.x(_0711_)
);
sky130_fd_sc_hd__mux2_1 _1463_ (
.a0(_0711_),
.a1(slave_data_rdata_i[86]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[22])
);
sky130_fd_sc_hd__mux2_1 _1464_ (
.a0(_0000_),
.a1(slave_data_rdata_i[55]),
.s(\arbiter_grant[4] ),
.x(_0001_)
);
sky130_fd_sc_hd__mux2_1 _1465_ (
.a0(_0001_),
.a1(slave_data_rdata_i[87]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[23])
);
sky130_fd_sc_hd__mux2_1 _1466_ (
.a0(_0002_),
.a1(slave_data_rdata_i[56]),
.s(\arbiter_grant[4] ),
.x(_0003_)
);
sky130_fd_sc_hd__mux2_1 _1467_ (
.a0(_0003_),
.a1(slave_data_rdata_i[88]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[24])
);
sky130_fd_sc_hd__mux2_1 _1468_ (
.a0(_0004_),
.a1(slave_data_rdata_i[57]),
.s(\arbiter_grant[4] ),
.x(_0005_)
);
sky130_fd_sc_hd__mux2_1 _1469_ (
.a0(_0005_),
.a1(slave_data_rdata_i[89]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[25])
);
sky130_fd_sc_hd__mux2_1 _1470_ (
.a0(_0006_),
.a1(slave_data_rdata_i[58]),
.s(\arbiter_grant[4] ),
.x(_0007_)
);
sky130_fd_sc_hd__mux2_1 _1471_ (
.a0(_0007_),
.a1(slave_data_rdata_i[90]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[26])
);
sky130_fd_sc_hd__mux2_1 _1472_ (
.a0(_0008_),
.a1(slave_data_rdata_i[59]),
.s(\arbiter_grant[4] ),
.x(_0009_)
);
sky130_fd_sc_hd__mux2_1 _1473_ (
.a0(_0009_),
.a1(slave_data_rdata_i[91]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[27])
);
sky130_fd_sc_hd__mux2_1 _1474_ (
.a0(_0010_),
.a1(slave_data_rdata_i[60]),
.s(\arbiter_grant[4] ),
.x(_0011_)
);
sky130_fd_sc_hd__mux2_1 _1475_ (
.a0(_0011_),
.a1(slave_data_rdata_i[92]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[28])
);
sky130_fd_sc_hd__mux2_1 _1476_ (
.a0(_0012_),
.a1(slave_data_rdata_i[61]),
.s(\arbiter_grant[4] ),
.x(_0013_)
);
sky130_fd_sc_hd__mux2_1 _1477_ (
.a0(_0013_),
.a1(slave_data_rdata_i[93]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[29])
);
sky130_fd_sc_hd__mux2_1 _1478_ (
.a0(_0014_),
.a1(slave_data_rdata_i[62]),
.s(\arbiter_grant[4] ),
.x(_0015_)
);
sky130_fd_sc_hd__mux2_1 _1479_ (
.a0(_0015_),
.a1(slave_data_rdata_i[94]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[30])
);
sky130_fd_sc_hd__mux2_1 _1480_ (
.a0(_0016_),
.a1(slave_data_rdata_i[63]),
.s(\arbiter_grant[4] ),
.x(_0017_)
);
sky130_fd_sc_hd__mux2_1 _1481_ (
.a0(_0017_),
.a1(slave_data_rdata_i[95]),
.s(\arbiter_grant[8] ),
.x(master_data_rdata_o[31])
);
sky130_fd_sc_hd__mux2_1 _1482_ (
.a0(_0596_),
.a1(slave_data_rdata_i[32]),
.s(\arbiter_grant[5] ),
.x(_0597_)
);
sky130_fd_sc_hd__mux2_1 _1483_ (
.a0(_0597_),
.a1(slave_data_rdata_i[64]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[32])
);
sky130_fd_sc_hd__mux2_1 _1484_ (
.a0(_0598_),
.a1(slave_data_rdata_i[33]),
.s(\arbiter_grant[5] ),
.x(_0599_)
);
sky130_fd_sc_hd__mux2_1 _1485_ (
.a0(_0599_),
.a1(slave_data_rdata_i[65]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[33])
);
sky130_fd_sc_hd__mux2_1 _1486_ (
.a0(_0600_),
.a1(slave_data_rdata_i[34]),
.s(\arbiter_grant[5] ),
.x(_0601_)
);
sky130_fd_sc_hd__mux2_1 _1487_ (
.a0(_0601_),
.a1(slave_data_rdata_i[66]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[34])
);
sky130_fd_sc_hd__mux2_1 _1488_ (
.a0(_0602_),
.a1(slave_data_rdata_i[35]),
.s(\arbiter_grant[5] ),
.x(_0603_)
);
sky130_fd_sc_hd__mux2_1 _1489_ (
.a0(_0603_),
.a1(slave_data_rdata_i[67]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[35])
);
sky130_fd_sc_hd__mux2_1 _1490_ (
.a0(_0604_),
.a1(slave_data_rdata_i[36]),
.s(\arbiter_grant[5] ),
.x(_0605_)
);
sky130_fd_sc_hd__mux2_1 _1491_ (
.a0(_0605_),
.a1(slave_data_rdata_i[68]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[36])
);
sky130_fd_sc_hd__mux2_1 _1492_ (
.a0(_0606_),
.a1(slave_data_rdata_i[37]),
.s(\arbiter_grant[5] ),
.x(_0607_)
);
sky130_fd_sc_hd__mux2_1 _1493_ (
.a0(_0607_),
.a1(slave_data_rdata_i[69]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[37])
);
sky130_fd_sc_hd__mux2_1 _1494_ (
.a0(_0608_),
.a1(slave_data_rdata_i[38]),
.s(\arbiter_grant[5] ),
.x(_0609_)
);
sky130_fd_sc_hd__mux2_1 _1495_ (
.a0(_0609_),
.a1(slave_data_rdata_i[70]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[38])
);
sky130_fd_sc_hd__mux2_1 _1496_ (
.a0(_0610_),
.a1(slave_data_rdata_i[39]),
.s(\arbiter_grant[5] ),
.x(_0611_)
);
sky130_fd_sc_hd__mux2_1 _1497_ (
.a0(_0611_),
.a1(slave_data_rdata_i[71]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[39])
);
sky130_fd_sc_hd__mux2_1 _1498_ (
.a0(_0612_),
.a1(slave_data_rdata_i[40]),
.s(\arbiter_grant[5] ),
.x(_0613_)
);
sky130_fd_sc_hd__mux2_1 _1499_ (
.a0(_0613_),
.a1(slave_data_rdata_i[72]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[40])
);
sky130_fd_sc_hd__mux2_1 _1500_ (
.a0(_0614_),
.a1(slave_data_rdata_i[41]),
.s(\arbiter_grant[5] ),
.x(_0615_)
);
sky130_fd_sc_hd__mux2_1 _1501_ (
.a0(_0615_),
.a1(slave_data_rdata_i[73]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[41])
);
sky130_fd_sc_hd__mux2_1 _1502_ (
.a0(_0616_),
.a1(slave_data_rdata_i[42]),
.s(\arbiter_grant[5] ),
.x(_0617_)
);
sky130_fd_sc_hd__mux2_1 _1503_ (
.a0(_0617_),
.a1(slave_data_rdata_i[74]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[42])
);
sky130_fd_sc_hd__mux2_1 _1504_ (
.a0(_0618_),
.a1(slave_data_rdata_i[43]),
.s(\arbiter_grant[5] ),
.x(_0619_)
);
sky130_fd_sc_hd__mux2_1 _1505_ (
.a0(_0619_),
.a1(slave_data_rdata_i[75]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[43])
);
sky130_fd_sc_hd__mux2_1 _1506_ (
.a0(_0620_),
.a1(slave_data_rdata_i[44]),
.s(\arbiter_grant[5] ),
.x(_0621_)
);
sky130_fd_sc_hd__mux2_1 _1507_ (
.a0(_0621_),
.a1(slave_data_rdata_i[76]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[44])
);
sky130_fd_sc_hd__mux2_1 _1508_ (
.a0(_0622_),
.a1(slave_data_rdata_i[45]),
.s(\arbiter_grant[5] ),
.x(_0623_)
);
sky130_fd_sc_hd__mux2_1 _1509_ (
.a0(_0623_),
.a1(slave_data_rdata_i[77]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[45])
);
sky130_fd_sc_hd__mux2_1 _1510_ (
.a0(_0624_),
.a1(slave_data_rdata_i[46]),
.s(\arbiter_grant[5] ),
.x(_0625_)
);
sky130_fd_sc_hd__mux2_1 _1511_ (
.a0(_0625_),
.a1(slave_data_rdata_i[78]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[46])
);
sky130_fd_sc_hd__mux2_1 _1512_ (
.a0(_0626_),
.a1(slave_data_rdata_i[47]),
.s(\arbiter_grant[5] ),
.x(_0627_)
);
sky130_fd_sc_hd__mux2_1 _1513_ (
.a0(_0627_),
.a1(slave_data_rdata_i[79]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[47])
);
sky130_fd_sc_hd__mux2_1 _1514_ (
.a0(_0628_),
.a1(slave_data_rdata_i[48]),
.s(\arbiter_grant[5] ),
.x(_0629_)
);
sky130_fd_sc_hd__mux2_1 _1515_ (
.a0(_0629_),
.a1(slave_data_rdata_i[80]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[48])
);
sky130_fd_sc_hd__mux2_1 _1516_ (
.a0(_0630_),
.a1(slave_data_rdata_i[49]),
.s(\arbiter_grant[5] ),
.x(_0631_)
);
sky130_fd_sc_hd__mux2_1 _1517_ (
.a0(_0631_),
.a1(slave_data_rdata_i[81]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[49])
);
sky130_fd_sc_hd__mux2_1 _1518_ (
.a0(_0632_),
.a1(slave_data_rdata_i[50]),
.s(\arbiter_grant[5] ),
.x(_0633_)
);
sky130_fd_sc_hd__mux2_1 _1519_ (
.a0(_0633_),
.a1(slave_data_rdata_i[82]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[50])
);
sky130_fd_sc_hd__mux2_1 _1520_ (
.a0(_0634_),
.a1(slave_data_rdata_i[51]),
.s(\arbiter_grant[5] ),
.x(_0635_)
);
sky130_fd_sc_hd__mux2_1 _1521_ (
.a0(_0635_),
.a1(slave_data_rdata_i[83]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[51])
);
sky130_fd_sc_hd__mux2_1 _1522_ (
.a0(_0636_),
.a1(slave_data_rdata_i[52]),
.s(\arbiter_grant[5] ),
.x(_0637_)
);
sky130_fd_sc_hd__mux2_1 _1523_ (
.a0(_0637_),
.a1(slave_data_rdata_i[84]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[52])
);
sky130_fd_sc_hd__mux2_1 _1524_ (
.a0(_0638_),
.a1(slave_data_rdata_i[53]),
.s(\arbiter_grant[5] ),
.x(_0639_)
);
sky130_fd_sc_hd__mux2_1 _1525_ (
.a0(_0639_),
.a1(slave_data_rdata_i[85]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[53])
);
sky130_fd_sc_hd__mux2_1 _1526_ (
.a0(_0640_),
.a1(slave_data_rdata_i[54]),
.s(\arbiter_grant[5] ),
.x(_0641_)
);
sky130_fd_sc_hd__mux2_1 _1527_ (
.a0(_0641_),
.a1(slave_data_rdata_i[86]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[54])
);
sky130_fd_sc_hd__mux2_1 _1528_ (
.a0(_0642_),
.a1(slave_data_rdata_i[55]),
.s(\arbiter_grant[5] ),
.x(_0643_)
);
sky130_fd_sc_hd__mux2_1 _1529_ (
.a0(_0643_),
.a1(slave_data_rdata_i[87]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[55])
);
sky130_fd_sc_hd__mux2_1 _1530_ (
.a0(_0644_),
.a1(slave_data_rdata_i[56]),
.s(\arbiter_grant[5] ),
.x(_0645_)
);
sky130_fd_sc_hd__mux2_1 _1531_ (
.a0(_0645_),
.a1(slave_data_rdata_i[88]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[56])
);
sky130_fd_sc_hd__mux2_1 _1532_ (
.a0(_0646_),
.a1(slave_data_rdata_i[57]),
.s(\arbiter_grant[5] ),
.x(_0647_)
);
sky130_fd_sc_hd__mux2_1 _1533_ (
.a0(_0647_),
.a1(slave_data_rdata_i[89]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[57])
);
sky130_fd_sc_hd__mux2_1 _1534_ (
.a0(_0648_),
.a1(slave_data_rdata_i[58]),
.s(\arbiter_grant[5] ),
.x(_0649_)
);
sky130_fd_sc_hd__mux2_1 _1535_ (
.a0(_0649_),
.a1(slave_data_rdata_i[90]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[58])
);
sky130_fd_sc_hd__mux2_1 _1536_ (
.a0(_0650_),
.a1(slave_data_rdata_i[59]),
.s(\arbiter_grant[5] ),
.x(_0651_)
);
sky130_fd_sc_hd__mux2_1 _1537_ (
.a0(_0651_),
.a1(slave_data_rdata_i[91]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[59])
);
sky130_fd_sc_hd__mux2_1 _1538_ (
.a0(_0652_),
.a1(slave_data_rdata_i[60]),
.s(\arbiter_grant[5] ),
.x(_0653_)
);
sky130_fd_sc_hd__mux2_1 _1539_ (
.a0(_0653_),
.a1(slave_data_rdata_i[92]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[60])
);
sky130_fd_sc_hd__mux2_1 _1540_ (
.a0(_0654_),
.a1(slave_data_rdata_i[61]),
.s(\arbiter_grant[5] ),
.x(_0655_)
);
sky130_fd_sc_hd__mux2_1 _1541_ (
.a0(_0655_),
.a1(slave_data_rdata_i[93]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[61])
);
sky130_fd_sc_hd__mux2_1 _1542_ (
.a0(_0656_),
.a1(slave_data_rdata_i[62]),
.s(\arbiter_grant[5] ),
.x(_0657_)
);
sky130_fd_sc_hd__mux2_1 _1543_ (
.a0(_0657_),
.a1(slave_data_rdata_i[94]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[62])
);
sky130_fd_sc_hd__mux2_1 _1544_ (
.a0(_0658_),
.a1(slave_data_rdata_i[63]),
.s(\arbiter_grant[5] ),
.x(_0659_)
);
sky130_fd_sc_hd__mux2_1 _1545_ (
.a0(_0659_),
.a1(slave_data_rdata_i[95]),
.s(\arbiter_grant[9] ),
.x(master_data_rdata_o[63])
);
sky130_fd_sc_hd__mux2_1 _1546_ (
.a0(_0526_),
.a1(slave_data_rdata_i[32]),
.s(\arbiter_grant[6] ),
.x(_0527_)
);
sky130_fd_sc_hd__mux2_1 _1547_ (
.a0(_0527_),
.a1(slave_data_rdata_i[64]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[64])
);
sky130_fd_sc_hd__mux2_1 _1548_ (
.a0(_0528_),
.a1(slave_data_rdata_i[33]),
.s(\arbiter_grant[6] ),
.x(_0529_)
);
sky130_fd_sc_hd__mux2_1 _1549_ (
.a0(_0529_),
.a1(slave_data_rdata_i[65]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[65])
);
sky130_fd_sc_hd__mux2_1 _1550_ (
.a0(_0530_),
.a1(slave_data_rdata_i[34]),
.s(\arbiter_grant[6] ),
.x(_0531_)
);
sky130_fd_sc_hd__mux2_1 _1551_ (
.a0(_0531_),
.a1(slave_data_rdata_i[66]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[66])
);
sky130_fd_sc_hd__mux2_1 _1552_ (
.a0(_0532_),
.a1(slave_data_rdata_i[35]),
.s(\arbiter_grant[6] ),
.x(_0533_)
);
sky130_fd_sc_hd__mux2_1 _1553_ (
.a0(_0533_),
.a1(slave_data_rdata_i[67]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[67])
);
sky130_fd_sc_hd__mux2_1 _1554_ (
.a0(_0534_),
.a1(slave_data_rdata_i[36]),
.s(\arbiter_grant[6] ),
.x(_0535_)
);
sky130_fd_sc_hd__mux2_1 _1555_ (
.a0(_0535_),
.a1(slave_data_rdata_i[68]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[68])
);
sky130_fd_sc_hd__mux2_1 _1556_ (
.a0(_0536_),
.a1(slave_data_rdata_i[37]),
.s(\arbiter_grant[6] ),
.x(_0537_)
);
sky130_fd_sc_hd__mux2_1 _1557_ (
.a0(_0537_),
.a1(slave_data_rdata_i[69]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[69])
);
sky130_fd_sc_hd__mux2_1 _1558_ (
.a0(_0538_),
.a1(slave_data_rdata_i[38]),
.s(\arbiter_grant[6] ),
.x(_0539_)
);
sky130_fd_sc_hd__mux2_1 _1559_ (
.a0(_0539_),
.a1(slave_data_rdata_i[70]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[70])
);
sky130_fd_sc_hd__mux2_1 _1560_ (
.a0(_0540_),
.a1(slave_data_rdata_i[39]),
.s(\arbiter_grant[6] ),
.x(_0541_)
);
sky130_fd_sc_hd__mux2_1 _1561_ (
.a0(_0541_),
.a1(slave_data_rdata_i[71]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[71])
);
sky130_fd_sc_hd__mux2_1 _1562_ (
.a0(_0542_),
.a1(slave_data_rdata_i[40]),
.s(\arbiter_grant[6] ),
.x(_0543_)
);
sky130_fd_sc_hd__mux2_1 _1563_ (
.a0(_0543_),
.a1(slave_data_rdata_i[72]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[72])
);
sky130_fd_sc_hd__mux2_1 _1564_ (
.a0(_0544_),
.a1(slave_data_rdata_i[41]),
.s(\arbiter_grant[6] ),
.x(_0545_)
);
sky130_fd_sc_hd__mux2_1 _1565_ (
.a0(_0545_),
.a1(slave_data_rdata_i[73]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[73])
);
sky130_fd_sc_hd__mux2_1 _1566_ (
.a0(_0546_),
.a1(slave_data_rdata_i[42]),
.s(\arbiter_grant[6] ),
.x(_0547_)
);
sky130_fd_sc_hd__mux2_1 _1567_ (
.a0(_0547_),
.a1(slave_data_rdata_i[74]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[74])
);
sky130_fd_sc_hd__mux2_1 _1568_ (
.a0(_0548_),
.a1(slave_data_rdata_i[43]),
.s(\arbiter_grant[6] ),
.x(_0549_)
);
sky130_fd_sc_hd__mux2_1 _1569_ (
.a0(_0549_),
.a1(slave_data_rdata_i[75]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[75])
);
sky130_fd_sc_hd__mux2_1 _1570_ (
.a0(_0550_),
.a1(slave_data_rdata_i[44]),
.s(\arbiter_grant[6] ),
.x(_0551_)
);
sky130_fd_sc_hd__mux2_1 _1571_ (
.a0(_0551_),
.a1(slave_data_rdata_i[76]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[76])
);
sky130_fd_sc_hd__mux2_1 _1572_ (
.a0(_0552_),
.a1(slave_data_rdata_i[45]),
.s(\arbiter_grant[6] ),
.x(_0553_)
);
sky130_fd_sc_hd__mux2_1 _1573_ (
.a0(_0553_),
.a1(slave_data_rdata_i[77]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[77])
);
sky130_fd_sc_hd__mux2_1 _1574_ (
.a0(_0554_),
.a1(slave_data_rdata_i[46]),
.s(\arbiter_grant[6] ),
.x(_0555_)
);
sky130_fd_sc_hd__mux2_1 _1575_ (
.a0(_0555_),
.a1(slave_data_rdata_i[78]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[78])
);
sky130_fd_sc_hd__mux2_1 _1576_ (
.a0(_0556_),
.a1(slave_data_rdata_i[47]),
.s(\arbiter_grant[6] ),
.x(_0557_)
);
sky130_fd_sc_hd__mux2_1 _1577_ (
.a0(_0557_),
.a1(slave_data_rdata_i[79]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[79])
);
sky130_fd_sc_hd__mux2_1 _1578_ (
.a0(_0558_),
.a1(slave_data_rdata_i[48]),
.s(\arbiter_grant[6] ),
.x(_0559_)
);
sky130_fd_sc_hd__mux2_1 _1579_ (
.a0(_0559_),
.a1(slave_data_rdata_i[80]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[80])
);
sky130_fd_sc_hd__mux2_1 _1580_ (
.a0(_0560_),
.a1(slave_data_rdata_i[49]),
.s(\arbiter_grant[6] ),
.x(_0561_)
);
sky130_fd_sc_hd__mux2_1 _1581_ (
.a0(_0561_),
.a1(slave_data_rdata_i[81]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[81])
);
sky130_fd_sc_hd__mux2_1 _1582_ (
.a0(_0562_),
.a1(slave_data_rdata_i[50]),
.s(\arbiter_grant[6] ),
.x(_0563_)
);
sky130_fd_sc_hd__mux2_1 _1583_ (
.a0(_0563_),
.a1(slave_data_rdata_i[82]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[82])
);
sky130_fd_sc_hd__mux2_1 _1584_ (
.a0(_0564_),
.a1(slave_data_rdata_i[51]),
.s(\arbiter_grant[6] ),
.x(_0565_)
);
sky130_fd_sc_hd__mux2_1 _1585_ (
.a0(_0565_),
.a1(slave_data_rdata_i[83]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[83])
);
sky130_fd_sc_hd__mux2_1 _1586_ (
.a0(_0566_),
.a1(slave_data_rdata_i[52]),
.s(\arbiter_grant[6] ),
.x(_0567_)
);
sky130_fd_sc_hd__mux2_1 _1587_ (
.a0(_0567_),
.a1(slave_data_rdata_i[84]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[84])
);
sky130_fd_sc_hd__mux2_1 _1588_ (
.a0(_0568_),
.a1(slave_data_rdata_i[53]),
.s(\arbiter_grant[6] ),
.x(_0569_)
);
sky130_fd_sc_hd__mux2_1 _1589_ (
.a0(_0569_),
.a1(slave_data_rdata_i[85]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[85])
);
sky130_fd_sc_hd__mux2_1 _1590_ (
.a0(_0570_),
.a1(slave_data_rdata_i[54]),
.s(\arbiter_grant[6] ),
.x(_0571_)
);
sky130_fd_sc_hd__mux2_1 _1591_ (
.a0(_0571_),
.a1(slave_data_rdata_i[86]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[86])
);
sky130_fd_sc_hd__mux2_1 _1592_ (
.a0(_0572_),
.a1(slave_data_rdata_i[55]),
.s(\arbiter_grant[6] ),
.x(_0573_)
);
sky130_fd_sc_hd__mux2_1 _1593_ (
.a0(_0573_),
.a1(slave_data_rdata_i[87]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[87])
);
sky130_fd_sc_hd__mux2_1 _1594_ (
.a0(_0574_),
.a1(slave_data_rdata_i[56]),
.s(\arbiter_grant[6] ),
.x(_0575_)
);
sky130_fd_sc_hd__mux2_1 _1595_ (
.a0(_0575_),
.a1(slave_data_rdata_i[88]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[88])
);
sky130_fd_sc_hd__mux2_1 _1596_ (
.a0(_0576_),
.a1(slave_data_rdata_i[57]),
.s(\arbiter_grant[6] ),
.x(_0577_)
);
sky130_fd_sc_hd__mux2_1 _1597_ (
.a0(_0577_),
.a1(slave_data_rdata_i[89]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[89])
);
sky130_fd_sc_hd__mux2_1 _1598_ (
.a0(_0578_),
.a1(slave_data_rdata_i[58]),
.s(\arbiter_grant[6] ),
.x(_0579_)
);
sky130_fd_sc_hd__mux2_1 _1599_ (
.a0(_0579_),
.a1(slave_data_rdata_i[90]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[90])
);
sky130_fd_sc_hd__mux2_1 _1600_ (
.a0(_0580_),
.a1(slave_data_rdata_i[59]),
.s(\arbiter_grant[6] ),
.x(_0581_)
);
sky130_fd_sc_hd__mux2_1 _1601_ (
.a0(_0581_),
.a1(slave_data_rdata_i[91]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[91])
);
sky130_fd_sc_hd__mux2_1 _1602_ (
.a0(_0582_),
.a1(slave_data_rdata_i[60]),
.s(\arbiter_grant[6] ),
.x(_0583_)
);
sky130_fd_sc_hd__mux2_1 _1603_ (
.a0(_0583_),
.a1(slave_data_rdata_i[92]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[92])
);
sky130_fd_sc_hd__mux2_1 _1604_ (
.a0(_0584_),
.a1(slave_data_rdata_i[61]),
.s(\arbiter_grant[6] ),
.x(_0585_)
);
sky130_fd_sc_hd__mux2_1 _1605_ (
.a0(_0585_),
.a1(slave_data_rdata_i[93]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[93])
);
sky130_fd_sc_hd__mux2_1 _1606_ (
.a0(_0586_),
.a1(slave_data_rdata_i[62]),
.s(\arbiter_grant[6] ),
.x(_0587_)
);
sky130_fd_sc_hd__mux2_1 _1607_ (
.a0(_0587_),
.a1(slave_data_rdata_i[94]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[94])
);
sky130_fd_sc_hd__mux2_1 _1608_ (
.a0(_0588_),
.a1(slave_data_rdata_i[63]),
.s(\arbiter_grant[6] ),
.x(_0589_)
);
sky130_fd_sc_hd__mux2_1 _1609_ (
.a0(_0589_),
.a1(slave_data_rdata_i[95]),
.s(\arbiter_grant[10] ),
.x(master_data_rdata_o[95])
);
sky130_fd_sc_hd__mux2_1 _1610_ (
.a0(_0456_),
.a1(slave_data_rdata_i[32]),
.s(\arbiter_grant[7] ),
.x(_0457_)
);
sky130_fd_sc_hd__mux2_1 _1611_ (
.a0(_0457_),
.a1(slave_data_rdata_i[64]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[96])
);
sky130_fd_sc_hd__mux2_1 _1612_ (
.a0(_0458_),
.a1(slave_data_rdata_i[33]),
.s(\arbiter_grant[7] ),
.x(_0459_)
);
sky130_fd_sc_hd__mux2_1 _1613_ (
.a0(_0459_),
.a1(slave_data_rdata_i[65]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[97])
);
sky130_fd_sc_hd__mux2_1 _1614_ (
.a0(_0460_),
.a1(slave_data_rdata_i[34]),
.s(\arbiter_grant[7] ),
.x(_0461_)
);
sky130_fd_sc_hd__mux2_1 _1615_ (
.a0(_0461_),
.a1(slave_data_rdata_i[66]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[98])
);
sky130_fd_sc_hd__mux2_1 _1616_ (
.a0(_0462_),
.a1(slave_data_rdata_i[35]),
.s(\arbiter_grant[7] ),
.x(_0463_)
);
sky130_fd_sc_hd__mux2_1 _1617_ (
.a0(_0463_),
.a1(slave_data_rdata_i[67]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[99])
);
sky130_fd_sc_hd__mux2_1 _1618_ (
.a0(_0464_),
.a1(slave_data_rdata_i[36]),
.s(\arbiter_grant[7] ),
.x(_0465_)
);
sky130_fd_sc_hd__mux2_1 _1619_ (
.a0(_0465_),
.a1(slave_data_rdata_i[68]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[100])
);
sky130_fd_sc_hd__mux2_1 _1620_ (
.a0(_0466_),
.a1(slave_data_rdata_i[37]),
.s(\arbiter_grant[7] ),
.x(_0467_)
);
sky130_fd_sc_hd__mux2_1 _1621_ (
.a0(_0467_),
.a1(slave_data_rdata_i[69]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[101])
);
sky130_fd_sc_hd__mux2_1 _1622_ (
.a0(_0468_),
.a1(slave_data_rdata_i[38]),
.s(\arbiter_grant[7] ),
.x(_0469_)
);
sky130_fd_sc_hd__mux2_1 _1623_ (
.a0(_0469_),
.a1(slave_data_rdata_i[70]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[102])
);
sky130_fd_sc_hd__mux2_1 _1624_ (
.a0(_0470_),
.a1(slave_data_rdata_i[39]),
.s(\arbiter_grant[7] ),
.x(_0471_)
);
sky130_fd_sc_hd__mux2_1 _1625_ (
.a0(_0471_),
.a1(slave_data_rdata_i[71]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[103])
);
sky130_fd_sc_hd__mux2_1 _1626_ (
.a0(_0472_),
.a1(slave_data_rdata_i[40]),
.s(\arbiter_grant[7] ),
.x(_0473_)
);
sky130_fd_sc_hd__mux2_1 _1627_ (
.a0(_0473_),
.a1(slave_data_rdata_i[72]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[104])
);
sky130_fd_sc_hd__mux2_1 _1628_ (
.a0(_0474_),
.a1(slave_data_rdata_i[41]),
.s(\arbiter_grant[7] ),
.x(_0475_)
);
sky130_fd_sc_hd__mux2_1 _1629_ (
.a0(_0475_),
.a1(slave_data_rdata_i[73]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[105])
);
sky130_fd_sc_hd__mux2_1 _1630_ (
.a0(_0476_),
.a1(slave_data_rdata_i[42]),
.s(\arbiter_grant[7] ),
.x(_0477_)
);
sky130_fd_sc_hd__mux2_1 _1631_ (
.a0(_0477_),
.a1(slave_data_rdata_i[74]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[106])
);
sky130_fd_sc_hd__mux2_1 _1632_ (
.a0(_0478_),
.a1(slave_data_rdata_i[43]),
.s(\arbiter_grant[7] ),
.x(_0479_)
);
sky130_fd_sc_hd__mux2_1 _1633_ (
.a0(_0479_),
.a1(slave_data_rdata_i[75]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[107])
);
sky130_fd_sc_hd__mux2_1 _1634_ (
.a0(_0480_),
.a1(slave_data_rdata_i[44]),
.s(\arbiter_grant[7] ),
.x(_0481_)
);
sky130_fd_sc_hd__mux2_1 _1635_ (
.a0(_0481_),
.a1(slave_data_rdata_i[76]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[108])
);
sky130_fd_sc_hd__mux2_1 _1636_ (
.a0(_0482_),
.a1(slave_data_rdata_i[45]),
.s(\arbiter_grant[7] ),
.x(_0483_)
);
sky130_fd_sc_hd__mux2_1 _1637_ (
.a0(_0483_),
.a1(slave_data_rdata_i[77]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[109])
);
sky130_fd_sc_hd__mux2_1 _1638_ (
.a0(_0484_),
.a1(slave_data_rdata_i[46]),
.s(\arbiter_grant[7] ),
.x(_0485_)
);
sky130_fd_sc_hd__mux2_1 _1639_ (
.a0(_0485_),
.a1(slave_data_rdata_i[78]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[110])
);
sky130_fd_sc_hd__mux2_1 _1640_ (
.a0(_0486_),
.a1(slave_data_rdata_i[47]),
.s(\arbiter_grant[7] ),
.x(_0487_)
);
sky130_fd_sc_hd__mux2_1 _1641_ (
.a0(_0487_),
.a1(slave_data_rdata_i[79]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[111])
);
sky130_fd_sc_hd__mux2_1 _1642_ (
.a0(_0488_),
.a1(slave_data_rdata_i[48]),
.s(\arbiter_grant[7] ),
.x(_0489_)
);
sky130_fd_sc_hd__mux2_1 _1643_ (
.a0(_0489_),
.a1(slave_data_rdata_i[80]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[112])
);
sky130_fd_sc_hd__mux2_1 _1644_ (
.a0(_0490_),
.a1(slave_data_rdata_i[49]),
.s(\arbiter_grant[7] ),
.x(_0491_)
);
sky130_fd_sc_hd__mux2_1 _1645_ (
.a0(_0491_),
.a1(slave_data_rdata_i[81]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[113])
);
sky130_fd_sc_hd__mux2_1 _1646_ (
.a0(_0492_),
.a1(slave_data_rdata_i[50]),
.s(\arbiter_grant[7] ),
.x(_0493_)
);
sky130_fd_sc_hd__mux2_1 _1647_ (
.a0(_0493_),
.a1(slave_data_rdata_i[82]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[114])
);
sky130_fd_sc_hd__mux2_1 _1648_ (
.a0(_0494_),
.a1(slave_data_rdata_i[51]),
.s(\arbiter_grant[7] ),
.x(_0495_)
);
sky130_fd_sc_hd__mux2_1 _1649_ (
.a0(_0495_),
.a1(slave_data_rdata_i[83]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[115])
);
sky130_fd_sc_hd__mux2_1 _1650_ (
.a0(_0496_),
.a1(slave_data_rdata_i[52]),
.s(\arbiter_grant[7] ),
.x(_0497_)
);
sky130_fd_sc_hd__mux2_1 _1651_ (
.a0(_0497_),
.a1(slave_data_rdata_i[84]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[116])
);
sky130_fd_sc_hd__mux2_1 _1652_ (
.a0(_0498_),
.a1(slave_data_rdata_i[53]),
.s(\arbiter_grant[7] ),
.x(_0499_)
);
sky130_fd_sc_hd__mux2_1 _1653_ (
.a0(_0499_),
.a1(slave_data_rdata_i[85]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[117])
);
sky130_fd_sc_hd__mux2_1 _1654_ (
.a0(_0500_),
.a1(slave_data_rdata_i[54]),
.s(\arbiter_grant[7] ),
.x(_0501_)
);
sky130_fd_sc_hd__mux2_1 _1655_ (
.a0(_0501_),
.a1(slave_data_rdata_i[86]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[118])
);
sky130_fd_sc_hd__mux2_1 _1656_ (
.a0(_0502_),
.a1(slave_data_rdata_i[55]),
.s(\arbiter_grant[7] ),
.x(_0503_)
);
sky130_fd_sc_hd__mux2_1 _1657_ (
.a0(_0503_),
.a1(slave_data_rdata_i[87]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[119])
);
sky130_fd_sc_hd__mux2_1 _1658_ (
.a0(_0504_),
.a1(slave_data_rdata_i[56]),
.s(\arbiter_grant[7] ),
.x(_0505_)
);
sky130_fd_sc_hd__mux2_1 _1659_ (
.a0(_0505_),
.a1(slave_data_rdata_i[88]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[120])
);
sky130_fd_sc_hd__mux2_1 _1660_ (
.a0(_0506_),
.a1(slave_data_rdata_i[57]),
.s(\arbiter_grant[7] ),
.x(_0507_)
);
sky130_fd_sc_hd__mux2_1 _1661_ (
.a0(_0507_),
.a1(slave_data_rdata_i[89]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[121])
);
sky130_fd_sc_hd__mux2_1 _1662_ (
.a0(_0508_),
.a1(slave_data_rdata_i[58]),
.s(\arbiter_grant[7] ),
.x(_0509_)
);
sky130_fd_sc_hd__mux2_1 _1663_ (
.a0(_0509_),
.a1(slave_data_rdata_i[90]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[122])
);
sky130_fd_sc_hd__mux2_1 _1664_ (
.a0(_0510_),
.a1(slave_data_rdata_i[59]),
.s(\arbiter_grant[7] ),
.x(_0511_)
);
sky130_fd_sc_hd__mux2_1 _1665_ (
.a0(_0511_),
.a1(slave_data_rdata_i[91]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[123])
);
sky130_fd_sc_hd__mux2_1 _1666_ (
.a0(_0512_),
.a1(slave_data_rdata_i[60]),
.s(\arbiter_grant[7] ),
.x(_0513_)
);
sky130_fd_sc_hd__mux2_1 _1667_ (
.a0(_0513_),
.a1(slave_data_rdata_i[92]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[124])
);
sky130_fd_sc_hd__mux2_1 _1668_ (
.a0(_0514_),
.a1(slave_data_rdata_i[61]),
.s(\arbiter_grant[7] ),
.x(_0515_)
);
sky130_fd_sc_hd__mux2_1 _1669_ (
.a0(_0515_),
.a1(slave_data_rdata_i[93]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[125])
);
sky130_fd_sc_hd__mux2_1 _1670_ (
.a0(_0516_),
.a1(slave_data_rdata_i[62]),
.s(\arbiter_grant[7] ),
.x(_0517_)
);
sky130_fd_sc_hd__mux2_1 _1671_ (
.a0(_0517_),
.a1(slave_data_rdata_i[94]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[126])
);
sky130_fd_sc_hd__mux2_1 _1672_ (
.a0(_0518_),
.a1(slave_data_rdata_i[63]),
.s(\arbiter_grant[7] ),
.x(_0519_)
);
sky130_fd_sc_hd__mux2_1 _1673_ (
.a0(_0519_),
.a1(slave_data_rdata_i[95]),
.s(\arbiter_grant[11] ),
.x(master_data_rdata_o[127])
);
sky130_fd_sc_hd__mux2_1 _1674_ (
.a0(_0664_),
.a1(slave_data_rvalid_i[1]),
.s(\arbiter_grant[4] ),
.x(_0665_)
);
sky130_fd_sc_hd__mux2_1 _1675_ (
.a0(_0665_),
.a1(slave_data_rvalid_i[2]),
.s(\arbiter_grant[8] ),
.x(master_data_rvalid_o[0])
);
sky130_fd_sc_hd__mux2_1 _1676_ (
.a0(_0594_),
.a1(slave_data_rvalid_i[1]),
.s(\arbiter_grant[5] ),
.x(_0595_)
);
sky130_fd_sc_hd__mux2_1 _1677_ (
.a0(_0595_),
.a1(slave_data_rvalid_i[2]),
.s(\arbiter_grant[9] ),
.x(master_data_rvalid_o[1])
);
sky130_fd_sc_hd__mux2_1 _1678_ (
.a0(_0524_),
.a1(slave_data_rvalid_i[1]),
.s(\arbiter_grant[6] ),
.x(_0525_)
);
sky130_fd_sc_hd__mux2_1 _1679_ (
.a0(_0525_),
.a1(slave_data_rvalid_i[2]),
.s(\arbiter_grant[10] ),
.x(master_data_rvalid_o[2])
);
sky130_fd_sc_hd__mux2_1 _1680_ (
.a0(_0454_),
.a1(slave_data_rvalid_i[1]),
.s(\arbiter_grant[7] ),
.x(_0455_)
);
sky130_fd_sc_hd__mux2_1 _1681_ (
.a0(_0455_),
.a1(slave_data_rvalid_i[2]),
.s(\arbiter_grant[11] ),
.x(master_data_rvalid_o[3])
);
sky130_fd_sc_hd__mux2_1 _1682_ (
.a0(_0660_),
.a1(_0661_),
.s(\arbiter_grant[4] ),
.x(_0662_)
);
sky130_fd_sc_hd__mux2_1 _1683_ (
.a0(_0662_),
.a1(_0663_),
.s(\arbiter_grant[8] ),
.x(master_data_gnt_o[0])
);
sky130_fd_sc_hd__mux2_1 _1684_ (
.a0(_0590_),
.a1(_0591_),
.s(\arbiter_grant[5] ),
.x(_0592_)
);
sky130_fd_sc_hd__mux2_1 _1685_ (
.a0(_0592_),
.a1(_0593_),
.s(\arbiter_grant[9] ),
.x(master_data_gnt_o[1])
);
sky130_fd_sc_hd__mux2_1 _1686_ (
.a0(_0520_),
.a1(_0521_),
.s(\arbiter_grant[6] ),
.x(_0522_)
);
sky130_fd_sc_hd__mux2_1 _1687_ (
.a0(_0522_),
.a1(_0523_),
.s(\arbiter_grant[10] ),
.x(master_data_gnt_o[2])
);
sky130_fd_sc_hd__mux2_1 _1688_ (
.a0(_0450_),
.a1(_0451_),
.s(\arbiter_grant[7] ),
.x(_0452_)
);
sky130_fd_sc_hd__mux2_1 _1689_ (
.a0(_0452_),
.a1(_0453_),
.s(\arbiter_grant[11] ),
.x(master_data_gnt_o[3])
);
sky130_fd_sc_hd__mux2_1 _1690_ (
.a0(_0306_),
.a1(master_data_req_i[1]),
.s(\arbiter_grant[1] ),
.x(_0307_)
);
sky130_fd_sc_hd__mux2_1 _1691_ (
.a0(_0307_),
.a1(master_data_req_i[2]),
.s(\arbiter_grant[2] ),
.x(_0308_)
);
sky130_fd_sc_hd__mux2_1 _1692_ (
.a0(_0308_),
.a1(master_data_req_i[3]),
.s(\arbiter_grant[3] ),
.x(slave_data_req_o[0])
);
sky130_fd_sc_hd__mux2_1 _1693_ (
.a0(_0162_),
.a1(master_data_req_i[1]),
.s(\arbiter_grant[5] ),
.x(_0163_)
);
sky130_fd_sc_hd__mux2_1 _1694_ (
.a0(_0163_),
.a1(master_data_req_i[2]),
.s(\arbiter_grant[6] ),
.x(_0164_)
);
sky130_fd_sc_hd__mux2_1 _1695_ (
.a0(_0164_),
.a1(master_data_req_i[3]),
.s(\arbiter_grant[7] ),
.x(slave_data_req_o[1])
);
sky130_fd_sc_hd__mux2_1 _1696_ (
.a0(_0018_),
.a1(master_data_req_i[1]),
.s(\arbiter_grant[9] ),
.x(_0019_)
);
sky130_fd_sc_hd__mux2_1 _1697_ (
.a0(_0019_),
.a1(master_data_req_i[2]),
.s(\arbiter_grant[10] ),
.x(_0020_)
);
sky130_fd_sc_hd__mux2_1 _1698_ (
.a0(_0020_),
.a1(master_data_req_i[3]),
.s(\arbiter_grant[11] ),
.x(slave_data_req_o[2])
);
sky130_fd_sc_hd__mux2_1 _1699_ (
.a0(_0420_),
.a1(master_data_addr_i[12]),
.s(\arbiter_grant[1] ),
.x(_0421_)
);
sky130_fd_sc_hd__mux2_1 _1700_ (
.a0(_0421_),
.a1(master_data_addr_i[24]),
.s(\arbiter_grant[2] ),
.x(_0422_)
);
sky130_fd_sc_hd__mux2_1 _1701_ (
.a0(_0422_),
.a1(master_data_addr_i[36]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[0])
);
sky130_fd_sc_hd__mux2_1 _1702_ (
.a0(_0423_),
.a1(master_data_addr_i[13]),
.s(\arbiter_grant[1] ),
.x(_0424_)
);
sky130_fd_sc_hd__mux2_1 _1703_ (
.a0(_0424_),
.a1(master_data_addr_i[25]),
.s(\arbiter_grant[2] ),
.x(_0425_)
);
sky130_fd_sc_hd__mux2_1 _1704_ (
.a0(_0425_),
.a1(master_data_addr_i[37]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[1])
);
sky130_fd_sc_hd__mux2_1 _1705_ (
.a0(_0426_),
.a1(master_data_addr_i[14]),
.s(\arbiter_grant[1] ),
.x(_0427_)
);
sky130_fd_sc_hd__mux2_1 _1706_ (
.a0(_0427_),
.a1(master_data_addr_i[26]),
.s(\arbiter_grant[2] ),
.x(_0428_)
);
sky130_fd_sc_hd__mux2_1 _1707_ (
.a0(_0428_),
.a1(master_data_addr_i[38]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[2])
);
sky130_fd_sc_hd__mux2_1 _1708_ (
.a0(_0429_),
.a1(master_data_addr_i[15]),
.s(\arbiter_grant[1] ),
.x(_0430_)
);
sky130_fd_sc_hd__mux2_1 _1709_ (
.a0(_0430_),
.a1(master_data_addr_i[27]),
.s(\arbiter_grant[2] ),
.x(_0431_)
);
sky130_fd_sc_hd__mux2_1 _1710_ (
.a0(_0431_),
.a1(master_data_addr_i[39]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[3])
);
sky130_fd_sc_hd__mux2_1 _1711_ (
.a0(_0432_),
.a1(master_data_addr_i[16]),
.s(\arbiter_grant[1] ),
.x(_0433_)
);
sky130_fd_sc_hd__mux2_1 _1712_ (
.a0(_0433_),
.a1(master_data_addr_i[28]),
.s(\arbiter_grant[2] ),
.x(_0434_)
);
sky130_fd_sc_hd__mux2_1 _1713_ (
.a0(_0434_),
.a1(master_data_addr_i[40]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[4])
);
sky130_fd_sc_hd__mux2_1 _1714_ (
.a0(_0435_),
.a1(master_data_addr_i[17]),
.s(\arbiter_grant[1] ),
.x(_0436_)
);
sky130_fd_sc_hd__mux2_1 _1715_ (
.a0(_0436_),
.a1(master_data_addr_i[29]),
.s(\arbiter_grant[2] ),
.x(_0437_)
);
sky130_fd_sc_hd__mux2_1 _1716_ (
.a0(_0437_),
.a1(master_data_addr_i[41]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[5])
);
sky130_fd_sc_hd__mux2_1 _1717_ (
.a0(_0438_),
.a1(master_data_addr_i[18]),
.s(\arbiter_grant[1] ),
.x(_0439_)
);
sky130_fd_sc_hd__mux2_1 _1718_ (
.a0(_0439_),
.a1(master_data_addr_i[30]),
.s(\arbiter_grant[2] ),
.x(_0440_)
);
sky130_fd_sc_hd__mux2_1 _1719_ (
.a0(_0440_),
.a1(master_data_addr_i[42]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[6])
);
sky130_fd_sc_hd__mux2_1 _1720_ (
.a0(_0441_),
.a1(master_data_addr_i[19]),
.s(\arbiter_grant[1] ),
.x(_0442_)
);
sky130_fd_sc_hd__mux2_1 _1721_ (
.a0(_0442_),
.a1(master_data_addr_i[31]),
.s(\arbiter_grant[2] ),
.x(_0443_)
);
sky130_fd_sc_hd__mux2_1 _1722_ (
.a0(_0443_),
.a1(master_data_addr_i[43]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[7])
);
sky130_fd_sc_hd__mux2_1 _1723_ (
.a0(_0444_),
.a1(master_data_addr_i[20]),
.s(\arbiter_grant[1] ),
.x(_0445_)
);
sky130_fd_sc_hd__mux2_1 _1724_ (
.a0(_0445_),
.a1(master_data_addr_i[32]),
.s(\arbiter_grant[2] ),
.x(_0446_)
);
sky130_fd_sc_hd__mux2_1 _1725_ (
.a0(_0446_),
.a1(master_data_addr_i[44]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[8])
);
sky130_fd_sc_hd__mux2_1 _1726_ (
.a0(_0447_),
.a1(master_data_addr_i[21]),
.s(\arbiter_grant[1] ),
.x(_0448_)
);
sky130_fd_sc_hd__mux2_1 _1727_ (
.a0(_0448_),
.a1(master_data_addr_i[33]),
.s(\arbiter_grant[2] ),
.x(_0449_)
);
sky130_fd_sc_hd__mux2_1 _1728_ (
.a0(_0449_),
.a1(master_data_addr_i[45]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[9])
);
sky130_fd_sc_hd__mux2_1 _1729_ (
.a0(_0276_),
.a1(master_data_addr_i[12]),
.s(\arbiter_grant[5] ),
.x(_0277_)
);
sky130_fd_sc_hd__mux2_1 _1730_ (
.a0(_0277_),
.a1(master_data_addr_i[24]),
.s(\arbiter_grant[6] ),
.x(_0278_)
);
sky130_fd_sc_hd__mux2_1 _1731_ (
.a0(_0278_),
.a1(master_data_addr_i[36]),
.s(\arbiter_grant[7] ),
.x(slave_data_addr_o[10])
);
sky130_fd_sc_hd__mux2_1 _1732_ (
.a0(_0279_),
.a1(master_data_addr_i[13]),
.s(\arbiter_grant[5] ),
.x(_0280_)
);
sky130_fd_sc_hd__mux2_1 _1733_ (
.a0(_0280_),
.a1(master_data_addr_i[25]),
.s(\arbiter_grant[6] ),
.x(_0281_)
);
sky130_fd_sc_hd__mux2_1 _1734_ (
.a0(_0281_),
.a1(master_data_addr_i[37]),
.s(\arbiter_grant[7] ),
.x(slave_data_addr_o[11])
);
sky130_fd_sc_hd__mux2_1 _1735_ (
.a0(_0282_),
.a1(master_data_addr_i[14]),
.s(\arbiter_grant[5] ),
.x(_0283_)
);
sky130_fd_sc_hd__mux2_1 _1736_ (
.a0(_0283_),
.a1(master_data_addr_i[26]),
.s(\arbiter_grant[6] ),
.x(_0284_)
);
sky130_fd_sc_hd__mux2_1 _1737_ (
.a0(_0284_),
.a1(master_data_addr_i[38]),
.s(\arbiter_grant[7] ),
.x(slave_data_addr_o[12])
);
sky130_fd_sc_hd__mux2_1 _1738_ (
.a0(_0285_),
.a1(master_data_addr_i[15]),
.s(\arbiter_grant[5] ),
.x(_0286_)
);
sky130_fd_sc_hd__mux2_1 _1739_ (
.a0(_0286_),
.a1(master_data_addr_i[27]),
.s(\arbiter_grant[6] ),
.x(_0287_)
);
sky130_fd_sc_hd__mux2_1 _1740_ (
.a0(_0287_),
.a1(master_data_addr_i[39]),
.s(\arbiter_grant[7] ),
.x(slave_data_addr_o[13])
);
sky130_fd_sc_hd__mux2_1 _1741_ (
.a0(_0288_),
.a1(master_data_addr_i[16]),
.s(\arbiter_grant[5] ),
.x(_0289_)
);
sky130_fd_sc_hd__mux2_1 _1742_ (
.a0(_0289_),
.a1(master_data_addr_i[28]),
.s(\arbiter_grant[6] ),
.x(_0290_)
);
sky130_fd_sc_hd__mux2_1 _1743_ (
.a0(_0290_),
.a1(master_data_addr_i[40]),
.s(\arbiter_grant[7] ),
.x(slave_data_addr_o[14])
);
sky130_fd_sc_hd__mux2_1 _1744_ (
.a0(_0291_),
.a1(master_data_addr_i[17]),
.s(\arbiter_grant[5] ),
.x(_0292_)
);
sky130_fd_sc_hd__mux2_1 _1745_ (
.a0(_0292_),
.a1(master_data_addr_i[29]),
.s(\arbiter_grant[6] ),
.x(_0293_)
);
sky130_fd_sc_hd__mux2_1 _1746_ (
.a0(_0293_),
.a1(master_data_addr_i[41]),
.s(\arbiter_grant[7] ),
.x(slave_data_addr_o[15])
);
sky130_fd_sc_hd__mux2_1 _1747_ (
.a0(_0294_),
.a1(master_data_addr_i[18]),
.s(\arbiter_grant[5] ),
.x(_0295_)
);
sky130_fd_sc_hd__mux2_1 _1748_ (
.a0(_0295_),
.a1(master_data_addr_i[30]),
.s(\arbiter_grant[6] ),
.x(_0296_)
);
sky130_fd_sc_hd__mux2_1 _1749_ (
.a0(_0296_),
.a1(master_data_addr_i[42]),
.s(\arbiter_grant[7] ),
.x(slave_data_addr_o[16])
);
sky130_fd_sc_hd__mux2_1 _1750_ (
.a0(_0297_),
.a1(master_data_addr_i[19]),
.s(\arbiter_grant[5] ),
.x(_0298_)
);
sky130_fd_sc_hd__mux2_1 _1751_ (
.a0(_0298_),
.a1(master_data_addr_i[31]),
.s(\arbiter_grant[6] ),
.x(_0299_)
);
sky130_fd_sc_hd__mux2_1 _1752_ (
.a0(_0299_),
.a1(master_data_addr_i[43]),
.s(\arbiter_grant[7] ),
.x(slave_data_addr_o[17])
);
sky130_fd_sc_hd__mux2_1 _1753_ (
.a0(_0300_),
.a1(master_data_addr_i[20]),
.s(\arbiter_grant[5] ),
.x(_0301_)
);
sky130_fd_sc_hd__mux2_1 _1754_ (
.a0(_0301_),
.a1(master_data_addr_i[32]),
.s(\arbiter_grant[6] ),
.x(_0302_)
);
sky130_fd_sc_hd__mux2_1 _1755_ (
.a0(_0302_),
.a1(master_data_addr_i[44]),
.s(\arbiter_grant[7] ),
.x(slave_data_addr_o[18])
);
sky130_fd_sc_hd__mux2_1 _1756_ (
.a0(_0303_),
.a1(master_data_addr_i[21]),
.s(\arbiter_grant[5] ),
.x(_0304_)
);
sky130_fd_sc_hd__mux2_1 _1757_ (
.a0(_0304_),
.a1(master_data_addr_i[33]),
.s(\arbiter_grant[6] ),
.x(_0305_)
);
sky130_fd_sc_hd__mux2_1 _1758_ (
.a0(_0305_),
.a1(master_data_addr_i[45]),
.s(\arbiter_grant[7] ),
.x(slave_data_addr_o[19])
);
sky130_fd_sc_hd__mux2_1 _1759_ (
.a0(_0132_),
.a1(master_data_addr_i[12]),
.s(\arbiter_grant[9] ),
.x(_0133_)
);
sky130_fd_sc_hd__mux2_1 _1760_ (
.a0(_0133_),
.a1(master_data_addr_i[24]),
.s(\arbiter_grant[10] ),
.x(_0134_)
);
sky130_fd_sc_hd__mux2_1 _1761_ (
.a0(_0134_),
.a1(master_data_addr_i[36]),
.s(\arbiter_grant[11] ),
.x(slave_data_addr_o[20])
);
sky130_fd_sc_hd__mux2_1 _1762_ (
.a0(_0135_),
.a1(master_data_addr_i[13]),
.s(\arbiter_grant[9] ),
.x(_0136_)
);
sky130_fd_sc_hd__mux2_1 _1763_ (
.a0(_0136_),
.a1(master_data_addr_i[25]),
.s(\arbiter_grant[10] ),
.x(_0137_)
);
sky130_fd_sc_hd__mux2_1 _1764_ (
.a0(_0137_),
.a1(master_data_addr_i[37]),
.s(\arbiter_grant[11] ),
.x(slave_data_addr_o[21])
);
sky130_fd_sc_hd__mux2_1 _1765_ (
.a0(_0138_),
.a1(master_data_addr_i[14]),
.s(\arbiter_grant[9] ),
.x(_0139_)
);
sky130_fd_sc_hd__mux2_1 _1766_ (
.a0(_0139_),
.a1(master_data_addr_i[26]),
.s(\arbiter_grant[10] ),
.x(_0140_)
);
sky130_fd_sc_hd__mux2_1 _1767_ (
.a0(_0140_),
.a1(master_data_addr_i[38]),
.s(\arbiter_grant[11] ),
.x(slave_data_addr_o[22])
);
sky130_fd_sc_hd__mux2_1 _1768_ (
.a0(_0141_),
.a1(master_data_addr_i[15]),
.s(\arbiter_grant[9] ),
.x(_0142_)
);
sky130_fd_sc_hd__mux2_1 _1769_ (
.a0(_0142_),
.a1(master_data_addr_i[27]),
.s(\arbiter_grant[10] ),
.x(_0143_)
);
sky130_fd_sc_hd__mux2_1 _1770_ (
.a0(_0143_),
.a1(master_data_addr_i[39]),
.s(\arbiter_grant[11] ),
.x(slave_data_addr_o[23])
);
sky130_fd_sc_hd__mux2_1 _1771_ (
.a0(_0144_),
.a1(master_data_addr_i[16]),
.s(\arbiter_grant[9] ),
.x(_0145_)
);
sky130_fd_sc_hd__mux2_1 _1772_ (
.a0(_0145_),
.a1(master_data_addr_i[28]),
.s(\arbiter_grant[10] ),
.x(_0146_)
);
sky130_fd_sc_hd__mux2_1 _1773_ (
.a0(_0146_),
.a1(master_data_addr_i[40]),
.s(\arbiter_grant[11] ),
.x(slave_data_addr_o[24])
);
sky130_fd_sc_hd__mux2_1 _1774_ (
.a0(_0147_),
.a1(master_data_addr_i[17]),
.s(\arbiter_grant[9] ),
.x(_0148_)
);
sky130_fd_sc_hd__mux2_1 _1775_ (
.a0(_0148_),
.a1(master_data_addr_i[29]),
.s(\arbiter_grant[10] ),
.x(_0149_)
);
sky130_fd_sc_hd__mux2_1 _1776_ (
.a0(_0149_),
.a1(master_data_addr_i[41]),
.s(\arbiter_grant[11] ),
.x(slave_data_addr_o[25])
);
sky130_fd_sc_hd__mux2_1 _1777_ (
.a0(_0150_),
.a1(master_data_addr_i[18]),
.s(\arbiter_grant[9] ),
.x(_0151_)
);
sky130_fd_sc_hd__mux2_1 _1778_ (
.a0(_0151_),
.a1(master_data_addr_i[30]),
.s(\arbiter_grant[10] ),
.x(_0152_)
);
sky130_fd_sc_hd__mux2_1 _1779_ (
.a0(_0152_),
.a1(master_data_addr_i[42]),
.s(\arbiter_grant[11] ),
.x(slave_data_addr_o[26])
);
sky130_fd_sc_hd__mux2_1 _1780_ (
.a0(_0153_),
.a1(master_data_addr_i[19]),
.s(\arbiter_grant[9] ),
.x(_0154_)
);
sky130_fd_sc_hd__mux2_1 _1781_ (
.a0(_0154_),
.a1(master_data_addr_i[31]),
.s(\arbiter_grant[10] ),
.x(_0155_)
);
sky130_fd_sc_hd__mux2_1 _1782_ (
.a0(_0155_),
.a1(master_data_addr_i[43]),
.s(\arbiter_grant[11] ),
.x(slave_data_addr_o[27])
);
sky130_fd_sc_hd__mux2_1 _1783_ (
.a0(_0156_),
.a1(master_data_addr_i[20]),
.s(\arbiter_grant[9] ),
.x(_0157_)
);
sky130_fd_sc_hd__mux2_1 _1784_ (
.a0(_0157_),
.a1(master_data_addr_i[32]),
.s(\arbiter_grant[10] ),
.x(_0158_)
);
sky130_fd_sc_hd__mux2_1 _1785_ (
.a0(_0158_),
.a1(master_data_addr_i[44]),
.s(\arbiter_grant[11] ),
.x(slave_data_addr_o[28])
);
sky130_fd_sc_hd__mux2_1 _1786_ (
.a0(_0159_),
.a1(master_data_addr_i[21]),
.s(\arbiter_grant[9] ),
.x(_0160_)
);
sky130_fd_sc_hd__mux2_1 _1787_ (
.a0(_0160_),
.a1(master_data_addr_i[33]),
.s(\arbiter_grant[10] ),
.x(_0161_)
);
sky130_fd_sc_hd__mux2_1 _1788_ (
.a0(_0161_),
.a1(master_data_addr_i[45]),
.s(\arbiter_grant[11] ),
.x(slave_data_addr_o[29])
);
sky130_fd_sc_hd__mux2_1 _1789_ (
.a0(_0417_),
.a1(master_data_we_i[1]),
.s(\arbiter_grant[1] ),
.x(_0418_)
);
sky130_fd_sc_hd__mux2_1 _1790_ (
.a0(_0418_),
.a1(master_data_we_i[2]),
.s(\arbiter_grant[2] ),
.x(_0419_)
);
sky130_fd_sc_hd__mux2_1 _1791_ (
.a0(_0419_),
.a1(master_data_we_i[3]),
.s(\arbiter_grant[3] ),
.x(slave_data_we_o[0])
);
sky130_fd_sc_hd__mux2_1 _1792_ (
.a0(_0273_),
.a1(master_data_we_i[1]),
.s(\arbiter_grant[5] ),
.x(_0274_)
);
sky130_fd_sc_hd__mux2_1 _1793_ (
.a0(_0274_),
.a1(master_data_we_i[2]),
.s(\arbiter_grant[6] ),
.x(_0275_)
);
sky130_fd_sc_hd__mux2_1 _1794_ (
.a0(_0275_),
.a1(master_data_we_i[3]),
.s(\arbiter_grant[7] ),
.x(slave_data_we_o[1])
);
sky130_fd_sc_hd__mux2_1 _1795_ (
.a0(_0129_),
.a1(master_data_we_i[1]),
.s(\arbiter_grant[9] ),
.x(_0130_)
);
sky130_fd_sc_hd__mux2_1 _1796_ (
.a0(_0130_),
.a1(master_data_we_i[2]),
.s(\arbiter_grant[10] ),
.x(_0131_)
);
sky130_fd_sc_hd__mux2_1 _1797_ (
.a0(_0131_),
.a1(master_data_we_i[3]),
.s(\arbiter_grant[11] ),
.x(slave_data_we_o[2])
);
sky130_fd_sc_hd__mux2_1 _1798_ (
.a0(_0405_),
.a1(master_data_be_i[4]),
.s(\arbiter_grant[1] ),
.x(_0406_)
);
sky130_fd_sc_hd__mux2_1 _1799_ (
.a0(_0406_),
.a1(master_data_be_i[8]),
.s(\arbiter_grant[2] ),
.x(_0407_)
);
sky130_fd_sc_hd__mux2_1 _1800_ (
.a0(_0407_),
.a1(master_data_be_i[12]),
.s(\arbiter_grant[3] ),
.x(slave_data_be_o[0])
);
sky130_fd_sc_hd__mux2_1 _1801_ (
.a0(_0408_),
.a1(master_data_be_i[5]),
.s(\arbiter_grant[1] ),
.x(_0409_)
);
sky130_fd_sc_hd__mux2_1 _1802_ (
.a0(_0409_),
.a1(master_data_be_i[9]),
.s(\arbiter_grant[2] ),
.x(_0410_)
);
sky130_fd_sc_hd__mux2_1 _1803_ (
.a0(_0410_),
.a1(master_data_be_i[13]),
.s(\arbiter_grant[3] ),
.x(slave_data_be_o[1])
);
sky130_fd_sc_hd__mux2_1 _1804_ (
.a0(_0411_),
.a1(master_data_be_i[6]),
.s(\arbiter_grant[1] ),
.x(_0412_)
);
sky130_fd_sc_hd__mux2_1 _1805_ (
.a0(_0412_),
.a1(master_data_be_i[10]),
.s(\arbiter_grant[2] ),
.x(_0413_)
);
sky130_fd_sc_hd__mux2_1 _1806_ (
.a0(_0413_),
.a1(master_data_be_i[14]),
.s(\arbiter_grant[3] ),
.x(slave_data_be_o[2])
);
sky130_fd_sc_hd__mux2_1 _1807_ (
.a0(_0414_),
.a1(master_data_be_i[7]),
.s(\arbiter_grant[1] ),
.x(_0415_)
);
sky130_fd_sc_hd__mux2_1 _1808_ (
.a0(_0415_),
.a1(master_data_be_i[11]),
.s(\arbiter_grant[2] ),
.x(_0416_)
);
sky130_fd_sc_hd__mux2_1 _1809_ (
.a0(_0416_),
.a1(master_data_be_i[15]),
.s(\arbiter_grant[3] ),
.x(slave_data_be_o[3])
);
sky130_fd_sc_hd__mux2_1 _1810_ (
.a0(_0261_),
.a1(master_data_be_i[4]),
.s(\arbiter_grant[5] ),
.x(_0262_)
);
sky130_fd_sc_hd__mux2_1 _1811_ (
.a0(_0262_),
.a1(master_data_be_i[8]),
.s(\arbiter_grant[6] ),
.x(_0263_)
);
sky130_fd_sc_hd__mux2_1 _1812_ (
.a0(_0263_),
.a1(master_data_be_i[12]),
.s(\arbiter_grant[7] ),
.x(slave_data_be_o[4])
);
sky130_fd_sc_hd__mux2_1 _1813_ (
.a0(_0264_),
.a1(master_data_be_i[5]),
.s(\arbiter_grant[5] ),
.x(_0265_)
);
sky130_fd_sc_hd__mux2_1 _1814_ (
.a0(_0265_),
.a1(master_data_be_i[9]),
.s(\arbiter_grant[6] ),
.x(_0266_)
);
sky130_fd_sc_hd__mux2_1 _1815_ (
.a0(_0266_),
.a1(master_data_be_i[13]),
.s(\arbiter_grant[7] ),
.x(slave_data_be_o[5])
);
sky130_fd_sc_hd__mux2_1 _1816_ (
.a0(_0267_),
.a1(master_data_be_i[6]),
.s(\arbiter_grant[5] ),
.x(_0268_)
);
sky130_fd_sc_hd__mux2_1 _1817_ (
.a0(_0268_),
.a1(master_data_be_i[10]),
.s(\arbiter_grant[6] ),
.x(_0269_)
);
sky130_fd_sc_hd__mux2_1 _1818_ (
.a0(_0269_),
.a1(master_data_be_i[14]),
.s(\arbiter_grant[7] ),
.x(slave_data_be_o[6])
);
sky130_fd_sc_hd__mux2_1 _1819_ (
.a0(_0270_),
.a1(master_data_be_i[7]),
.s(\arbiter_grant[5] ),
.x(_0271_)
);
sky130_fd_sc_hd__mux2_1 _1820_ (
.a0(_0271_),
.a1(master_data_be_i[11]),
.s(\arbiter_grant[6] ),
.x(_0272_)
);
sky130_fd_sc_hd__mux2_1 _1821_ (
.a0(_0272_),
.a1(master_data_be_i[15]),
.s(\arbiter_grant[7] ),
.x(slave_data_be_o[7])
);
sky130_fd_sc_hd__mux2_1 _1822_ (
.a0(_0117_),
.a1(master_data_be_i[4]),
.s(\arbiter_grant[9] ),
.x(_0118_)
);
sky130_fd_sc_hd__mux2_1 _1823_ (
.a0(_0118_),
.a1(master_data_be_i[8]),
.s(\arbiter_grant[10] ),
.x(_0119_)
);
sky130_fd_sc_hd__mux2_1 _1824_ (
.a0(_0119_),
.a1(master_data_be_i[12]),
.s(\arbiter_grant[11] ),
.x(slave_data_be_o[8])
);
sky130_fd_sc_hd__mux2_1 _1825_ (
.a0(_0120_),
.a1(master_data_be_i[5]),
.s(\arbiter_grant[9] ),
.x(_0121_)
);
sky130_fd_sc_hd__mux2_1 _1826_ (
.a0(_0121_),
.a1(master_data_be_i[9]),
.s(\arbiter_grant[10] ),
.x(_0122_)
);
sky130_fd_sc_hd__mux2_1 _1827_ (
.a0(_0122_),
.a1(master_data_be_i[13]),
.s(\arbiter_grant[11] ),
.x(slave_data_be_o[9])
);
sky130_fd_sc_hd__mux2_1 _1828_ (
.a0(_0123_),
.a1(master_data_be_i[6]),
.s(\arbiter_grant[9] ),
.x(_0124_)
);
sky130_fd_sc_hd__mux2_1 _1829_ (
.a0(_0124_),
.a1(master_data_be_i[10]),
.s(\arbiter_grant[10] ),
.x(_0125_)
);
sky130_fd_sc_hd__mux2_1 _1830_ (
.a0(_0125_),
.a1(master_data_be_i[14]),
.s(\arbiter_grant[11] ),
.x(slave_data_be_o[10])
);
sky130_fd_sc_hd__mux2_1 _1831_ (
.a0(_0126_),
.a1(master_data_be_i[7]),
.s(\arbiter_grant[9] ),
.x(_0127_)
);
sky130_fd_sc_hd__mux2_1 _1832_ (
.a0(_0127_),
.a1(master_data_be_i[11]),
.s(\arbiter_grant[10] ),
.x(_0128_)
);
sky130_fd_sc_hd__mux2_1 _1833_ (
.a0(_0128_),
.a1(master_data_be_i[15]),
.s(\arbiter_grant[11] ),
.x(slave_data_be_o[11])
);
sky130_fd_sc_hd__mux2_1 _1834_ (
.a0(_0309_),
.a1(master_data_wdata_i[32]),
.s(\arbiter_grant[1] ),
.x(_0310_)
);
sky130_fd_sc_hd__mux2_1 _1835_ (
.a0(_0310_),
.a1(master_data_wdata_i[64]),
.s(\arbiter_grant[2] ),
.x(_0311_)
);
sky130_fd_sc_hd__mux2_1 _1836_ (
.a0(_0311_),
.a1(master_data_wdata_i[96]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[0])
);
sky130_fd_sc_hd__mux2_1 _1837_ (
.a0(_0312_),
.a1(master_data_wdata_i[33]),
.s(\arbiter_grant[1] ),
.x(_0313_)
);
sky130_fd_sc_hd__mux2_1 _1838_ (
.a0(_0313_),
.a1(master_data_wdata_i[65]),
.s(\arbiter_grant[2] ),
.x(_0314_)
);
sky130_fd_sc_hd__mux2_1 _1839_ (
.a0(_0314_),
.a1(master_data_wdata_i[97]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[1])
);
sky130_fd_sc_hd__mux2_1 _1840_ (
.a0(_0315_),
.a1(master_data_wdata_i[34]),
.s(\arbiter_grant[1] ),
.x(_0316_)
);
sky130_fd_sc_hd__mux2_1 _1841_ (
.a0(_0316_),
.a1(master_data_wdata_i[66]),
.s(\arbiter_grant[2] ),
.x(_0317_)
);
sky130_fd_sc_hd__mux2_1 _1842_ (
.a0(_0317_),
.a1(master_data_wdata_i[98]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[2])
);
sky130_fd_sc_hd__mux2_1 _1843_ (
.a0(_0318_),
.a1(master_data_wdata_i[35]),
.s(\arbiter_grant[1] ),
.x(_0319_)
);
sky130_fd_sc_hd__mux2_1 _1844_ (
.a0(_0319_),
.a1(master_data_wdata_i[67]),
.s(\arbiter_grant[2] ),
.x(_0320_)
);
sky130_fd_sc_hd__mux2_1 _1845_ (
.a0(_0320_),
.a1(master_data_wdata_i[99]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[3])
);
sky130_fd_sc_hd__mux2_1 _1846_ (
.a0(_0321_),
.a1(master_data_wdata_i[36]),
.s(\arbiter_grant[1] ),
.x(_0322_)
);
sky130_fd_sc_hd__mux2_1 _1847_ (
.a0(_0322_),
.a1(master_data_wdata_i[68]),
.s(\arbiter_grant[2] ),
.x(_0323_)
);
sky130_fd_sc_hd__mux2_1 _1848_ (
.a0(_0323_),
.a1(master_data_wdata_i[100]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[4])
);
sky130_fd_sc_hd__mux2_1 _1849_ (
.a0(_0324_),
.a1(master_data_wdata_i[37]),
.s(\arbiter_grant[1] ),
.x(_0325_)
);
sky130_fd_sc_hd__mux2_1 _1850_ (
.a0(_0325_),
.a1(master_data_wdata_i[69]),
.s(\arbiter_grant[2] ),
.x(_0326_)
);
sky130_fd_sc_hd__mux2_1 _1851_ (
.a0(_0326_),
.a1(master_data_wdata_i[101]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[5])
);
sky130_fd_sc_hd__mux2_1 _1852_ (
.a0(_0327_),
.a1(master_data_wdata_i[38]),
.s(\arbiter_grant[1] ),
.x(_0328_)
);
sky130_fd_sc_hd__mux2_1 _1853_ (
.a0(_0328_),
.a1(master_data_wdata_i[70]),
.s(\arbiter_grant[2] ),
.x(_0329_)
);
sky130_fd_sc_hd__mux2_1 _1854_ (
.a0(_0329_),
.a1(master_data_wdata_i[102]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[6])
);
sky130_fd_sc_hd__mux2_1 _1855_ (
.a0(_0330_),
.a1(master_data_wdata_i[39]),
.s(\arbiter_grant[1] ),
.x(_0331_)
);
sky130_fd_sc_hd__mux2_1 _1856_ (
.a0(_0331_),
.a1(master_data_wdata_i[71]),
.s(\arbiter_grant[2] ),
.x(_0332_)
);
sky130_fd_sc_hd__mux2_1 _1857_ (
.a0(_0332_),
.a1(master_data_wdata_i[103]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[7])
);
sky130_fd_sc_hd__mux2_1 _1858_ (
.a0(_0333_),
.a1(master_data_wdata_i[40]),
.s(\arbiter_grant[1] ),
.x(_0334_)
);
sky130_fd_sc_hd__mux2_1 _1859_ (
.a0(_0334_),
.a1(master_data_wdata_i[72]),
.s(\arbiter_grant[2] ),
.x(_0335_)
);
sky130_fd_sc_hd__mux2_1 _1860_ (
.a0(_0335_),
.a1(master_data_wdata_i[104]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[8])
);
sky130_fd_sc_hd__mux2_1 _1861_ (
.a0(_0336_),
.a1(master_data_wdata_i[41]),
.s(\arbiter_grant[1] ),
.x(_0337_)
);
sky130_fd_sc_hd__mux2_1 _1862_ (
.a0(_0337_),
.a1(master_data_wdata_i[73]),
.s(\arbiter_grant[2] ),
.x(_0338_)
);
sky130_fd_sc_hd__mux2_1 _1863_ (
.a0(_0338_),
.a1(master_data_wdata_i[105]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[9])
);
sky130_fd_sc_hd__mux2_1 _1864_ (
.a0(_0339_),
.a1(master_data_wdata_i[42]),
.s(\arbiter_grant[1] ),
.x(_0340_)
);
sky130_fd_sc_hd__mux2_1 _1865_ (
.a0(_0340_),
.a1(master_data_wdata_i[74]),
.s(\arbiter_grant[2] ),
.x(_0341_)
);
sky130_fd_sc_hd__mux2_1 _1866_ (
.a0(_0341_),
.a1(master_data_wdata_i[106]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[10])
);
sky130_fd_sc_hd__mux2_1 _1867_ (
.a0(_0342_),
.a1(master_data_wdata_i[43]),
.s(\arbiter_grant[1] ),
.x(_0343_)
);
sky130_fd_sc_hd__mux2_1 _1868_ (
.a0(_0343_),
.a1(master_data_wdata_i[75]),
.s(\arbiter_grant[2] ),
.x(_0344_)
);
sky130_fd_sc_hd__mux2_1 _1869_ (
.a0(_0344_),
.a1(master_data_wdata_i[107]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[11])
);
sky130_fd_sc_hd__mux2_1 _1870_ (
.a0(_0345_),
.a1(master_data_wdata_i[44]),
.s(\arbiter_grant[1] ),
.x(_0346_)
);
sky130_fd_sc_hd__mux2_1 _1871_ (
.a0(_0346_),
.a1(master_data_wdata_i[76]),
.s(\arbiter_grant[2] ),
.x(_0347_)
);
sky130_fd_sc_hd__mux2_1 _1872_ (
.a0(_0347_),
.a1(master_data_wdata_i[108]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[12])
);
sky130_fd_sc_hd__mux2_1 _1873_ (
.a0(_0348_),
.a1(master_data_wdata_i[45]),
.s(\arbiter_grant[1] ),
.x(_0349_)
);
sky130_fd_sc_hd__mux2_1 _1874_ (
.a0(_0349_),
.a1(master_data_wdata_i[77]),
.s(\arbiter_grant[2] ),
.x(_0350_)
);
sky130_fd_sc_hd__mux2_1 _1875_ (
.a0(_0350_),
.a1(master_data_wdata_i[109]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[13])
);
sky130_fd_sc_hd__mux2_1 _1876_ (
.a0(_0351_),
.a1(master_data_wdata_i[46]),
.s(\arbiter_grant[1] ),
.x(_0352_)
);
sky130_fd_sc_hd__mux2_1 _1877_ (
.a0(_0352_),
.a1(master_data_wdata_i[78]),
.s(\arbiter_grant[2] ),
.x(_0353_)
);
sky130_fd_sc_hd__mux2_1 _1878_ (
.a0(_0353_),
.a1(master_data_wdata_i[110]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[14])
);
sky130_fd_sc_hd__mux2_1 _1879_ (
.a0(_0354_),
.a1(master_data_wdata_i[47]),
.s(\arbiter_grant[1] ),
.x(_0355_)
);
sky130_fd_sc_hd__mux2_1 _1880_ (
.a0(_0355_),
.a1(master_data_wdata_i[79]),
.s(\arbiter_grant[2] ),
.x(_0356_)
);
sky130_fd_sc_hd__mux2_1 _1881_ (
.a0(_0356_),
.a1(master_data_wdata_i[111]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[15])
);
sky130_fd_sc_hd__mux2_1 _1882_ (
.a0(_0357_),
.a1(master_data_wdata_i[48]),
.s(\arbiter_grant[1] ),
.x(_0358_)
);
sky130_fd_sc_hd__mux2_1 _1883_ (
.a0(_0358_),
.a1(master_data_wdata_i[80]),
.s(\arbiter_grant[2] ),
.x(_0359_)
);
sky130_fd_sc_hd__mux2_1 _1884_ (
.a0(_0359_),
.a1(master_data_wdata_i[112]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[16])
);
sky130_fd_sc_hd__mux2_1 _1885_ (
.a0(_0360_),
.a1(master_data_wdata_i[49]),
.s(\arbiter_grant[1] ),
.x(_0361_)
);
sky130_fd_sc_hd__mux2_1 _1886_ (
.a0(_0361_),
.a1(master_data_wdata_i[81]),
.s(\arbiter_grant[2] ),
.x(_0362_)
);
sky130_fd_sc_hd__mux2_1 _1887_ (
.a0(_0362_),
.a1(master_data_wdata_i[113]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[17])
);
sky130_fd_sc_hd__mux2_1 _1888_ (
.a0(_0363_),
.a1(master_data_wdata_i[50]),
.s(\arbiter_grant[1] ),
.x(_0364_)
);
sky130_fd_sc_hd__mux2_1 _1889_ (
.a0(_0364_),
.a1(master_data_wdata_i[82]),
.s(\arbiter_grant[2] ),
.x(_0365_)
);
sky130_fd_sc_hd__mux2_1 _1890_ (
.a0(_0365_),
.a1(master_data_wdata_i[114]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[18])
);
sky130_fd_sc_hd__mux2_1 _1891_ (
.a0(_0366_),
.a1(master_data_wdata_i[51]),
.s(\arbiter_grant[1] ),
.x(_0367_)
);
sky130_fd_sc_hd__mux2_1 _1892_ (
.a0(_0367_),
.a1(master_data_wdata_i[83]),
.s(\arbiter_grant[2] ),
.x(_0368_)
);
sky130_fd_sc_hd__mux2_1 _1893_ (
.a0(_0368_),
.a1(master_data_wdata_i[115]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[19])
);
sky130_fd_sc_hd__mux2_1 _1894_ (
.a0(_0369_),
.a1(master_data_wdata_i[52]),
.s(\arbiter_grant[1] ),
.x(_0370_)
);
sky130_fd_sc_hd__mux2_1 _1895_ (
.a0(_0370_),
.a1(master_data_wdata_i[84]),
.s(\arbiter_grant[2] ),
.x(_0371_)
);
sky130_fd_sc_hd__mux2_1 _1896_ (
.a0(_0371_),
.a1(master_data_wdata_i[116]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[20])
);
sky130_fd_sc_hd__mux2_1 _1897_ (
.a0(_0372_),
.a1(master_data_wdata_i[53]),
.s(\arbiter_grant[1] ),
.x(_0373_)
);
sky130_fd_sc_hd__mux2_1 _1898_ (
.a0(_0373_),
.a1(master_data_wdata_i[85]),
.s(\arbiter_grant[2] ),
.x(_0374_)
);
sky130_fd_sc_hd__mux2_1 _1899_ (
.a0(_0374_),
.a1(master_data_wdata_i[117]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[21])
);
sky130_fd_sc_hd__mux2_1 _1900_ (
.a0(_0375_),
.a1(master_data_wdata_i[54]),
.s(\arbiter_grant[1] ),
.x(_0376_)
);
sky130_fd_sc_hd__mux2_1 _1901_ (
.a0(_0376_),
.a1(master_data_wdata_i[86]),
.s(\arbiter_grant[2] ),
.x(_0377_)
);
sky130_fd_sc_hd__mux2_1 _1902_ (
.a0(_0377_),
.a1(master_data_wdata_i[118]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[22])
);
sky130_fd_sc_hd__mux2_1 _1903_ (
.a0(_0378_),
.a1(master_data_wdata_i[55]),
.s(\arbiter_grant[1] ),
.x(_0379_)
);
sky130_fd_sc_hd__mux2_1 _1904_ (
.a0(_0379_),
.a1(master_data_wdata_i[87]),
.s(\arbiter_grant[2] ),
.x(_0380_)
);
sky130_fd_sc_hd__mux2_1 _1905_ (
.a0(_0380_),
.a1(master_data_wdata_i[119]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[23])
);
sky130_fd_sc_hd__mux2_1 _1906_ (
.a0(_0381_),
.a1(master_data_wdata_i[56]),
.s(\arbiter_grant[1] ),
.x(_0382_)
);
sky130_fd_sc_hd__mux2_1 _1907_ (
.a0(_0382_),
.a1(master_data_wdata_i[88]),
.s(\arbiter_grant[2] ),
.x(_0383_)
);
sky130_fd_sc_hd__mux2_1 _1908_ (
.a0(_0383_),
.a1(master_data_wdata_i[120]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[24])
);
sky130_fd_sc_hd__mux2_1 _1909_ (
.a0(_0384_),
.a1(master_data_wdata_i[57]),
.s(\arbiter_grant[1] ),
.x(_0385_)
);
sky130_fd_sc_hd__mux2_1 _1910_ (
.a0(_0385_),
.a1(master_data_wdata_i[89]),
.s(\arbiter_grant[2] ),
.x(_0386_)
);
sky130_fd_sc_hd__mux2_1 _1911_ (
.a0(_0386_),
.a1(master_data_wdata_i[121]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[25])
);
sky130_fd_sc_hd__mux2_1 _1912_ (
.a0(_0387_),
.a1(master_data_wdata_i[58]),
.s(\arbiter_grant[1] ),
.x(_0388_)
);
sky130_fd_sc_hd__mux2_1 _1913_ (
.a0(_0388_),
.a1(master_data_wdata_i[90]),
.s(\arbiter_grant[2] ),
.x(_0389_)
);
sky130_fd_sc_hd__mux2_1 _1914_ (
.a0(_0389_),
.a1(master_data_wdata_i[122]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[26])
);
sky130_fd_sc_hd__mux2_1 _1915_ (
.a0(_0390_),
.a1(master_data_wdata_i[59]),
.s(\arbiter_grant[1] ),
.x(_0391_)
);
sky130_fd_sc_hd__mux2_1 _1916_ (
.a0(_0391_),
.a1(master_data_wdata_i[91]),
.s(\arbiter_grant[2] ),
.x(_0392_)
);
sky130_fd_sc_hd__mux2_1 _1917_ (
.a0(_0392_),
.a1(master_data_wdata_i[123]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[27])
);
sky130_fd_sc_hd__mux2_1 _1918_ (
.a0(_0393_),
.a1(master_data_wdata_i[60]),
.s(\arbiter_grant[1] ),
.x(_0394_)
);
sky130_fd_sc_hd__mux2_1 _1919_ (
.a0(_0394_),
.a1(master_data_wdata_i[92]),
.s(\arbiter_grant[2] ),
.x(_0395_)
);
sky130_fd_sc_hd__mux2_1 _1920_ (
.a0(_0395_),
.a1(master_data_wdata_i[124]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[28])
);
sky130_fd_sc_hd__mux2_1 _1921_ (
.a0(_0396_),
.a1(master_data_wdata_i[61]),
.s(\arbiter_grant[1] ),
.x(_0397_)
);
sky130_fd_sc_hd__mux2_1 _1922_ (
.a0(_0397_),
.a1(master_data_wdata_i[93]),
.s(\arbiter_grant[2] ),
.x(_0398_)
);
sky130_fd_sc_hd__mux2_1 _1923_ (
.a0(_0398_),
.a1(master_data_wdata_i[125]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[29])
);
sky130_fd_sc_hd__mux2_1 _1924_ (
.a0(_0399_),
.a1(master_data_wdata_i[62]),
.s(\arbiter_grant[1] ),
.x(_0400_)
);
sky130_fd_sc_hd__mux2_1 _1925_ (
.a0(_0400_),
.a1(master_data_wdata_i[94]),
.s(\arbiter_grant[2] ),
.x(_0401_)
);
sky130_fd_sc_hd__mux2_1 _1926_ (
.a0(_0401_),
.a1(master_data_wdata_i[126]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[30])
);
sky130_fd_sc_hd__mux2_1 _1927_ (
.a0(_0402_),
.a1(master_data_wdata_i[63]),
.s(\arbiter_grant[1] ),
.x(_0403_)
);
sky130_fd_sc_hd__mux2_1 _1928_ (
.a0(_0403_),
.a1(master_data_wdata_i[95]),
.s(\arbiter_grant[2] ),
.x(_0404_)
);
sky130_fd_sc_hd__mux2_1 _1929_ (
.a0(_0404_),
.a1(master_data_wdata_i[127]),
.s(\arbiter_grant[3] ),
.x(slave_data_wdata_o[31])
);
sky130_fd_sc_hd__mux2_1 _1930_ (
.a0(_0165_),
.a1(master_data_wdata_i[32]),
.s(\arbiter_grant[5] ),
.x(_0166_)
);
sky130_fd_sc_hd__mux2_1 _1931_ (
.a0(_0166_),
.a1(master_data_wdata_i[64]),
.s(\arbiter_grant[6] ),
.x(_0167_)
);
sky130_fd_sc_hd__mux2_1 _1932_ (
.a0(_0167_),
.a1(master_data_wdata_i[96]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[32])
);
sky130_fd_sc_hd__mux2_1 _1933_ (
.a0(_0168_),
.a1(master_data_wdata_i[33]),
.s(\arbiter_grant[5] ),
.x(_0169_)
);
sky130_fd_sc_hd__mux2_1 _1934_ (
.a0(_0169_),
.a1(master_data_wdata_i[65]),
.s(\arbiter_grant[6] ),
.x(_0170_)
);
sky130_fd_sc_hd__mux2_1 _1935_ (
.a0(_0170_),
.a1(master_data_wdata_i[97]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[33])
);
sky130_fd_sc_hd__mux2_1 _1936_ (
.a0(_0171_),
.a1(master_data_wdata_i[34]),
.s(\arbiter_grant[5] ),
.x(_0172_)
);
sky130_fd_sc_hd__mux2_1 _1937_ (
.a0(_0172_),
.a1(master_data_wdata_i[66]),
.s(\arbiter_grant[6] ),
.x(_0173_)
);
sky130_fd_sc_hd__mux2_1 _1938_ (
.a0(_0173_),
.a1(master_data_wdata_i[98]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[34])
);
sky130_fd_sc_hd__mux2_1 _1939_ (
.a0(_0174_),
.a1(master_data_wdata_i[35]),
.s(\arbiter_grant[5] ),
.x(_0175_)
);
sky130_fd_sc_hd__mux2_1 _1940_ (
.a0(_0175_),
.a1(master_data_wdata_i[67]),
.s(\arbiter_grant[6] ),
.x(_0176_)
);
sky130_fd_sc_hd__mux2_1 _1941_ (
.a0(_0176_),
.a1(master_data_wdata_i[99]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[35])
);
sky130_fd_sc_hd__mux2_1 _1942_ (
.a0(_0177_),
.a1(master_data_wdata_i[36]),
.s(\arbiter_grant[5] ),
.x(_0178_)
);
sky130_fd_sc_hd__mux2_1 _1943_ (
.a0(_0178_),
.a1(master_data_wdata_i[68]),
.s(\arbiter_grant[6] ),
.x(_0179_)
);
sky130_fd_sc_hd__mux2_1 _1944_ (
.a0(_0179_),
.a1(master_data_wdata_i[100]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[36])
);
sky130_fd_sc_hd__mux2_1 _1945_ (
.a0(_0180_),
.a1(master_data_wdata_i[37]),
.s(\arbiter_grant[5] ),
.x(_0181_)
);
sky130_fd_sc_hd__mux2_1 _1946_ (
.a0(_0181_),
.a1(master_data_wdata_i[69]),
.s(\arbiter_grant[6] ),
.x(_0182_)
);
sky130_fd_sc_hd__mux2_1 _1947_ (
.a0(_0182_),
.a1(master_data_wdata_i[101]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[37])
);
sky130_fd_sc_hd__mux2_1 _1948_ (
.a0(_0183_),
.a1(master_data_wdata_i[38]),
.s(\arbiter_grant[5] ),
.x(_0184_)
);
sky130_fd_sc_hd__mux2_1 _1949_ (
.a0(_0184_),
.a1(master_data_wdata_i[70]),
.s(\arbiter_grant[6] ),
.x(_0185_)
);
sky130_fd_sc_hd__mux2_1 _1950_ (
.a0(_0185_),
.a1(master_data_wdata_i[102]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[38])
);
sky130_fd_sc_hd__mux2_1 _1951_ (
.a0(_0186_),
.a1(master_data_wdata_i[39]),
.s(\arbiter_grant[5] ),
.x(_0187_)
);
sky130_fd_sc_hd__mux2_1 _1952_ (
.a0(_0187_),
.a1(master_data_wdata_i[71]),
.s(\arbiter_grant[6] ),
.x(_0188_)
);
sky130_fd_sc_hd__mux2_1 _1953_ (
.a0(_0188_),
.a1(master_data_wdata_i[103]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[39])
);
sky130_fd_sc_hd__mux2_1 _1954_ (
.a0(_0189_),
.a1(master_data_wdata_i[40]),
.s(\arbiter_grant[5] ),
.x(_0190_)
);
sky130_fd_sc_hd__mux2_1 _1955_ (
.a0(_0190_),
.a1(master_data_wdata_i[72]),
.s(\arbiter_grant[6] ),
.x(_0191_)
);
sky130_fd_sc_hd__mux2_1 _1956_ (
.a0(_0191_),
.a1(master_data_wdata_i[104]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[40])
);
sky130_fd_sc_hd__mux2_1 _1957_ (
.a0(_0192_),
.a1(master_data_wdata_i[41]),
.s(\arbiter_grant[5] ),
.x(_0193_)
);
sky130_fd_sc_hd__mux2_1 _1958_ (
.a0(_0193_),
.a1(master_data_wdata_i[73]),
.s(\arbiter_grant[6] ),
.x(_0194_)
);
sky130_fd_sc_hd__mux2_1 _1959_ (
.a0(_0194_),
.a1(master_data_wdata_i[105]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[41])
);
sky130_fd_sc_hd__mux2_1 _1960_ (
.a0(_0195_),
.a1(master_data_wdata_i[42]),
.s(\arbiter_grant[5] ),
.x(_0196_)
);
sky130_fd_sc_hd__mux2_1 _1961_ (
.a0(_0196_),
.a1(master_data_wdata_i[74]),
.s(\arbiter_grant[6] ),
.x(_0197_)
);
sky130_fd_sc_hd__mux2_1 _1962_ (
.a0(_0197_),
.a1(master_data_wdata_i[106]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[42])
);
sky130_fd_sc_hd__mux2_1 _1963_ (
.a0(_0198_),
.a1(master_data_wdata_i[43]),
.s(\arbiter_grant[5] ),
.x(_0199_)
);
sky130_fd_sc_hd__mux2_1 _1964_ (
.a0(_0199_),
.a1(master_data_wdata_i[75]),
.s(\arbiter_grant[6] ),
.x(_0200_)
);
sky130_fd_sc_hd__mux2_1 _1965_ (
.a0(_0200_),
.a1(master_data_wdata_i[107]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[43])
);
sky130_fd_sc_hd__mux2_1 _1966_ (
.a0(_0201_),
.a1(master_data_wdata_i[44]),
.s(\arbiter_grant[5] ),
.x(_0202_)
);
sky130_fd_sc_hd__mux2_1 _1967_ (
.a0(_0202_),
.a1(master_data_wdata_i[76]),
.s(\arbiter_grant[6] ),
.x(_0203_)
);
sky130_fd_sc_hd__mux2_1 _1968_ (
.a0(_0203_),
.a1(master_data_wdata_i[108]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[44])
);
sky130_fd_sc_hd__mux2_1 _1969_ (
.a0(_0204_),
.a1(master_data_wdata_i[45]),
.s(\arbiter_grant[5] ),
.x(_0205_)
);
sky130_fd_sc_hd__mux2_1 _1970_ (
.a0(_0205_),
.a1(master_data_wdata_i[77]),
.s(\arbiter_grant[6] ),
.x(_0206_)
);
sky130_fd_sc_hd__mux2_1 _1971_ (
.a0(_0206_),
.a1(master_data_wdata_i[109]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[45])
);
sky130_fd_sc_hd__mux2_1 _1972_ (
.a0(_0207_),
.a1(master_data_wdata_i[46]),
.s(\arbiter_grant[5] ),
.x(_0208_)
);
sky130_fd_sc_hd__mux2_1 _1973_ (
.a0(_0208_),
.a1(master_data_wdata_i[78]),
.s(\arbiter_grant[6] ),
.x(_0209_)
);
sky130_fd_sc_hd__mux2_1 _1974_ (
.a0(_0209_),
.a1(master_data_wdata_i[110]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[46])
);
sky130_fd_sc_hd__mux2_1 _1975_ (
.a0(_0210_),
.a1(master_data_wdata_i[47]),
.s(\arbiter_grant[5] ),
.x(_0211_)
);
sky130_fd_sc_hd__mux2_1 _1976_ (
.a0(_0211_),
.a1(master_data_wdata_i[79]),
.s(\arbiter_grant[6] ),
.x(_0212_)
);
sky130_fd_sc_hd__mux2_1 _1977_ (
.a0(_0212_),
.a1(master_data_wdata_i[111]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[47])
);
sky130_fd_sc_hd__mux2_1 _1978_ (
.a0(_0213_),
.a1(master_data_wdata_i[48]),
.s(\arbiter_grant[5] ),
.x(_0214_)
);
sky130_fd_sc_hd__mux2_1 _1979_ (
.a0(_0214_),
.a1(master_data_wdata_i[80]),
.s(\arbiter_grant[6] ),
.x(_0215_)
);
sky130_fd_sc_hd__mux2_1 _1980_ (
.a0(_0215_),
.a1(master_data_wdata_i[112]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[48])
);
sky130_fd_sc_hd__mux2_1 _1981_ (
.a0(_0216_),
.a1(master_data_wdata_i[49]),
.s(\arbiter_grant[5] ),
.x(_0217_)
);
sky130_fd_sc_hd__mux2_1 _1982_ (
.a0(_0217_),
.a1(master_data_wdata_i[81]),
.s(\arbiter_grant[6] ),
.x(_0218_)
);
sky130_fd_sc_hd__mux2_1 _1983_ (
.a0(_0218_),
.a1(master_data_wdata_i[113]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[49])
);
sky130_fd_sc_hd__mux2_1 _1984_ (
.a0(_0219_),
.a1(master_data_wdata_i[50]),
.s(\arbiter_grant[5] ),
.x(_0220_)
);
sky130_fd_sc_hd__mux2_1 _1985_ (
.a0(_0220_),
.a1(master_data_wdata_i[82]),
.s(\arbiter_grant[6] ),
.x(_0221_)
);
sky130_fd_sc_hd__mux2_1 _1986_ (
.a0(_0221_),
.a1(master_data_wdata_i[114]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[50])
);
sky130_fd_sc_hd__mux2_1 _1987_ (
.a0(_0222_),
.a1(master_data_wdata_i[51]),
.s(\arbiter_grant[5] ),
.x(_0223_)
);
sky130_fd_sc_hd__mux2_1 _1988_ (
.a0(_0223_),
.a1(master_data_wdata_i[83]),
.s(\arbiter_grant[6] ),
.x(_0224_)
);
sky130_fd_sc_hd__mux2_1 _1989_ (
.a0(_0224_),
.a1(master_data_wdata_i[115]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[51])
);
sky130_fd_sc_hd__mux2_1 _1990_ (
.a0(_0225_),
.a1(master_data_wdata_i[52]),
.s(\arbiter_grant[5] ),
.x(_0226_)
);
sky130_fd_sc_hd__mux2_1 _1991_ (
.a0(_0226_),
.a1(master_data_wdata_i[84]),
.s(\arbiter_grant[6] ),
.x(_0227_)
);
sky130_fd_sc_hd__mux2_1 _1992_ (
.a0(_0227_),
.a1(master_data_wdata_i[116]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[52])
);
sky130_fd_sc_hd__mux2_1 _1993_ (
.a0(_0228_),
.a1(master_data_wdata_i[53]),
.s(\arbiter_grant[5] ),
.x(_0229_)
);
sky130_fd_sc_hd__mux2_1 _1994_ (
.a0(_0229_),
.a1(master_data_wdata_i[85]),
.s(\arbiter_grant[6] ),
.x(_0230_)
);
sky130_fd_sc_hd__mux2_1 _1995_ (
.a0(_0230_),
.a1(master_data_wdata_i[117]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[53])
);
sky130_fd_sc_hd__mux2_1 _1996_ (
.a0(_0231_),
.a1(master_data_wdata_i[54]),
.s(\arbiter_grant[5] ),
.x(_0232_)
);
sky130_fd_sc_hd__mux2_1 _1997_ (
.a0(_0232_),
.a1(master_data_wdata_i[86]),
.s(\arbiter_grant[6] ),
.x(_0233_)
);
sky130_fd_sc_hd__mux2_1 _1998_ (
.a0(_0233_),
.a1(master_data_wdata_i[118]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[54])
);
sky130_fd_sc_hd__mux2_1 _1999_ (
.a0(_0234_),
.a1(master_data_wdata_i[55]),
.s(\arbiter_grant[5] ),
.x(_0235_)
);
sky130_fd_sc_hd__mux2_1 _2000_ (
.a0(_0235_),
.a1(master_data_wdata_i[87]),
.s(\arbiter_grant[6] ),
.x(_0236_)
);
sky130_fd_sc_hd__mux2_1 _2001_ (
.a0(_0236_),
.a1(master_data_wdata_i[119]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[55])
);
sky130_fd_sc_hd__mux2_1 _2002_ (
.a0(_0237_),
.a1(master_data_wdata_i[56]),
.s(\arbiter_grant[5] ),
.x(_0238_)
);
sky130_fd_sc_hd__mux2_1 _2003_ (
.a0(_0238_),
.a1(master_data_wdata_i[88]),
.s(\arbiter_grant[6] ),
.x(_0239_)
);
sky130_fd_sc_hd__mux2_1 _2004_ (
.a0(_0239_),
.a1(master_data_wdata_i[120]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[56])
);
sky130_fd_sc_hd__mux2_1 _2005_ (
.a0(_0240_),
.a1(master_data_wdata_i[57]),
.s(\arbiter_grant[5] ),
.x(_0241_)
);
sky130_fd_sc_hd__mux2_1 _2006_ (
.a0(_0241_),
.a1(master_data_wdata_i[89]),
.s(\arbiter_grant[6] ),
.x(_0242_)
);
sky130_fd_sc_hd__mux2_1 _2007_ (
.a0(_0242_),
.a1(master_data_wdata_i[121]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[57])
);
sky130_fd_sc_hd__mux2_1 _2008_ (
.a0(_0243_),
.a1(master_data_wdata_i[58]),
.s(\arbiter_grant[5] ),
.x(_0244_)
);
sky130_fd_sc_hd__mux2_1 _2009_ (
.a0(_0244_),
.a1(master_data_wdata_i[90]),
.s(\arbiter_grant[6] ),
.x(_0245_)
);
sky130_fd_sc_hd__mux2_1 _2010_ (
.a0(_0245_),
.a1(master_data_wdata_i[122]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[58])
);
sky130_fd_sc_hd__mux2_1 _2011_ (
.a0(_0246_),
.a1(master_data_wdata_i[59]),
.s(\arbiter_grant[5] ),
.x(_0247_)
);
sky130_fd_sc_hd__mux2_1 _2012_ (
.a0(_0247_),
.a1(master_data_wdata_i[91]),
.s(\arbiter_grant[6] ),
.x(_0248_)
);
sky130_fd_sc_hd__mux2_1 _2013_ (
.a0(_0248_),
.a1(master_data_wdata_i[123]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[59])
);
sky130_fd_sc_hd__mux2_1 _2014_ (
.a0(_0249_),
.a1(master_data_wdata_i[60]),
.s(\arbiter_grant[5] ),
.x(_0250_)
);
sky130_fd_sc_hd__mux2_1 _2015_ (
.a0(_0250_),
.a1(master_data_wdata_i[92]),
.s(\arbiter_grant[6] ),
.x(_0251_)
);
sky130_fd_sc_hd__mux2_1 _2016_ (
.a0(_0251_),
.a1(master_data_wdata_i[124]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[60])
);
sky130_fd_sc_hd__mux2_1 _2017_ (
.a0(_0252_),
.a1(master_data_wdata_i[61]),
.s(\arbiter_grant[5] ),
.x(_0253_)
);
sky130_fd_sc_hd__mux2_1 _2018_ (
.a0(_0253_),
.a1(master_data_wdata_i[93]),
.s(\arbiter_grant[6] ),
.x(_0254_)
);
sky130_fd_sc_hd__mux2_1 _2019_ (
.a0(_0254_),
.a1(master_data_wdata_i[125]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[61])
);
sky130_fd_sc_hd__mux2_1 _2020_ (
.a0(_0255_),
.a1(master_data_wdata_i[62]),
.s(\arbiter_grant[5] ),
.x(_0256_)
);
sky130_fd_sc_hd__mux2_1 _2021_ (
.a0(_0256_),
.a1(master_data_wdata_i[94]),
.s(\arbiter_grant[6] ),
.x(_0257_)
);
sky130_fd_sc_hd__mux2_1 _2022_ (
.a0(_0257_),
.a1(master_data_wdata_i[126]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[62])
);
sky130_fd_sc_hd__mux2_1 _2023_ (
.a0(_0258_),
.a1(master_data_wdata_i[63]),
.s(\arbiter_grant[5] ),
.x(_0259_)
);
sky130_fd_sc_hd__mux2_1 _2024_ (
.a0(_0259_),
.a1(master_data_wdata_i[95]),
.s(\arbiter_grant[6] ),
.x(_0260_)
);
sky130_fd_sc_hd__mux2_1 _2025_ (
.a0(_0260_),
.a1(master_data_wdata_i[127]),
.s(\arbiter_grant[7] ),
.x(slave_data_wdata_o[63])
);
sky130_fd_sc_hd__mux2_1 _2026_ (
.a0(_0021_),
.a1(master_data_wdata_i[32]),
.s(\arbiter_grant[9] ),
.x(_0022_)
);
sky130_fd_sc_hd__mux2_1 _2027_ (
.a0(_0022_),
.a1(master_data_wdata_i[64]),
.s(\arbiter_grant[10] ),
.x(_0023_)
);
sky130_fd_sc_hd__mux2_1 _2028_ (
.a0(_0023_),
.a1(master_data_wdata_i[96]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[64])
);
sky130_fd_sc_hd__mux2_1 _2029_ (
.a0(_0024_),
.a1(master_data_wdata_i[33]),
.s(\arbiter_grant[9] ),
.x(_0025_)
);
sky130_fd_sc_hd__mux2_1 _2030_ (
.a0(_0025_),
.a1(master_data_wdata_i[65]),
.s(\arbiter_grant[10] ),
.x(_0026_)
);
sky130_fd_sc_hd__mux2_1 _2031_ (
.a0(_0026_),
.a1(master_data_wdata_i[97]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[65])
);
sky130_fd_sc_hd__mux2_1 _2032_ (
.a0(_0027_),
.a1(master_data_wdata_i[34]),
.s(\arbiter_grant[9] ),
.x(_0028_)
);
sky130_fd_sc_hd__mux2_1 _2033_ (
.a0(_0028_),
.a1(master_data_wdata_i[66]),
.s(\arbiter_grant[10] ),
.x(_0029_)
);
sky130_fd_sc_hd__mux2_1 _2034_ (
.a0(_0029_),
.a1(master_data_wdata_i[98]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[66])
);
sky130_fd_sc_hd__mux2_1 _2035_ (
.a0(_0030_),
.a1(master_data_wdata_i[35]),
.s(\arbiter_grant[9] ),
.x(_0031_)
);
sky130_fd_sc_hd__mux2_1 _2036_ (
.a0(_0031_),
.a1(master_data_wdata_i[67]),
.s(\arbiter_grant[10] ),
.x(_0032_)
);
sky130_fd_sc_hd__mux2_1 _2037_ (
.a0(_0032_),
.a1(master_data_wdata_i[99]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[67])
);
sky130_fd_sc_hd__mux2_1 _2038_ (
.a0(_0033_),
.a1(master_data_wdata_i[36]),
.s(\arbiter_grant[9] ),
.x(_0034_)
);
sky130_fd_sc_hd__mux2_1 _2039_ (
.a0(_0034_),
.a1(master_data_wdata_i[68]),
.s(\arbiter_grant[10] ),
.x(_0035_)
);
sky130_fd_sc_hd__mux2_1 _2040_ (
.a0(_0035_),
.a1(master_data_wdata_i[100]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[68])
);
sky130_fd_sc_hd__mux2_1 _2041_ (
.a0(_0036_),
.a1(master_data_wdata_i[37]),
.s(\arbiter_grant[9] ),
.x(_0037_)
);
sky130_fd_sc_hd__mux2_1 _2042_ (
.a0(_0037_),
.a1(master_data_wdata_i[69]),
.s(\arbiter_grant[10] ),
.x(_0038_)
);
sky130_fd_sc_hd__mux2_1 _2043_ (
.a0(_0038_),
.a1(master_data_wdata_i[101]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[69])
);
sky130_fd_sc_hd__mux2_1 _2044_ (
.a0(_0039_),
.a1(master_data_wdata_i[38]),
.s(\arbiter_grant[9] ),
.x(_0040_)
);
sky130_fd_sc_hd__mux2_1 _2045_ (
.a0(_0040_),
.a1(master_data_wdata_i[70]),
.s(\arbiter_grant[10] ),
.x(_0041_)
);
sky130_fd_sc_hd__mux2_1 _2046_ (
.a0(_0041_),
.a1(master_data_wdata_i[102]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[70])
);
sky130_fd_sc_hd__mux2_1 _2047_ (
.a0(_0042_),
.a1(master_data_wdata_i[39]),
.s(\arbiter_grant[9] ),
.x(_0043_)
);
sky130_fd_sc_hd__mux2_1 _2048_ (
.a0(_0043_),
.a1(master_data_wdata_i[71]),
.s(\arbiter_grant[10] ),
.x(_0044_)
);
sky130_fd_sc_hd__mux2_1 _2049_ (
.a0(_0044_),
.a1(master_data_wdata_i[103]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[71])
);
sky130_fd_sc_hd__mux2_1 _2050_ (
.a0(_0045_),
.a1(master_data_wdata_i[40]),
.s(\arbiter_grant[9] ),
.x(_0046_)
);
sky130_fd_sc_hd__mux2_1 _2051_ (
.a0(_0046_),
.a1(master_data_wdata_i[72]),
.s(\arbiter_grant[10] ),
.x(_0047_)
);
sky130_fd_sc_hd__mux2_1 _2052_ (
.a0(_0047_),
.a1(master_data_wdata_i[104]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[72])
);
sky130_fd_sc_hd__mux2_1 _2053_ (
.a0(_0048_),
.a1(master_data_wdata_i[41]),
.s(\arbiter_grant[9] ),
.x(_0049_)
);
sky130_fd_sc_hd__mux2_1 _2054_ (
.a0(_0049_),
.a1(master_data_wdata_i[73]),
.s(\arbiter_grant[10] ),
.x(_0050_)
);
sky130_fd_sc_hd__mux2_1 _2055_ (
.a0(_0050_),
.a1(master_data_wdata_i[105]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[73])
);
sky130_fd_sc_hd__mux2_1 _2056_ (
.a0(_0051_),
.a1(master_data_wdata_i[42]),
.s(\arbiter_grant[9] ),
.x(_0052_)
);
sky130_fd_sc_hd__mux2_1 _2057_ (
.a0(_0052_),
.a1(master_data_wdata_i[74]),
.s(\arbiter_grant[10] ),
.x(_0053_)
);
sky130_fd_sc_hd__mux2_1 _2058_ (
.a0(_0053_),
.a1(master_data_wdata_i[106]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[74])
);
sky130_fd_sc_hd__mux2_1 _2059_ (
.a0(_0054_),
.a1(master_data_wdata_i[43]),
.s(\arbiter_grant[9] ),
.x(_0055_)
);
sky130_fd_sc_hd__mux2_1 _2060_ (
.a0(_0055_),
.a1(master_data_wdata_i[75]),
.s(\arbiter_grant[10] ),
.x(_0056_)
);
sky130_fd_sc_hd__mux2_1 _2061_ (
.a0(_0056_),
.a1(master_data_wdata_i[107]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[75])
);
sky130_fd_sc_hd__mux2_1 _2062_ (
.a0(_0057_),
.a1(master_data_wdata_i[44]),
.s(\arbiter_grant[9] ),
.x(_0058_)
);
sky130_fd_sc_hd__mux2_1 _2063_ (
.a0(_0058_),
.a1(master_data_wdata_i[76]),
.s(\arbiter_grant[10] ),
.x(_0059_)
);
sky130_fd_sc_hd__mux2_1 _2064_ (
.a0(_0059_),
.a1(master_data_wdata_i[108]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[76])
);
sky130_fd_sc_hd__mux2_1 _2065_ (
.a0(_0060_),
.a1(master_data_wdata_i[45]),
.s(\arbiter_grant[9] ),
.x(_0061_)
);
sky130_fd_sc_hd__mux2_1 _2066_ (
.a0(_0061_),
.a1(master_data_wdata_i[77]),
.s(\arbiter_grant[10] ),
.x(_0062_)
);
sky130_fd_sc_hd__mux2_1 _2067_ (
.a0(_0062_),
.a1(master_data_wdata_i[109]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[77])
);
sky130_fd_sc_hd__mux2_1 _2068_ (
.a0(_0063_),
.a1(master_data_wdata_i[46]),
.s(\arbiter_grant[9] ),
.x(_0064_)
);
sky130_fd_sc_hd__mux2_1 _2069_ (
.a0(_0064_),
.a1(master_data_wdata_i[78]),
.s(\arbiter_grant[10] ),
.x(_0065_)
);
sky130_fd_sc_hd__mux2_1 _2070_ (
.a0(_0065_),
.a1(master_data_wdata_i[110]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[78])
);
sky130_fd_sc_hd__mux2_1 _2071_ (
.a0(_0066_),
.a1(master_data_wdata_i[47]),
.s(\arbiter_grant[9] ),
.x(_0067_)
);
sky130_fd_sc_hd__mux2_1 _2072_ (
.a0(_0067_),
.a1(master_data_wdata_i[79]),
.s(\arbiter_grant[10] ),
.x(_0068_)
);
sky130_fd_sc_hd__mux2_1 _2073_ (
.a0(_0068_),
.a1(master_data_wdata_i[111]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[79])
);
sky130_fd_sc_hd__mux2_1 _2074_ (
.a0(_0069_),
.a1(master_data_wdata_i[48]),
.s(\arbiter_grant[9] ),
.x(_0070_)
);
sky130_fd_sc_hd__mux2_1 _2075_ (
.a0(_0070_),
.a1(master_data_wdata_i[80]),
.s(\arbiter_grant[10] ),
.x(_0071_)
);
sky130_fd_sc_hd__mux2_1 _2076_ (
.a0(_0071_),
.a1(master_data_wdata_i[112]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[80])
);
sky130_fd_sc_hd__mux2_1 _2077_ (
.a0(_0072_),
.a1(master_data_wdata_i[49]),
.s(\arbiter_grant[9] ),
.x(_0073_)
);
sky130_fd_sc_hd__mux2_1 _2078_ (
.a0(_0073_),
.a1(master_data_wdata_i[81]),
.s(\arbiter_grant[10] ),
.x(_0074_)
);
sky130_fd_sc_hd__mux2_1 _2079_ (
.a0(_0074_),
.a1(master_data_wdata_i[113]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[81])
);
sky130_fd_sc_hd__mux2_1 _2080_ (
.a0(_0075_),
.a1(master_data_wdata_i[50]),
.s(\arbiter_grant[9] ),
.x(_0076_)
);
sky130_fd_sc_hd__mux2_1 _2081_ (
.a0(_0076_),
.a1(master_data_wdata_i[82]),
.s(\arbiter_grant[10] ),
.x(_0077_)
);
sky130_fd_sc_hd__mux2_1 _2082_ (
.a0(_0077_),
.a1(master_data_wdata_i[114]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[82])
);
sky130_fd_sc_hd__mux2_1 _2083_ (
.a0(_0078_),
.a1(master_data_wdata_i[51]),
.s(\arbiter_grant[9] ),
.x(_0079_)
);
sky130_fd_sc_hd__mux2_1 _2084_ (
.a0(_0079_),
.a1(master_data_wdata_i[83]),
.s(\arbiter_grant[10] ),
.x(_0080_)
);
sky130_fd_sc_hd__mux2_1 _2085_ (
.a0(_0080_),
.a1(master_data_wdata_i[115]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[83])
);
sky130_fd_sc_hd__mux2_1 _2086_ (
.a0(_0081_),
.a1(master_data_wdata_i[52]),
.s(\arbiter_grant[9] ),
.x(_0082_)
);
sky130_fd_sc_hd__mux2_1 _2087_ (
.a0(_0082_),
.a1(master_data_wdata_i[84]),
.s(\arbiter_grant[10] ),
.x(_0083_)
);
sky130_fd_sc_hd__mux2_1 _2088_ (
.a0(_0083_),
.a1(master_data_wdata_i[116]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[84])
);
sky130_fd_sc_hd__mux2_1 _2089_ (
.a0(_0084_),
.a1(master_data_wdata_i[53]),
.s(\arbiter_grant[9] ),
.x(_0085_)
);
sky130_fd_sc_hd__mux2_1 _2090_ (
.a0(_0085_),
.a1(master_data_wdata_i[85]),
.s(\arbiter_grant[10] ),
.x(_0086_)
);
sky130_fd_sc_hd__mux2_1 _2091_ (
.a0(_0086_),
.a1(master_data_wdata_i[117]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[85])
);
sky130_fd_sc_hd__mux2_1 _2092_ (
.a0(_0087_),
.a1(master_data_wdata_i[54]),
.s(\arbiter_grant[9] ),
.x(_0088_)
);
sky130_fd_sc_hd__mux2_1 _2093_ (
.a0(_0088_),
.a1(master_data_wdata_i[86]),
.s(\arbiter_grant[10] ),
.x(_0089_)
);
sky130_fd_sc_hd__mux2_1 _2094_ (
.a0(_0089_),
.a1(master_data_wdata_i[118]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[86])
);
sky130_fd_sc_hd__mux2_1 _2095_ (
.a0(_0090_),
.a1(master_data_wdata_i[55]),
.s(\arbiter_grant[9] ),
.x(_0091_)
);
sky130_fd_sc_hd__mux2_1 _2096_ (
.a0(_0091_),
.a1(master_data_wdata_i[87]),
.s(\arbiter_grant[10] ),
.x(_0092_)
);
sky130_fd_sc_hd__mux2_1 _2097_ (
.a0(_0092_),
.a1(master_data_wdata_i[119]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[87])
);
sky130_fd_sc_hd__mux2_1 _2098_ (
.a0(_0093_),
.a1(master_data_wdata_i[56]),
.s(\arbiter_grant[9] ),
.x(_0094_)
);
sky130_fd_sc_hd__mux2_1 _2099_ (
.a0(_0094_),
.a1(master_data_wdata_i[88]),
.s(\arbiter_grant[10] ),
.x(_0095_)
);
sky130_fd_sc_hd__mux2_1 _2100_ (
.a0(_0095_),
.a1(master_data_wdata_i[120]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[88])
);
sky130_fd_sc_hd__mux2_1 _2101_ (
.a0(_0096_),
.a1(master_data_wdata_i[57]),
.s(\arbiter_grant[9] ),
.x(_0097_)
);
sky130_fd_sc_hd__mux2_1 _2102_ (
.a0(_0097_),
.a1(master_data_wdata_i[89]),
.s(\arbiter_grant[10] ),
.x(_0098_)
);
sky130_fd_sc_hd__mux2_1 _2103_ (
.a0(_0098_),
.a1(master_data_wdata_i[121]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[89])
);
sky130_fd_sc_hd__mux2_1 _2104_ (
.a0(_0099_),
.a1(master_data_wdata_i[58]),
.s(\arbiter_grant[9] ),
.x(_0100_)
);
sky130_fd_sc_hd__mux2_1 _2105_ (
.a0(_0100_),
.a1(master_data_wdata_i[90]),
.s(\arbiter_grant[10] ),
.x(_0101_)
);
sky130_fd_sc_hd__mux2_1 _2106_ (
.a0(_0101_),
.a1(master_data_wdata_i[122]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[90])
);
sky130_fd_sc_hd__mux2_1 _2107_ (
.a0(_0102_),
.a1(master_data_wdata_i[59]),
.s(\arbiter_grant[9] ),
.x(_0103_)
);
sky130_fd_sc_hd__mux2_1 _2108_ (
.a0(_0103_),
.a1(master_data_wdata_i[91]),
.s(\arbiter_grant[10] ),
.x(_0104_)
);
sky130_fd_sc_hd__mux2_1 _2109_ (
.a0(_0104_),
.a1(master_data_wdata_i[123]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[91])
);
sky130_fd_sc_hd__mux2_1 _2110_ (
.a0(_0105_),
.a1(master_data_wdata_i[60]),
.s(\arbiter_grant[9] ),
.x(_0106_)
);
sky130_fd_sc_hd__mux2_1 _2111_ (
.a0(_0106_),
.a1(master_data_wdata_i[92]),
.s(\arbiter_grant[10] ),
.x(_0107_)
);
sky130_fd_sc_hd__mux2_1 _2112_ (
.a0(_0107_),
.a1(master_data_wdata_i[124]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[92])
);
sky130_fd_sc_hd__mux2_1 _2113_ (
.a0(_0108_),
.a1(master_data_wdata_i[61]),
.s(\arbiter_grant[9] ),
.x(_0109_)
);
sky130_fd_sc_hd__mux2_1 _2114_ (
.a0(_0109_),
.a1(master_data_wdata_i[93]),
.s(\arbiter_grant[10] ),
.x(_0110_)
);
sky130_fd_sc_hd__mux2_1 _2115_ (
.a0(_0110_),
.a1(master_data_wdata_i[125]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[93])
);
sky130_fd_sc_hd__mux2_1 _2116_ (
.a0(_0111_),
.a1(master_data_wdata_i[62]),
.s(\arbiter_grant[9] ),
.x(_0112_)
);
sky130_fd_sc_hd__mux2_1 _2117_ (
.a0(_0112_),
.a1(master_data_wdata_i[94]),
.s(\arbiter_grant[10] ),
.x(_0113_)
);
sky130_fd_sc_hd__mux2_1 _2118_ (
.a0(_0113_),
.a1(master_data_wdata_i[126]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[94])
);
sky130_fd_sc_hd__mux2_1 _2119_ (
.a0(_0114_),
.a1(master_data_wdata_i[63]),
.s(\arbiter_grant[9] ),
.x(_0115_)
);
sky130_fd_sc_hd__mux2_1 _2120_ (
.a0(_0115_),
.a1(master_data_wdata_i[95]),
.s(\arbiter_grant[10] ),
.x(_0116_)
);
sky130_fd_sc_hd__mux2_1 _2121_ (
.a0(_0116_),
.a1(master_data_wdata_i[127]),
.s(\arbiter_grant[11] ),
.x(slave_data_wdata_o[95])
);
\$paramod\arbiter\num_ports=s32'00000000000000000000000000000100 \generate_arbiters[0].i_arb (
.active(arb_active),
.clk(clk),
.grant({ \arbiter_grant[3] , \arbiter_grant[2] , \arbiter_grant[1] , \arbiter_grant[0] }),
.request({ \arbiter_request[3] , \arbiter_request[2] , \arbiter_request[1] , \arbiter_request[0] }),
.rst(reset)
);
\$paramod\arbiter\num_ports=s32'00000000000000000000000000000100 \generate_arbiters[1].i_arb (
.active(arb_active),
.clk(clk),
.grant({ \arbiter_grant[7] , \arbiter_grant[6] , \arbiter_grant[5] , \arbiter_grant[4] }),
.request({ \arbiter_request[7] , \arbiter_request[6] , \arbiter_request[5] , \arbiter_request[4] }),
.rst(reset)
);
\$paramod\arbiter\num_ports=s32'00000000000000000000000000000100 \generate_arbiters[2].i_arb (
.active(arb_active),
.clk(clk),
.grant({ \arbiter_grant[11] , \arbiter_grant[10] , \arbiter_grant[9] , \arbiter_grant[8] }),
.request({ \arbiter_request[11] , \arbiter_request[10] , \arbiter_request[9] , \arbiter_request[8] }),
.rst(reset)
);
endmodule
module \$paramod$f2486c22f8156b191d4f2958486e3c6079702f1e\peripheral (clk, reset, slave_data_addr_i, slave_data_we_i, slave_data_be_i, slave_data_wdata_i, slave_data_rdata_o, slave_data_rvalid_o, slave_data_gnt_o, data_req_i, rxd_uart, txd_uart);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
wire _145_;
input clk;
wire \configuration[0] ;
wire \configuration[10] ;
wire \configuration[11] ;
wire \configuration[12] ;
wire \configuration[13] ;
wire \configuration[14] ;
wire \configuration[15] ;
wire \configuration[16] ;
wire \configuration[17] ;
wire \configuration[18] ;
wire \configuration[19] ;
wire \configuration[1] ;
wire \configuration[20] ;
wire \configuration[21] ;
wire \configuration[22] ;
wire \configuration[2] ;
wire \configuration[3] ;
wire \configuration[4] ;
wire \configuration[5] ;
wire \configuration[6] ;
wire \configuration[7] ;
wire \configuration[8] ;
wire \configuration[9] ;
input data_req_i;
wire \m_axis_tdata_uart[0] ;
wire \m_axis_tdata_uart[1] ;
wire \m_axis_tdata_uart[2] ;
wire \m_axis_tdata_uart[3] ;
wire \m_axis_tdata_uart[4] ;
wire \m_axis_tdata_uart[5] ;
wire \m_axis_tdata_uart[6] ;
wire \m_axis_tdata_uart[7] ;
wire m_axis_tvalid_uart;
input reset;
wire rx_busy_uart;
wire rx_frame_error_uart;
wire rx_overrun_error_uart;
input rxd_uart;
wire \s_axis_tdata_uart[0] ;
wire \s_axis_tdata_uart[1] ;
wire \s_axis_tdata_uart[2] ;
wire \s_axis_tdata_uart[3] ;
wire \s_axis_tdata_uart[4] ;
wire \s_axis_tdata_uart[5] ;
wire \s_axis_tdata_uart[6] ;
wire \s_axis_tdata_uart[7] ;
wire s_axis_tready_uart;
wire s_axis_tvalid_uart;
input [9:0] slave_data_addr_i;
input [3:0] slave_data_be_i;
output slave_data_gnt_o;
output [31:0] slave_data_rdata_o;
output slave_data_rvalid_o;
input [31:0] slave_data_wdata_i;
input slave_data_we_i;
wire tx_busy_uart;
output txd_uart;
sky130_fd_sc_hd__or3_2 _146_ (
.a(slave_data_addr_i[1]),
.b(slave_data_addr_i[0]),
.c(slave_data_addr_i[2]),
.x(_068_)
);
sky130_fd_sc_hd__inv_2 _147_ (
.a(_068_),
.y(_002_)
);
sky130_fd_sc_hd__and3_2 _148_ (
.a(s_axis_tready_uart),
.b(slave_data_we_i),
.c(_002_),
.x(_069_)
);
sky130_fd_sc_hd__nand2_2 _149_ (
.a(data_req_i),
.b(_069_),
.y(_070_)
);
sky130_fd_sc_hd__inv_2 _150_ (
.a(_070_),
.y(_071_)
);
sky130_fd_sc_hd__buf_1 _151_ (
.a(_071_),
.x(_072_)
);
sky130_fd_sc_hd__buf_1 _152_ (
.a(_070_),
.x(_073_)
);
sky130_fd_sc_hd__inv_2 _153_ (
.a(reset),
.y(_074_)
);
sky130_fd_sc_hd__buf_1 _154_ (
.a(_074_),
.x(_075_)
);
sky130_fd_sc_hd__buf_1 _155_ (
.a(_075_),
.x(_076_)
);
sky130_fd_sc_hd__o221a_2 _156_ (
.a1(\s_axis_tdata_uart[6] ),
.a2(_072_),
.b1(slave_data_wdata_i[6]),
.b2(_073_),
.c1(_076_),
.x(_066_)
);
sky130_fd_sc_hd__o221a_2 _157_ (
.a1(\s_axis_tdata_uart[5] ),
.a2(_072_),
.b1(slave_data_wdata_i[5]),
.b2(_073_),
.c1(_076_),
.x(_065_)
);
sky130_fd_sc_hd__o221a_2 _158_ (
.a1(\s_axis_tdata_uart[4] ),
.a2(_072_),
.b1(slave_data_wdata_i[4]),
.b2(_073_),
.c1(_076_),
.x(_064_)
);
sky130_fd_sc_hd__o221a_2 _159_ (
.a1(\s_axis_tdata_uart[3] ),
.a2(_072_),
.b1(slave_data_wdata_i[3]),
.b2(_073_),
.c1(_076_),
.x(_063_)
);
sky130_fd_sc_hd__buf_1 _160_ (
.a(_071_),
.x(_077_)
);
sky130_fd_sc_hd__buf_1 _161_ (
.a(_070_),
.x(_078_)
);
sky130_fd_sc_hd__buf_1 _162_ (
.a(_075_),
.x(_079_)
);
sky130_fd_sc_hd__o221a_2 _163_ (
.a1(\s_axis_tdata_uart[2] ),
.a2(_077_),
.b1(slave_data_wdata_i[2]),
.b2(_078_),
.c1(_079_),
.x(_062_)
);
sky130_fd_sc_hd__o221a_2 _164_ (
.a1(\s_axis_tdata_uart[1] ),
.a2(_077_),
.b1(slave_data_wdata_i[1]),
.b2(_078_),
.c1(_079_),
.x(_061_)
);
sky130_fd_sc_hd__o221a_2 _165_ (
.a1(\s_axis_tdata_uart[0] ),
.a2(_077_),
.b1(slave_data_wdata_i[0]),
.b2(_078_),
.c1(_079_),
.x(_060_)
);
sky130_fd_sc_hd__inv_2 _166_ (
.a(data_req_i),
.y(_080_)
);
sky130_fd_sc_hd__buf_1 _167_ (
.a(_080_),
.x(_081_)
);
sky130_fd_sc_hd__nor2_2 _168_ (
.a(reset),
.b(_081_),
.y(_013_)
);
sky130_fd_sc_hd__o21a_2 _169_ (
.a1(s_axis_tvalid_uart),
.a2(_069_),
.b1(_013_),
.x(_059_)
);
sky130_fd_sc_hd__or3b_2 _170_ (
.a(slave_data_addr_i[1]),
.b(slave_data_addr_i[0]),
.c_n(slave_data_addr_i[2]),
.x(_082_)
);
sky130_fd_sc_hd__buf_1 _171_ (
.a(_082_),
.x(_003_)
);
sky130_fd_sc_hd__or3b_2 _172_ (
.a(_080_),
.b(_003_),
.c_n(slave_data_we_i),
.x(_083_)
);
sky130_fd_sc_hd__inv_2 _173_ (
.a(_083_),
.y(_084_)
);
sky130_fd_sc_hd__buf_1 _174_ (
.a(_084_),
.x(_085_)
);
sky130_fd_sc_hd__buf_1 _175_ (
.a(_083_),
.x(_086_)
);
sky130_fd_sc_hd__buf_1 _176_ (
.a(_086_),
.x(_087_)
);
sky130_fd_sc_hd__o221a_2 _177_ (
.a1(\configuration[15] ),
.a2(_085_),
.b1(slave_data_wdata_i[15]),
.b2(_087_),
.c1(_079_),
.x(_058_)
);
sky130_fd_sc_hd__buf_1 _178_ (
.a(_075_),
.x(_088_)
);
sky130_fd_sc_hd__o221a_2 _179_ (
.a1(\configuration[14] ),
.a2(_085_),
.b1(slave_data_wdata_i[14]),
.b2(_087_),
.c1(_088_),
.x(_057_)
);
sky130_fd_sc_hd__o221a_2 _180_ (
.a1(\configuration[13] ),
.a2(_085_),
.b1(slave_data_wdata_i[13]),
.b2(_087_),
.c1(_088_),
.x(_056_)
);
sky130_fd_sc_hd__o221a_2 _181_ (
.a1(\configuration[12] ),
.a2(_085_),
.b1(slave_data_wdata_i[12]),
.b2(_087_),
.c1(_088_),
.x(_055_)
);
sky130_fd_sc_hd__buf_1 _182_ (
.a(_084_),
.x(_089_)
);
sky130_fd_sc_hd__buf_1 _183_ (
.a(_086_),
.x(_090_)
);
sky130_fd_sc_hd__o221a_2 _184_ (
.a1(\configuration[11] ),
.a2(_089_),
.b1(slave_data_wdata_i[11]),
.b2(_090_),
.c1(_088_),
.x(_054_)
);
sky130_fd_sc_hd__buf_1 _185_ (
.a(_074_),
.x(_091_)
);
sky130_fd_sc_hd__buf_1 _186_ (
.a(_091_),
.x(_092_)
);
sky130_fd_sc_hd__buf_1 _187_ (
.a(_092_),
.x(_093_)
);
sky130_fd_sc_hd__o221a_2 _188_ (
.a1(\configuration[10] ),
.a2(_089_),
.b1(slave_data_wdata_i[10]),
.b2(_090_),
.c1(_093_),
.x(_053_)
);
sky130_fd_sc_hd__o221a_2 _189_ (
.a1(\configuration[9] ),
.a2(_089_),
.b1(slave_data_wdata_i[9]),
.b2(_090_),
.c1(_093_),
.x(_052_)
);
sky130_fd_sc_hd__o221a_2 _190_ (
.a1(\configuration[8] ),
.a2(_089_),
.b1(slave_data_wdata_i[8]),
.b2(_090_),
.c1(_093_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _191_ (
.a(_084_),
.x(_094_)
);
sky130_fd_sc_hd__buf_1 _192_ (
.a(_086_),
.x(_095_)
);
sky130_fd_sc_hd__o221a_2 _193_ (
.a1(\configuration[7] ),
.a2(_094_),
.b1(slave_data_wdata_i[7]),
.b2(_095_),
.c1(_093_),
.x(_050_)
);
sky130_fd_sc_hd__buf_1 _194_ (
.a(_092_),
.x(_096_)
);
sky130_fd_sc_hd__o221a_2 _195_ (
.a1(\configuration[6] ),
.a2(_094_),
.b1(slave_data_wdata_i[6]),
.b2(_095_),
.c1(_096_),
.x(_049_)
);
sky130_fd_sc_hd__o221a_2 _196_ (
.a1(\configuration[5] ),
.a2(_094_),
.b1(slave_data_wdata_i[5]),
.b2(_095_),
.c1(_096_),
.x(_048_)
);
sky130_fd_sc_hd__o221a_2 _197_ (
.a1(\configuration[4] ),
.a2(_094_),
.b1(slave_data_wdata_i[4]),
.b2(_095_),
.c1(_096_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _198_ (
.a(_084_),
.x(_097_)
);
sky130_fd_sc_hd__buf_1 _199_ (
.a(_086_),
.x(_098_)
);
sky130_fd_sc_hd__o221a_2 _200_ (
.a1(\configuration[3] ),
.a2(_097_),
.b1(slave_data_wdata_i[3]),
.b2(_098_),
.c1(_096_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _201_ (
.a(_092_),
.x(_099_)
);
sky130_fd_sc_hd__o221a_2 _202_ (
.a1(\configuration[2] ),
.a2(_097_),
.b1(slave_data_wdata_i[2]),
.b2(_098_),
.c1(_099_),
.x(_045_)
);
sky130_fd_sc_hd__o221a_2 _203_ (
.a1(\configuration[1] ),
.a2(_097_),
.b1(slave_data_wdata_i[1]),
.b2(_098_),
.c1(_099_),
.x(_044_)
);
sky130_fd_sc_hd__o221a_2 _204_ (
.a1(\configuration[0] ),
.a2(_097_),
.b1(slave_data_wdata_i[0]),
.b2(_098_),
.c1(_099_),
.x(_043_)
);
sky130_fd_sc_hd__or4_2 _205_ (
.a(slave_data_addr_i[1]),
.b(slave_data_addr_i[0]),
.c(_080_),
.d(slave_data_we_i),
.x(_100_)
);
sky130_fd_sc_hd__inv_2 _206_ (
.a(_100_),
.y(_101_)
);
sky130_fd_sc_hd__buf_1 _207_ (
.a(_101_),
.x(_102_)
);
sky130_fd_sc_hd__buf_1 _208_ (
.a(_102_),
.x(_103_)
);
sky130_fd_sc_hd__buf_1 _209_ (
.a(_100_),
.x(_104_)
);
sky130_fd_sc_hd__buf_1 _210_ (
.a(_104_),
.x(_105_)
);
sky130_fd_sc_hd__buf_1 _211_ (
.a(_068_),
.x(_106_)
);
sky130_fd_sc_hd__and2_2 _212_ (
.a(\configuration[21] ),
.b(_106_),
.x(_107_)
);
sky130_fd_sc_hd__o221a_2 _213_ (
.a1(slave_data_rdata_o[21]),
.a2(_103_),
.b1(_105_),
.b2(_107_),
.c1(_099_),
.x(_042_)
);
sky130_fd_sc_hd__and2_2 _214_ (
.a(\configuration[20] ),
.b(_106_),
.x(_108_)
);
sky130_fd_sc_hd__buf_1 _215_ (
.a(_092_),
.x(_109_)
);
sky130_fd_sc_hd__o221a_2 _216_ (
.a1(slave_data_rdata_o[20]),
.a2(_103_),
.b1(_105_),
.b2(_108_),
.c1(_109_),
.x(_041_)
);
sky130_fd_sc_hd__and2_2 _217_ (
.a(\configuration[19] ),
.b(_106_),
.x(_110_)
);
sky130_fd_sc_hd__o221a_2 _218_ (
.a1(slave_data_rdata_o[19]),
.a2(_103_),
.b1(_105_),
.b2(_110_),
.c1(_109_),
.x(_040_)
);
sky130_fd_sc_hd__buf_1 _219_ (
.a(_068_),
.x(_111_)
);
sky130_fd_sc_hd__buf_1 _220_ (
.a(_111_),
.x(_112_)
);
sky130_fd_sc_hd__and2_2 _221_ (
.a(\configuration[18] ),
.b(_112_),
.x(_113_)
);
sky130_fd_sc_hd__o221a_2 _222_ (
.a1(slave_data_rdata_o[18]),
.a2(_103_),
.b1(_105_),
.b2(_113_),
.c1(_109_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _223_ (
.a(_102_),
.x(_114_)
);
sky130_fd_sc_hd__buf_1 _224_ (
.a(_104_),
.x(_115_)
);
sky130_fd_sc_hd__and2_2 _225_ (
.a(\configuration[17] ),
.b(_112_),
.x(_116_)
);
sky130_fd_sc_hd__o221a_2 _226_ (
.a1(slave_data_rdata_o[17]),
.a2(_114_),
.b1(_115_),
.b2(_116_),
.c1(_109_),
.x(_038_)
);
sky130_fd_sc_hd__and2_2 _227_ (
.a(\configuration[16] ),
.b(_112_),
.x(_117_)
);
sky130_fd_sc_hd__buf_1 _228_ (
.a(_091_),
.x(_118_)
);
sky130_fd_sc_hd__buf_1 _229_ (
.a(_118_),
.x(_119_)
);
sky130_fd_sc_hd__o221a_2 _230_ (
.a1(slave_data_rdata_o[16]),
.a2(_114_),
.b1(_115_),
.b2(_117_),
.c1(_119_),
.x(_037_)
);
sky130_fd_sc_hd__and2_2 _231_ (
.a(\configuration[15] ),
.b(_112_),
.x(_120_)
);
sky130_fd_sc_hd__o221a_2 _232_ (
.a1(slave_data_rdata_o[15]),
.a2(_114_),
.b1(_115_),
.b2(_120_),
.c1(_119_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _233_ (
.a(_068_),
.x(_121_)
);
sky130_fd_sc_hd__and2_2 _234_ (
.a(\configuration[14] ),
.b(_121_),
.x(_122_)
);
sky130_fd_sc_hd__o221a_2 _235_ (
.a1(slave_data_rdata_o[14]),
.a2(_114_),
.b1(_115_),
.b2(_122_),
.c1(_119_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _236_ (
.a(_101_),
.x(_123_)
);
sky130_fd_sc_hd__buf_1 _237_ (
.a(_104_),
.x(_124_)
);
sky130_fd_sc_hd__and2_2 _238_ (
.a(\configuration[13] ),
.b(_121_),
.x(_125_)
);
sky130_fd_sc_hd__o221a_2 _239_ (
.a1(slave_data_rdata_o[13]),
.a2(_123_),
.b1(_124_),
.b2(_125_),
.c1(_119_),
.x(_034_)
);
sky130_fd_sc_hd__and2_2 _240_ (
.a(\configuration[12] ),
.b(_121_),
.x(_126_)
);
sky130_fd_sc_hd__buf_1 _241_ (
.a(_118_),
.x(_127_)
);
sky130_fd_sc_hd__o221a_2 _242_ (
.a1(slave_data_rdata_o[12]),
.a2(_123_),
.b1(_124_),
.b2(_126_),
.c1(_127_),
.x(_033_)
);
sky130_fd_sc_hd__and2_2 _243_ (
.a(\configuration[11] ),
.b(_121_),
.x(_128_)
);
sky130_fd_sc_hd__o221a_2 _244_ (
.a1(slave_data_rdata_o[11]),
.a2(_123_),
.b1(_124_),
.b2(_128_),
.c1(_127_),
.x(_032_)
);
sky130_fd_sc_hd__and2_2 _245_ (
.a(\configuration[10] ),
.b(_111_),
.x(_129_)
);
sky130_fd_sc_hd__o221a_2 _246_ (
.a1(slave_data_rdata_o[10]),
.a2(_123_),
.b1(_124_),
.b2(_129_),
.c1(_127_),
.x(_031_)
);
sky130_fd_sc_hd__buf_1 _247_ (
.a(_101_),
.x(_130_)
);
sky130_fd_sc_hd__buf_1 _248_ (
.a(_104_),
.x(_131_)
);
sky130_fd_sc_hd__and2_2 _249_ (
.a(\configuration[9] ),
.b(_111_),
.x(_132_)
);
sky130_fd_sc_hd__o221a_2 _250_ (
.a1(slave_data_rdata_o[9]),
.a2(_130_),
.b1(_131_),
.b2(_132_),
.c1(_127_),
.x(_030_)
);
sky130_fd_sc_hd__and2_2 _251_ (
.a(\configuration[8] ),
.b(_111_),
.x(_133_)
);
sky130_fd_sc_hd__buf_1 _252_ (
.a(_118_),
.x(_134_)
);
sky130_fd_sc_hd__o221a_2 _253_ (
.a1(slave_data_rdata_o[8]),
.a2(_130_),
.b1(_131_),
.b2(_133_),
.c1(_134_),
.x(_029_)
);
sky130_fd_sc_hd__buf_1 _254_ (
.a(_131_),
.x(_135_)
);
sky130_fd_sc_hd__o221a_2 _255_ (
.a1(slave_data_rdata_o[7]),
.a2(_130_),
.b1(_012_),
.b2(_135_),
.c1(_134_),
.x(_028_)
);
sky130_fd_sc_hd__o221a_2 _256_ (
.a1(slave_data_rdata_o[6]),
.a2(_130_),
.b1(_011_),
.b2(_135_),
.c1(_134_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _257_ (
.a(_101_),
.x(_136_)
);
sky130_fd_sc_hd__o221a_2 _258_ (
.a1(slave_data_rdata_o[5]),
.a2(_136_),
.b1(_010_),
.b2(_135_),
.c1(_134_),
.x(_026_)
);
sky130_fd_sc_hd__buf_1 _259_ (
.a(_118_),
.x(_137_)
);
sky130_fd_sc_hd__o221a_2 _260_ (
.a1(slave_data_rdata_o[4]),
.a2(_136_),
.b1(_009_),
.b2(_135_),
.c1(_137_),
.x(_025_)
);
sky130_fd_sc_hd__buf_1 _261_ (
.a(_131_),
.x(_138_)
);
sky130_fd_sc_hd__o221a_2 _262_ (
.a1(slave_data_rdata_o[3]),
.a2(_136_),
.b1(_008_),
.b2(_138_),
.c1(_137_),
.x(_024_)
);
sky130_fd_sc_hd__o221a_2 _263_ (
.a1(slave_data_rdata_o[2]),
.a2(_136_),
.b1(_007_),
.b2(_138_),
.c1(_137_),
.x(_023_)
);
sky130_fd_sc_hd__o221a_2 _264_ (
.a1(slave_data_rdata_o[1]),
.a2(_102_),
.b1(_006_),
.b2(_138_),
.c1(_137_),
.x(_022_)
);
sky130_fd_sc_hd__buf_1 _265_ (
.a(_091_),
.x(_139_)
);
sky130_fd_sc_hd__o221a_2 _266_ (
.a1(slave_data_rdata_o[0]),
.a2(_102_),
.b1(_005_),
.b2(_138_),
.c1(_139_),
.x(_021_)
);
sky130_fd_sc_hd__buf_1 _267_ (
.a(_081_),
.x(_140_)
);
sky130_fd_sc_hd__o221a_2 _268_ (
.a1(_000_),
.a2(data_req_i),
.b1(\configuration[21] ),
.b2(_140_),
.c1(_139_),
.x(_020_)
);
sky130_fd_sc_hd__o221a_2 _269_ (
.a1(data_req_i),
.a2(s_axis_tready_uart),
.b1(_140_),
.b2(\configuration[20] ),
.c1(_139_),
.x(_019_)
);
sky130_fd_sc_hd__o221a_2 _270_ (
.a1(data_req_i),
.a2(tx_busy_uart),
.b1(_140_),
.b2(\configuration[19] ),
.c1(_139_),
.x(_018_)
);
sky130_fd_sc_hd__buf_1 _271_ (
.a(_091_),
.x(_141_)
);
sky130_fd_sc_hd__o221a_2 _272_ (
.a1(data_req_i),
.a2(rx_busy_uart),
.b1(_140_),
.b2(\configuration[18] ),
.c1(_141_),
.x(_017_)
);
sky130_fd_sc_hd__o221a_2 _273_ (
.a1(data_req_i),
.a2(rx_overrun_error_uart),
.b1(_081_),
.b2(\configuration[17] ),
.c1(_141_),
.x(_016_)
);
sky130_fd_sc_hd__o221a_2 _274_ (
.a1(data_req_i),
.a2(rx_frame_error_uart),
.b1(_081_),
.b2(\configuration[16] ),
.c1(_141_),
.x(_015_)
);
sky130_fd_sc_hd__inv_2 _275_ (
.a(_004_),
.y(_142_)
);
sky130_fd_sc_hd__o211a_2 _276_ (
.a1(slave_data_we_i),
.a2(_003_),
.b1(data_req_i),
.c1(_142_),
.x(_143_)
);
sky130_fd_sc_hd__a21bo_2 _277_ (
.a1(slave_data_wdata_i[22]),
.a2(_106_),
.b1_n(_143_),
.x(_144_)
);
sky130_fd_sc_hd__o211a_2 _278_ (
.a1(\configuration[22] ),
.a2(_143_),
.b1(_075_),
.c1(_144_),
.x(_014_)
);
sky130_fd_sc_hd__inv_2 _279_ (
.a(\configuration[22] ),
.y(_001_)
);
sky130_fd_sc_hd__o221a_2 _280_ (
.a1(\s_axis_tdata_uart[7] ),
.a2(_077_),
.b1(slave_data_wdata_i[7]),
.b2(_078_),
.c1(_141_),
.x(_067_)
);
sky130_fd_sc_hd__conb_1 _281_ (
.hi(_145_)
);
sky130_fd_sc_hd__conb_1 _282_ (
.lo(slave_data_rdata_o[22])
);
sky130_fd_sc_hd__conb_1 _283_ (
.lo(slave_data_rdata_o[23])
);
sky130_fd_sc_hd__conb_1 _284_ (
.lo(slave_data_rdata_o[24])
);
sky130_fd_sc_hd__conb_1 _285_ (
.lo(slave_data_rdata_o[25])
);
sky130_fd_sc_hd__conb_1 _286_ (
.lo(slave_data_rdata_o[26])
);
sky130_fd_sc_hd__conb_1 _287_ (
.lo(slave_data_rdata_o[27])
);
sky130_fd_sc_hd__conb_1 _288_ (
.lo(slave_data_rdata_o[28])
);
sky130_fd_sc_hd__conb_1 _289_ (
.lo(slave_data_rdata_o[29])
);
sky130_fd_sc_hd__conb_1 _290_ (
.lo(slave_data_rdata_o[30])
);
sky130_fd_sc_hd__conb_1 _291_ (
.lo(slave_data_rdata_o[31])
);
sky130_fd_sc_hd__buf_2 _292_ (
.a(slave_data_gnt_o),
.x(slave_data_rvalid_o)
);
sky130_fd_sc_hd__mux2_1 _293_ (
.a0(\configuration[1] ),
.a1(\m_axis_tdata_uart[1] ),
.s(_002_),
.x(_006_)
);
sky130_fd_sc_hd__mux2_1 _294_ (
.a0(\configuration[0] ),
.a1(\m_axis_tdata_uart[0] ),
.s(_002_),
.x(_005_)
);
sky130_fd_sc_hd__mux2_1 _295_ (
.a0(m_axis_tvalid_uart),
.a1(_001_),
.s(\configuration[21] ),
.x(_000_)
);
sky130_fd_sc_hd__mux2_1 _296_ (
.a0(\configuration[3] ),
.a1(\m_axis_tdata_uart[3] ),
.s(_002_),
.x(_008_)
);
sky130_fd_sc_hd__mux2_1 _297_ (
.a0(\configuration[5] ),
.a1(\m_axis_tdata_uart[5] ),
.s(_002_),
.x(_010_)
);
sky130_fd_sc_hd__mux2_1 _298_ (
.a0(\configuration[4] ),
.a1(\m_axis_tdata_uart[4] ),
.s(_002_),
.x(_009_)
);
sky130_fd_sc_hd__mux2_1 _299_ (
.a0(_003_),
.a1(slave_data_we_i),
.s(_002_),
.x(_004_)
);
sky130_fd_sc_hd__mux2_1 _300_ (
.a0(\configuration[6] ),
.a1(\m_axis_tdata_uart[6] ),
.s(_002_),
.x(_011_)
);
sky130_fd_sc_hd__mux2_1 _301_ (
.a0(\configuration[7] ),
.a1(\m_axis_tdata_uart[7] ),
.s(_002_),
.x(_012_)
);
sky130_fd_sc_hd__mux2_1 _302_ (
.a0(\configuration[2] ),
.a1(\m_axis_tdata_uart[2] ),
.s(_002_),
.x(_007_)
);
sky130_fd_sc_hd__dfxtp_2 _303_ (
.clk(clk),
.d(_013_),
.q(slave_data_gnt_o)
);
sky130_fd_sc_hd__dfxtp_2 _304_ (
.clk(clk),
.d(_014_),
.q(\configuration[22] )
);
sky130_fd_sc_hd__dfxtp_2 _305_ (
.clk(clk),
.d(_015_),
.q(\configuration[16] )
);
sky130_fd_sc_hd__dfxtp_2 _306_ (
.clk(clk),
.d(_016_),
.q(\configuration[17] )
);
sky130_fd_sc_hd__dfxtp_2 _307_ (
.clk(clk),
.d(_017_),
.q(\configuration[18] )
);
sky130_fd_sc_hd__dfxtp_2 _308_ (
.clk(clk),
.d(_018_),
.q(\configuration[19] )
);
sky130_fd_sc_hd__dfxtp_2 _309_ (
.clk(clk),
.d(_019_),
.q(\configuration[20] )
);
sky130_fd_sc_hd__dfxtp_2 _310_ (
.clk(clk),
.d(_020_),
.q(\configuration[21] )
);
sky130_fd_sc_hd__dfxtp_2 _311_ (
.clk(clk),
.d(_021_),
.q(slave_data_rdata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _312_ (
.clk(clk),
.d(_022_),
.q(slave_data_rdata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _313_ (
.clk(clk),
.d(_023_),
.q(slave_data_rdata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _314_ (
.clk(clk),
.d(_024_),
.q(slave_data_rdata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _315_ (
.clk(clk),
.d(_025_),
.q(slave_data_rdata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _316_ (
.clk(clk),
.d(_026_),
.q(slave_data_rdata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _317_ (
.clk(clk),
.d(_027_),
.q(slave_data_rdata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _318_ (
.clk(clk),
.d(_028_),
.q(slave_data_rdata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _319_ (
.clk(clk),
.d(_029_),
.q(slave_data_rdata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _320_ (
.clk(clk),
.d(_030_),
.q(slave_data_rdata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _321_ (
.clk(clk),
.d(_031_),
.q(slave_data_rdata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _322_ (
.clk(clk),
.d(_032_),
.q(slave_data_rdata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _323_ (
.clk(clk),
.d(_033_),
.q(slave_data_rdata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _324_ (
.clk(clk),
.d(_034_),
.q(slave_data_rdata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _325_ (
.clk(clk),
.d(_035_),
.q(slave_data_rdata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _326_ (
.clk(clk),
.d(_036_),
.q(slave_data_rdata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _327_ (
.clk(clk),
.d(_037_),
.q(slave_data_rdata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _328_ (
.clk(clk),
.d(_038_),
.q(slave_data_rdata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _329_ (
.clk(clk),
.d(_039_),
.q(slave_data_rdata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _330_ (
.clk(clk),
.d(_040_),
.q(slave_data_rdata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _331_ (
.clk(clk),
.d(_041_),
.q(slave_data_rdata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _332_ (
.clk(clk),
.d(_042_),
.q(slave_data_rdata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _333_ (
.clk(clk),
.d(_043_),
.q(\configuration[0] )
);
sky130_fd_sc_hd__dfxtp_2 _334_ (
.clk(clk),
.d(_044_),
.q(\configuration[1] )
);
sky130_fd_sc_hd__dfxtp_2 _335_ (
.clk(clk),
.d(_045_),
.q(\configuration[2] )
);
sky130_fd_sc_hd__dfxtp_2 _336_ (
.clk(clk),
.d(_046_),
.q(\configuration[3] )
);
sky130_fd_sc_hd__dfxtp_2 _337_ (
.clk(clk),
.d(_047_),
.q(\configuration[4] )
);
sky130_fd_sc_hd__dfxtp_2 _338_ (
.clk(clk),
.d(_048_),
.q(\configuration[5] )
);
sky130_fd_sc_hd__dfxtp_2 _339_ (
.clk(clk),
.d(_049_),
.q(\configuration[6] )
);
sky130_fd_sc_hd__dfxtp_2 _340_ (
.clk(clk),
.d(_050_),
.q(\configuration[7] )
);
sky130_fd_sc_hd__dfxtp_2 _341_ (
.clk(clk),
.d(_051_),
.q(\configuration[8] )
);
sky130_fd_sc_hd__dfxtp_2 _342_ (
.clk(clk),
.d(_052_),
.q(\configuration[9] )
);
sky130_fd_sc_hd__dfxtp_2 _343_ (
.clk(clk),
.d(_053_),
.q(\configuration[10] )
);
sky130_fd_sc_hd__dfxtp_2 _344_ (
.clk(clk),
.d(_054_),
.q(\configuration[11] )
);
sky130_fd_sc_hd__dfxtp_2 _345_ (
.clk(clk),
.d(_055_),
.q(\configuration[12] )
);
sky130_fd_sc_hd__dfxtp_2 _346_ (
.clk(clk),
.d(_056_),
.q(\configuration[13] )
);
sky130_fd_sc_hd__dfxtp_2 _347_ (
.clk(clk),
.d(_057_),
.q(\configuration[14] )
);
sky130_fd_sc_hd__dfxtp_2 _348_ (
.clk(clk),
.d(_058_),
.q(\configuration[15] )
);
sky130_fd_sc_hd__dfxtp_2 _349_ (
.clk(clk),
.d(_059_),
.q(s_axis_tvalid_uart)
);
sky130_fd_sc_hd__dfxtp_2 _350_ (
.clk(clk),
.d(_060_),
.q(\s_axis_tdata_uart[0] )
);
sky130_fd_sc_hd__dfxtp_2 _351_ (
.clk(clk),
.d(_061_),
.q(\s_axis_tdata_uart[1] )
);
sky130_fd_sc_hd__dfxtp_2 _352_ (
.clk(clk),
.d(_062_),
.q(\s_axis_tdata_uart[2] )
);
sky130_fd_sc_hd__dfxtp_2 _353_ (
.clk(clk),
.d(_063_),
.q(\s_axis_tdata_uart[3] )
);
sky130_fd_sc_hd__dfxtp_2 _354_ (
.clk(clk),
.d(_064_),
.q(\s_axis_tdata_uart[4] )
);
sky130_fd_sc_hd__dfxtp_2 _355_ (
.clk(clk),
.d(_065_),
.q(\s_axis_tdata_uart[5] )
);
sky130_fd_sc_hd__dfxtp_2 _356_ (
.clk(clk),
.d(_066_),
.q(\s_axis_tdata_uart[6] )
);
sky130_fd_sc_hd__dfxtp_2 _357_ (
.clk(clk),
.d(_067_),
.q(\s_axis_tdata_uart[7] )
);
axi_uart axi_uart_i (
.clk(clk),
.m_axis_tdata({ \m_axis_tdata_uart[7] , \m_axis_tdata_uart[6] , \m_axis_tdata_uart[5] , \m_axis_tdata_uart[4] , \m_axis_tdata_uart[3] , \m_axis_tdata_uart[2] , \m_axis_tdata_uart[1] , \m_axis_tdata_uart[0] }),
.m_axis_tready(_145_),
.m_axis_tvalid(m_axis_tvalid_uart),
.prescale({ \configuration[15] , \configuration[14] , \configuration[13] , \configuration[12] , \configuration[11] , \configuration[10] , \configuration[9] , \configuration[8] , \configuration[7] , \configuration[6] , \configuration[5] , \configuration[4] , \configuration[3] , \configuration[2] , \configuration[1] , \configuration[0] }),
.rst(reset),
.rx_busy(rx_busy_uart),
.rx_frame_error(rx_frame_error_uart),
.rx_overrun_error(rx_overrun_error_uart),
.rxd(rxd_uart),
.s_axis_tdata({ \s_axis_tdata_uart[7] , \s_axis_tdata_uart[6] , \s_axis_tdata_uart[5] , \s_axis_tdata_uart[4] , \s_axis_tdata_uart[3] , \s_axis_tdata_uart[2] , \s_axis_tdata_uart[1] , \s_axis_tdata_uart[0] }),
.s_axis_tready(s_axis_tready_uart),
.s_axis_tvalid(s_axis_tvalid_uart),
.tx_busy(tx_busy_uart),
.txd(txd_uart)
);
endmodule
module \$paramod\arbiter\num_ports=s32'00000000000000000000000000000010 (clk, rst, request, grant, select, active);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
output active;
input clk;
output [1:0] grant;
input [1:0] request;
input rst;
output select;
wire \token[0] ;
wire \token[1] ;
sky130_fd_sc_hd__inv_2 _14_ (
.a(\token[0] ),
.y(_06_)
);
sky130_fd_sc_hd__and2_2 _15_ (
.a(\token[1] ),
.b(request[1]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _16_ (
.a(_07_),
.x(_03_)
);
sky130_fd_sc_hd__and2_2 _17_ (
.a(\token[0] ),
.b(request[0]),
.x(_08_)
);
sky130_fd_sc_hd__buf_1 _18_ (
.a(_08_),
.x(_02_)
);
sky130_fd_sc_hd__or2_2 _19_ (
.a(_03_),
.b(_02_),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _20_ (
.a(_09_),
.x(_00_)
);
sky130_fd_sc_hd__a22o_2 _21_ (
.a1(\token[0] ),
.a2(request[1]),
.b1(\token[1] ),
.b2(request[0]),
.x(_10_)
);
sky130_fd_sc_hd__and2b_2 _22_ (
.a_n(_00_),
.b(_10_),
.x(_11_)
);
sky130_fd_sc_hd__a21oi_2 _23_ (
.a1(\token[1] ),
.a2(_11_),
.b1(rst),
.y(_12_)
);
sky130_fd_sc_hd__o21ai_2 _24_ (
.a1(_06_),
.a2(_11_),
.b1(_12_),
.y(_04_)
);
sky130_fd_sc_hd__and2b_2 _25_ (
.a_n(_02_),
.b(_03_),
.x(_13_)
);
sky130_fd_sc_hd__buf_1 _26_ (
.a(_13_),
.x(_01_)
);
sky130_fd_sc_hd__o21a_2 _27_ (
.a1(\token[1] ),
.a2(_11_),
.b1(_12_),
.x(_05_)
);
sky130_fd_sc_hd__dfxtp_2 _28_ (
.clk(clk),
.d(_00_),
.q(active)
);
sky130_fd_sc_hd__dfxtp_2 _29_ (
.clk(clk),
.d(_01_),
.q(select)
);
sky130_fd_sc_hd__dfxtp_2 _30_ (
.clk(clk),
.d(_02_),
.q(grant[0])
);
sky130_fd_sc_hd__dfxtp_2 _31_ (
.clk(clk),
.d(_03_),
.q(grant[1])
);
sky130_fd_sc_hd__dfxtp_2 _32_ (
.clk(clk),
.d(_04_),
.q(\token[0] )
);
sky130_fd_sc_hd__dfxtp_2 _33_ (
.clk(clk),
.d(_05_),
.q(\token[1] )
);
endmodule
module \$paramod\arbiter\num_ports=s32'00000000000000000000000000000100 (clk, rst, request, grant, select, active);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
output active;
input clk;
output [3:0] grant;
input [3:0] request;
input rst;
output [1:0] select;
wire \token[0] ;
wire \token[1] ;
wire \token[2] ;
wire \token[3] ;
sky130_fd_sc_hd__inv_2 _047_ (
.a(request[3]),
.y(_022_)
);
sky130_fd_sc_hd__inv_2 _048_ (
.a(request[1]),
.y(_023_)
);
sky130_fd_sc_hd__buf_1 _049_ (
.a(_023_),
.x(_024_)
);
sky130_fd_sc_hd__inv_2 _050_ (
.a(\token[2] ),
.y(_025_)
);
sky130_fd_sc_hd__buf_1 _051_ (
.a(_025_),
.x(_026_)
);
sky130_fd_sc_hd__inv_2 _052_ (
.a(\token[0] ),
.y(_027_)
);
sky130_fd_sc_hd__buf_1 _053_ (
.a(_027_),
.x(_028_)
);
sky130_fd_sc_hd__a22o_2 _054_ (
.a1(_022_),
.a2(_024_),
.b1(_026_),
.b2(_028_),
.x(_029_)
);
sky130_fd_sc_hd__inv_2 _055_ (
.a(request[0]),
.y(_030_)
);
sky130_fd_sc_hd__buf_1 _056_ (
.a(_030_),
.x(_031_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(request[2]),
.y(_032_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_032_),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _059_ (
.a(\token[3] ),
.y(_034_)
);
sky130_fd_sc_hd__inv_2 _060_ (
.a(\token[1] ),
.y(_035_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__a22o_2 _062_ (
.a1(_031_),
.a2(_033_),
.b1(_034_),
.b2(_036_),
.x(_037_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(_027_),
.a2(_033_),
.b1(_036_),
.b2(_022_),
.x(_038_)
);
sky130_fd_sc_hd__o221a_2 _064_ (
.a1(_026_),
.a2(_030_),
.b1(_034_),
.b2(_024_),
.c1(_038_),
.x(_007_)
);
sky130_fd_sc_hd__nor2_2 _065_ (
.a(_027_),
.b(_030_),
.y(_001_)
);
sky130_fd_sc_hd__nor2_2 _066_ (
.a(_035_),
.b(_023_),
.y(_002_)
);
sky130_fd_sc_hd__nor2_2 _067_ (
.a(_034_),
.b(_022_),
.y(_004_)
);
sky130_fd_sc_hd__nor2_2 _068_ (
.a(_025_),
.b(_032_),
.y(_003_)
);
sky130_fd_sc_hd__or2_2 _069_ (
.a(_004_),
.b(_003_),
.x(_039_)
);
sky130_fd_sc_hd__or3_2 _070_ (
.a(_001_),
.b(_002_),
.c(_039_),
.x(_040_)
);
sky130_fd_sc_hd__buf_1 _071_ (
.a(_040_),
.x(_000_)
);
sky130_fd_sc_hd__a31o_2 _072_ (
.a1(_029_),
.a2(_037_),
.a3(_007_),
.b1(_000_),
.x(_041_)
);
sky130_fd_sc_hd__inv_2 _073_ (
.a(_041_),
.y(_042_)
);
sky130_fd_sc_hd__buf_1 _074_ (
.a(_041_),
.x(_043_)
);
sky130_fd_sc_hd__inv_2 _075_ (
.a(rst),
.y(_044_)
);
sky130_fd_sc_hd__o221a_2 _076_ (
.a1(\token[2] ),
.a2(_042_),
.b1(_014_),
.b2(_043_),
.c1(_044_),
.x(_020_)
);
sky130_fd_sc_hd__o221a_2 _077_ (
.a1(\token[1] ),
.a2(_042_),
.b1(_013_),
.b2(_043_),
.c1(_044_),
.x(_019_)
);
sky130_fd_sc_hd__a221o_2 _078_ (
.a1(_012_),
.a2(_042_),
.b1(\token[0] ),
.b2(_043_),
.c1(rst),
.x(_018_)
);
sky130_fd_sc_hd__o221a_2 _079_ (
.a1(_028_),
.a2(_031_),
.b1(_036_),
.b2(_024_),
.c1(_039_),
.x(_017_)
);
sky130_fd_sc_hd__o21a_2 _080_ (
.a1(_026_),
.a2(_033_),
.b1(_004_),
.x(_045_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(_028_),
.a2(_031_),
.b1(_002_),
.b2(_045_),
.x(_016_)
);
sky130_fd_sc_hd__inv_2 _082_ (
.a(_007_),
.y(_005_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(_036_),
.a2(_033_),
.b1(_026_),
.b2(_022_),
.x(_046_)
);
sky130_fd_sc_hd__o221ai_2 _084_ (
.a1(_034_),
.a2(_031_),
.b1(_028_),
.b2(_024_),
.c1(_046_),
.y(_006_)
);
sky130_fd_sc_hd__o221a_2 _085_ (
.a1(\token[3] ),
.a2(_042_),
.b1(_015_),
.b2(_043_),
.c1(_044_),
.x(_021_)
);
sky130_fd_sc_hd__mux2_1 _086_ (
.a0(\token[3] ),
.a1(\token[2] ),
.s(_007_),
.x(_009_)
);
sky130_fd_sc_hd__mux2_1 _087_ (
.a0(_009_),
.a1(\token[0] ),
.s(_006_),
.x(_013_)
);
sky130_fd_sc_hd__mux2_1 _088_ (
.a0(\token[2] ),
.a1(\token[1] ),
.s(_007_),
.x(_008_)
);
sky130_fd_sc_hd__mux2_1 _089_ (
.a0(_008_),
.a1(\token[3] ),
.s(_006_),
.x(_012_)
);
sky130_fd_sc_hd__mux2_1 _090_ (
.a0(\token[0] ),
.a1(\token[1] ),
.s(_005_),
.x(_011_)
);
sky130_fd_sc_hd__mux2_1 _091_ (
.a0(_011_),
.a1(\token[2] ),
.s(_006_),
.x(_015_)
);
sky130_fd_sc_hd__mux2_1 _092_ (
.a0(\token[0] ),
.a1(\token[3] ),
.s(_007_),
.x(_010_)
);
sky130_fd_sc_hd__mux2_1 _093_ (
.a0(_010_),
.a1(\token[1] ),
.s(_006_),
.x(_014_)
);
sky130_fd_sc_hd__dfxtp_2 _094_ (
.clk(clk),
.d(_000_),
.q(active)
);
sky130_fd_sc_hd__dfxtp_2 _095_ (
.clk(clk),
.d(_001_),
.q(grant[0])
);
sky130_fd_sc_hd__dfxtp_2 _096_ (
.clk(clk),
.d(_002_),
.q(grant[1])
);
sky130_fd_sc_hd__dfxtp_2 _097_ (
.clk(clk),
.d(_003_),
.q(grant[2])
);
sky130_fd_sc_hd__dfxtp_2 _098_ (
.clk(clk),
.d(_004_),
.q(grant[3])
);
sky130_fd_sc_hd__dfxtp_2 _099_ (
.clk(clk),
.d(_016_),
.q(select[0])
);
sky130_fd_sc_hd__dfxtp_2 _100_ (
.clk(clk),
.d(_017_),
.q(select[1])
);
sky130_fd_sc_hd__dfxtp_2 _101_ (
.clk(clk),
.d(_018_),
.q(\token[0] )
);
sky130_fd_sc_hd__dfxtp_2 _102_ (
.clk(clk),
.d(_019_),
.q(\token[1] )
);
sky130_fd_sc_hd__dfxtp_2 _103_ (
.clk(clk),
.d(_020_),
.q(\token[2] )
);
sky130_fd_sc_hd__dfxtp_2 _104_ (
.clk(clk),
.d(_021_),
.q(\token[3] )
);
endmodule
module \$paramod\uart_rx\data_width=s32'00000000000000000000000000001000 (clk, rst, m_axis_tdata, m_axis_tvalid, m_axis_tready, rxd, busy, overrun_error, frame_error, prescale);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
wire _145_;
wire _146_;
wire _147_;
wire _148_;
wire _149_;
wire _150_;
wire _151_;
wire _152_;
wire _153_;
wire _154_;
wire _155_;
wire _156_;
wire _157_;
wire _158_;
wire _159_;
wire _160_;
wire _161_;
wire _162_;
wire _163_;
wire _164_;
wire _165_;
wire _166_;
wire _167_;
wire _168_;
wire _169_;
wire _170_;
wire _171_;
wire _172_;
wire _173_;
wire _174_;
wire _175_;
wire _176_;
wire _177_;
wire _178_;
wire _179_;
wire _180_;
wire _181_;
wire _182_;
wire _183_;
wire _184_;
wire _185_;
wire _186_;
wire _187_;
wire _188_;
wire _189_;
wire _190_;
wire _191_;
wire _192_;
wire _193_;
wire _194_;
wire _195_;
wire _196_;
wire _197_;
wire _198_;
wire _199_;
wire _200_;
wire _201_;
wire _202_;
wire _203_;
wire _204_;
wire _205_;
wire _206_;
wire _207_;
wire _208_;
wire _209_;
wire _210_;
wire _211_;
wire _212_;
wire _213_;
wire _214_;
wire _215_;
wire _216_;
wire _217_;
wire _218_;
wire _219_;
wire _220_;
wire _221_;
wire _222_;
wire _223_;
wire _224_;
wire _225_;
wire _226_;
wire _227_;
wire _228_;
wire _229_;
wire _230_;
wire _231_;
wire _232_;
wire _233_;
wire _234_;
wire _235_;
wire _236_;
wire _237_;
wire _238_;
wire _239_;
wire _240_;
wire _241_;
wire _242_;
wire _243_;
wire _244_;
wire _245_;
wire _246_;
wire _247_;
wire _248_;
wire _249_;
wire _250_;
wire _251_;
wire _252_;
wire _253_;
wire _254_;
wire _255_;
wire _256_;
wire _257_;
wire _258_;
wire _259_;
wire _260_;
wire _261_;
wire _262_;
wire _263_;
wire _264_;
wire _265_;
wire _266_;
wire _267_;
wire _268_;
wire _269_;
wire _270_;
wire _271_;
wire _272_;
wire _273_;
wire _274_;
wire _275_;
wire _276_;
wire _277_;
wire _278_;
wire _279_;
wire _280_;
wire _281_;
wire _282_;
wire _283_;
wire _284_;
wire _285_;
wire _286_;
wire _287_;
wire _288_;
wire _289_;
wire _290_;
wire _291_;
wire _292_;
wire _293_;
wire _294_;
wire _295_;
wire _296_;
wire _297_;
wire _298_;
wire _299_;
wire _300_;
wire _301_;
wire _302_;
wire _303_;
wire _304_;
wire _305_;
wire _306_;
wire _307_;
wire _308_;
wire _309_;
wire _310_;
wire _311_;
wire _312_;
wire _313_;
wire _314_;
wire _315_;
wire _316_;
wire _317_;
wire _318_;
wire _319_;
wire _320_;
wire _321_;
wire _322_;
wire _323_;
wire _324_;
wire _325_;
wire _326_;
wire _327_;
wire _328_;
wire _329_;
wire \bit_cnt[0] ;
wire \bit_cnt[1] ;
wire \bit_cnt[2] ;
wire \bit_cnt[3] ;
output busy;
wire busy_reg;
input clk;
wire \data_reg[0] ;
wire \data_reg[1] ;
wire \data_reg[2] ;
wire \data_reg[3] ;
wire \data_reg[4] ;
wire \data_reg[5] ;
wire \data_reg[6] ;
wire \data_reg[7] ;
output frame_error;
wire frame_error_reg;
output [7:0] m_axis_tdata;
wire \m_axis_tdata_reg[0] ;
wire \m_axis_tdata_reg[1] ;
wire \m_axis_tdata_reg[2] ;
wire \m_axis_tdata_reg[3] ;
wire \m_axis_tdata_reg[4] ;
wire \m_axis_tdata_reg[5] ;
wire \m_axis_tdata_reg[6] ;
wire \m_axis_tdata_reg[7] ;
input m_axis_tready;
output m_axis_tvalid;
wire m_axis_tvalid_reg;
output overrun_error;
wire overrun_error_reg;
input [15:0] prescale;
wire \prescale_reg[0] ;
wire \prescale_reg[10] ;
wire \prescale_reg[11] ;
wire \prescale_reg[12] ;
wire \prescale_reg[13] ;
wire \prescale_reg[14] ;
wire \prescale_reg[15] ;
wire \prescale_reg[16] ;
wire \prescale_reg[17] ;
wire \prescale_reg[18] ;
wire \prescale_reg[1] ;
wire \prescale_reg[2] ;
wire \prescale_reg[3] ;
wire \prescale_reg[4] ;
wire \prescale_reg[5] ;
wire \prescale_reg[6] ;
wire \prescale_reg[7] ;
wire \prescale_reg[8] ;
wire \prescale_reg[9] ;
input rst;
input rxd;
wire rxd_reg;
sky130_fd_sc_hd__inv_2 _330_ (
.a(rxd_reg),
.y(_174_)
);
sky130_fd_sc_hd__inv_2 _331_ (
.a(\bit_cnt[0] ),
.y(_175_)
);
sky130_fd_sc_hd__or3_2 _332_ (
.a(\bit_cnt[2] ),
.b(\bit_cnt[1] ),
.c(\bit_cnt[3] ),
.x(_176_)
);
sky130_fd_sc_hd__buf_1 _333_ (
.a(_176_),
.x(_001_)
);
sky130_fd_sc_hd__or2_2 _334_ (
.a(_175_),
.b(_001_),
.x(_177_)
);
sky130_fd_sc_hd__or2_2 _335_ (
.a(\prescale_reg[7] ),
.b(\prescale_reg[4] ),
.x(_178_)
);
sky130_fd_sc_hd__or2_2 _336_ (
.a(\prescale_reg[1] ),
.b(\prescale_reg[0] ),
.x(_179_)
);
sky130_fd_sc_hd__or3_2 _337_ (
.a(\prescale_reg[3] ),
.b(\prescale_reg[2] ),
.c(_179_),
.x(_180_)
);
sky130_fd_sc_hd__or4_2 _338_ (
.a(\prescale_reg[6] ),
.b(\prescale_reg[5] ),
.c(_178_),
.d(_180_),
.x(_181_)
);
sky130_fd_sc_hd__or2_2 _339_ (
.a(\prescale_reg[8] ),
.b(_181_),
.x(_182_)
);
sky130_fd_sc_hd__or2_2 _340_ (
.a(\prescale_reg[9] ),
.b(_182_),
.x(_183_)
);
sky130_fd_sc_hd__or3_2 _341_ (
.a(\prescale_reg[11] ),
.b(\prescale_reg[10] ),
.c(_183_),
.x(_184_)
);
sky130_fd_sc_hd__or2_2 _342_ (
.a(\prescale_reg[12] ),
.b(_184_),
.x(_185_)
);
sky130_fd_sc_hd__or2_2 _343_ (
.a(\prescale_reg[13] ),
.b(_185_),
.x(_186_)
);
sky130_fd_sc_hd__or2_2 _344_ (
.a(\prescale_reg[14] ),
.b(_186_),
.x(_187_)
);
sky130_fd_sc_hd__or2_2 _345_ (
.a(\prescale_reg[15] ),
.b(_187_),
.x(_188_)
);
sky130_fd_sc_hd__or2_2 _346_ (
.a(\prescale_reg[16] ),
.b(_188_),
.x(_189_)
);
sky130_fd_sc_hd__or2_2 _347_ (
.a(\prescale_reg[17] ),
.b(_189_),
.x(_190_)
);
sky130_fd_sc_hd__or2_2 _348_ (
.a(\prescale_reg[18] ),
.b(_190_),
.x(_191_)
);
sky130_fd_sc_hd__buf_1 _349_ (
.a(_191_),
.x(_192_)
);
sky130_fd_sc_hd__or3_2 _350_ (
.a(_174_),
.b(_177_),
.c(_192_),
.x(_193_)
);
sky130_fd_sc_hd__inv_2 _351_ (
.a(_193_),
.y(_194_)
);
sky130_fd_sc_hd__buf_1 _352_ (
.a(_194_),
.x(_195_)
);
sky130_fd_sc_hd__buf_1 _353_ (
.a(_193_),
.x(_196_)
);
sky130_fd_sc_hd__inv_2 _354_ (
.a(rst),
.y(_197_)
);
sky130_fd_sc_hd__buf_1 _355_ (
.a(_197_),
.x(_198_)
);
sky130_fd_sc_hd__buf_1 _356_ (
.a(_198_),
.x(_199_)
);
sky130_fd_sc_hd__buf_1 _357_ (
.a(_199_),
.x(_200_)
);
sky130_fd_sc_hd__o221a_2 _358_ (
.a1(\m_axis_tdata_reg[6] ),
.a2(_195_),
.b1(\data_reg[6] ),
.b2(_196_),
.c1(_200_),
.x(_172_)
);
sky130_fd_sc_hd__o221a_2 _359_ (
.a1(\m_axis_tdata_reg[5] ),
.a2(_195_),
.b1(\data_reg[5] ),
.b2(_196_),
.c1(_200_),
.x(_171_)
);
sky130_fd_sc_hd__o221a_2 _360_ (
.a1(\m_axis_tdata_reg[4] ),
.a2(_195_),
.b1(\data_reg[4] ),
.b2(_196_),
.c1(_200_),
.x(_170_)
);
sky130_fd_sc_hd__o221a_2 _361_ (
.a1(\m_axis_tdata_reg[3] ),
.a2(_195_),
.b1(\data_reg[3] ),
.b2(_196_),
.c1(_200_),
.x(_169_)
);
sky130_fd_sc_hd__buf_1 _362_ (
.a(_194_),
.x(_201_)
);
sky130_fd_sc_hd__buf_1 _363_ (
.a(_193_),
.x(_202_)
);
sky130_fd_sc_hd__buf_1 _364_ (
.a(_198_),
.x(_203_)
);
sky130_fd_sc_hd__buf_1 _365_ (
.a(_203_),
.x(_204_)
);
sky130_fd_sc_hd__o221a_2 _366_ (
.a1(\m_axis_tdata_reg[2] ),
.a2(_201_),
.b1(\data_reg[2] ),
.b2(_202_),
.c1(_204_),
.x(_168_)
);
sky130_fd_sc_hd__o221a_2 _367_ (
.a1(\m_axis_tdata_reg[1] ),
.a2(_201_),
.b1(\data_reg[1] ),
.b2(_202_),
.c1(_204_),
.x(_167_)
);
sky130_fd_sc_hd__o221a_2 _368_ (
.a1(\m_axis_tdata_reg[0] ),
.a2(_201_),
.b1(\data_reg[0] ),
.b2(_202_),
.c1(_204_),
.x(_166_)
);
sky130_fd_sc_hd__buf_1 _369_ (
.a(_174_),
.x(_205_)
);
sky130_fd_sc_hd__buf_1 _370_ (
.a(_205_),
.x(_206_)
);
sky130_fd_sc_hd__or2_2 _371_ (
.a(\bit_cnt[0] ),
.b(_001_),
.x(_207_)
);
sky130_fd_sc_hd__buf_1 _372_ (
.a(_207_),
.x(_208_)
);
sky130_fd_sc_hd__buf_1 _373_ (
.a(_208_),
.x(_209_)
);
sky130_fd_sc_hd__buf_1 _374_ (
.a(_192_),
.x(_000_)
);
sky130_fd_sc_hd__inv_2 _375_ (
.a(_191_),
.y(_210_)
);
sky130_fd_sc_hd__buf_1 _376_ (
.a(_210_),
.x(_211_)
);
sky130_fd_sc_hd__inv_2 _377_ (
.a(_207_),
.y(_212_)
);
sky130_fd_sc_hd__buf_1 _378_ (
.a(_212_),
.x(_129_)
);
sky130_fd_sc_hd__a21o_2 _379_ (
.a1(_211_),
.a2(_129_),
.b1(busy),
.x(_213_)
);
sky130_fd_sc_hd__o311a_2 _380_ (
.a1(_206_),
.a2(_209_),
.a3(_000_),
.b1(_199_),
.c1(_213_),
.x(_165_)
);
sky130_fd_sc_hd__o21ai_2 _381_ (
.a1(\bit_cnt[2] ),
.a2(\bit_cnt[1] ),
.b1(\bit_cnt[3] ),
.y(_214_)
);
sky130_fd_sc_hd__inv_2 _382_ (
.a(_214_),
.y(_108_)
);
sky130_fd_sc_hd__a21oi_2 _383_ (
.a1(_174_),
.a2(_175_),
.b1(_001_),
.y(_215_)
);
sky130_fd_sc_hd__or4_2 _384_ (
.a(rst),
.b(_108_),
.c(_215_),
.d(_192_),
.x(_216_)
);
sky130_fd_sc_hd__buf_1 _385_ (
.a(_216_),
.x(_217_)
);
sky130_fd_sc_hd__inv_2 _386_ (
.a(_216_),
.y(_218_)
);
sky130_fd_sc_hd__buf_1 _387_ (
.a(_218_),
.x(_219_)
);
sky130_fd_sc_hd__a22o_2 _388_ (
.a1(\data_reg[7] ),
.a2(_217_),
.b1(rxd_reg),
.b2(_219_),
.x(_164_)
);
sky130_fd_sc_hd__a32o_2 _389_ (
.a1(\data_reg[7] ),
.a2(_209_),
.a3(_219_),
.b1(\data_reg[6] ),
.b2(_217_),
.x(_163_)
);
sky130_fd_sc_hd__a32o_2 _390_ (
.a1(\data_reg[6] ),
.a2(_209_),
.a3(_219_),
.b1(\data_reg[5] ),
.b2(_217_),
.x(_162_)
);
sky130_fd_sc_hd__a32o_2 _391_ (
.a1(\data_reg[5] ),
.a2(_209_),
.a3(_219_),
.b1(\data_reg[4] ),
.b2(_217_),
.x(_161_)
);
sky130_fd_sc_hd__buf_1 _392_ (
.a(_208_),
.x(_220_)
);
sky130_fd_sc_hd__buf_1 _393_ (
.a(_218_),
.x(_221_)
);
sky130_fd_sc_hd__buf_1 _394_ (
.a(_216_),
.x(_222_)
);
sky130_fd_sc_hd__a32o_2 _395_ (
.a1(\data_reg[4] ),
.a2(_220_),
.a3(_221_),
.b1(\data_reg[3] ),
.b2(_222_),
.x(_160_)
);
sky130_fd_sc_hd__a32o_2 _396_ (
.a1(\data_reg[3] ),
.a2(_220_),
.a3(_221_),
.b1(\data_reg[2] ),
.b2(_222_),
.x(_159_)
);
sky130_fd_sc_hd__a32o_2 _397_ (
.a1(\data_reg[2] ),
.a2(_220_),
.a3(_221_),
.b1(\data_reg[1] ),
.b2(_222_),
.x(_158_)
);
sky130_fd_sc_hd__a32o_2 _398_ (
.a1(\data_reg[1] ),
.a2(_220_),
.a3(_221_),
.b1(\data_reg[0] ),
.b2(_222_),
.x(_157_)
);
sky130_fd_sc_hd__o21ai_2 _399_ (
.a1(_205_),
.a2(_207_),
.b1(_210_),
.y(_223_)
);
sky130_fd_sc_hd__and2_2 _400_ (
.a(_012_),
.b(_208_),
.x(_224_)
);
sky130_fd_sc_hd__inv_2 _401_ (
.a(\bit_cnt[3] ),
.y(_225_)
);
sky130_fd_sc_hd__o22a_2 _402_ (
.a1(_223_),
.a2(_224_),
.b1(_225_),
.b2(_211_),
.x(_226_)
);
sky130_fd_sc_hd__nor2_2 _403_ (
.a(rst),
.b(_226_),
.y(_156_)
);
sky130_fd_sc_hd__inv_2 _404_ (
.a(\bit_cnt[2] ),
.y(_227_)
);
sky130_fd_sc_hd__inv_2 _405_ (
.a(_223_),
.y(_228_)
);
sky130_fd_sc_hd__o32a_2 _406_ (
.a1(_000_),
.a2(_129_),
.a3(_009_),
.b1(_227_),
.b2(_228_),
.x(_229_)
);
sky130_fd_sc_hd__nor2_2 _407_ (
.a(rst),
.b(_229_),
.y(_155_)
);
sky130_fd_sc_hd__or3b_2 _408_ (
.a(_192_),
.b(_212_),
.c_n(_006_),
.x(_230_)
);
sky130_fd_sc_hd__o211a_2 _409_ (
.a1(\bit_cnt[1] ),
.a2(_228_),
.b1(_199_),
.c1(_230_),
.x(_154_)
);
sky130_fd_sc_hd__o32a_2 _410_ (
.a1(_000_),
.a2(_129_),
.a3(_003_),
.b1(_175_),
.b2(_211_),
.x(_231_)
);
sky130_fd_sc_hd__nor2_2 _411_ (
.a(rst),
.b(_231_),
.y(_153_)
);
sky130_fd_sc_hd__and2_2 _412_ (
.a(_210_),
.b(_215_),
.x(_232_)
);
sky130_fd_sc_hd__buf_1 _413_ (
.a(_232_),
.x(_233_)
);
sky130_fd_sc_hd__buf_1 _414_ (
.a(_233_),
.x(_234_)
);
sky130_fd_sc_hd__and3b_2 _415_ (
.a_n(_234_),
.b(_118_),
.c(_203_),
.x(_235_)
);
sky130_fd_sc_hd__buf_1 _416_ (
.a(_235_),
.x(_152_)
);
sky130_fd_sc_hd__and3b_2 _417_ (
.a_n(_234_),
.b(_117_),
.c(_203_),
.x(_236_)
);
sky130_fd_sc_hd__buf_1 _418_ (
.a(_236_),
.x(_151_)
);
sky130_fd_sc_hd__buf_1 _419_ (
.a(_197_),
.x(_237_)
);
sky130_fd_sc_hd__buf_1 _420_ (
.a(_237_),
.x(_238_)
);
sky130_fd_sc_hd__and3b_2 _421_ (
.a_n(_234_),
.b(_116_),
.c(_238_),
.x(_239_)
);
sky130_fd_sc_hd__buf_1 _422_ (
.a(_239_),
.x(_150_)
);
sky130_fd_sc_hd__and3b_2 _423_ (
.a_n(_234_),
.b(_115_),
.c(_238_),
.x(_240_)
);
sky130_fd_sc_hd__buf_1 _424_ (
.a(_240_),
.x(_149_)
);
sky130_fd_sc_hd__buf_1 _425_ (
.a(_232_),
.x(_241_)
);
sky130_fd_sc_hd__and3b_2 _426_ (
.a_n(_241_),
.b(_114_),
.c(_238_),
.x(_242_)
);
sky130_fd_sc_hd__buf_1 _427_ (
.a(_242_),
.x(_148_)
);
sky130_fd_sc_hd__and3b_2 _428_ (
.a_n(_241_),
.b(_113_),
.c(_238_),
.x(_243_)
);
sky130_fd_sc_hd__buf_1 _429_ (
.a(_243_),
.x(_147_)
);
sky130_fd_sc_hd__buf_1 _430_ (
.a(_237_),
.x(_244_)
);
sky130_fd_sc_hd__and3b_2 _431_ (
.a_n(_241_),
.b(_112_),
.c(_244_),
.x(_245_)
);
sky130_fd_sc_hd__buf_1 _432_ (
.a(_245_),
.x(_146_)
);
sky130_fd_sc_hd__and3b_2 _433_ (
.a_n(_241_),
.b(_111_),
.c(_244_),
.x(_246_)
);
sky130_fd_sc_hd__buf_1 _434_ (
.a(_246_),
.x(_145_)
);
sky130_fd_sc_hd__buf_1 _435_ (
.a(_232_),
.x(_247_)
);
sky130_fd_sc_hd__and3b_2 _436_ (
.a_n(_247_),
.b(_110_),
.c(_244_),
.x(_248_)
);
sky130_fd_sc_hd__buf_1 _437_ (
.a(_248_),
.x(_144_)
);
sky130_fd_sc_hd__and3b_2 _438_ (
.a_n(_247_),
.b(_127_),
.c(_244_),
.x(_249_)
);
sky130_fd_sc_hd__buf_1 _439_ (
.a(_249_),
.x(_143_)
);
sky130_fd_sc_hd__buf_1 _440_ (
.a(_198_),
.x(_250_)
);
sky130_fd_sc_hd__and3b_2 _441_ (
.a_n(_247_),
.b(_126_),
.c(_250_),
.x(_251_)
);
sky130_fd_sc_hd__buf_1 _442_ (
.a(_251_),
.x(_142_)
);
sky130_fd_sc_hd__and3b_2 _443_ (
.a_n(_247_),
.b(_125_),
.c(_250_),
.x(_252_)
);
sky130_fd_sc_hd__buf_1 _444_ (
.a(_252_),
.x(_141_)
);
sky130_fd_sc_hd__buf_1 _445_ (
.a(_232_),
.x(_253_)
);
sky130_fd_sc_hd__and3b_2 _446_ (
.a_n(_253_),
.b(_124_),
.c(_250_),
.x(_254_)
);
sky130_fd_sc_hd__buf_1 _447_ (
.a(_254_),
.x(_140_)
);
sky130_fd_sc_hd__and3b_2 _448_ (
.a_n(_253_),
.b(_123_),
.c(_250_),
.x(_255_)
);
sky130_fd_sc_hd__buf_1 _449_ (
.a(_255_),
.x(_139_)
);
sky130_fd_sc_hd__buf_1 _450_ (
.a(_198_),
.x(_256_)
);
sky130_fd_sc_hd__and3b_2 _451_ (
.a_n(_253_),
.b(_122_),
.c(_256_),
.x(_257_)
);
sky130_fd_sc_hd__buf_1 _452_ (
.a(_257_),
.x(_138_)
);
sky130_fd_sc_hd__and3b_2 _453_ (
.a_n(_253_),
.b(_121_),
.c(_256_),
.x(_258_)
);
sky130_fd_sc_hd__buf_1 _454_ (
.a(_258_),
.x(_137_)
);
sky130_fd_sc_hd__and3b_2 _455_ (
.a_n(_233_),
.b(_120_),
.c(_256_),
.x(_259_)
);
sky130_fd_sc_hd__buf_1 _456_ (
.a(_259_),
.x(_136_)
);
sky130_fd_sc_hd__and3b_2 _457_ (
.a_n(_233_),
.b(_119_),
.c(_256_),
.x(_260_)
);
sky130_fd_sc_hd__buf_1 _458_ (
.a(_260_),
.x(_135_)
);
sky130_fd_sc_hd__and3b_2 _459_ (
.a_n(_233_),
.b(_109_),
.c(_237_),
.x(_261_)
);
sky130_fd_sc_hd__buf_1 _460_ (
.a(_261_),
.x(_134_)
);
sky130_fd_sc_hd__or2_2 _461_ (
.a(rst),
.b(rxd),
.x(_262_)
);
sky130_fd_sc_hd__buf_1 _462_ (
.a(_262_),
.x(_133_)
);
sky130_fd_sc_hd__and2_2 _463_ (
.a(_199_),
.b(_128_),
.x(_263_)
);
sky130_fd_sc_hd__buf_1 _464_ (
.a(_263_),
.x(_132_)
);
sky130_fd_sc_hd__and3_2 _465_ (
.a(_203_),
.b(m_axis_tvalid),
.c(_194_),
.x(_264_)
);
sky130_fd_sc_hd__buf_1 _466_ (
.a(_264_),
.x(_131_)
);
sky130_fd_sc_hd__inv_2 _467_ (
.a(_177_),
.y(_107_)
);
sky130_fd_sc_hd__buf_1 _468_ (
.a(_205_),
.x(_265_)
);
sky130_fd_sc_hd__and4_2 _469_ (
.a(_237_),
.b(_107_),
.c(_265_),
.d(_210_),
.x(_266_)
);
sky130_fd_sc_hd__buf_1 _470_ (
.a(_266_),
.x(_130_)
);
sky130_fd_sc_hd__or2_2 _471_ (
.a(rxd_reg),
.b(\bit_cnt[0] ),
.x(_267_)
);
sky130_fd_sc_hd__buf_1 _472_ (
.a(_267_),
.x(_002_)
);
sky130_fd_sc_hd__or2_2 _473_ (
.a(\bit_cnt[1] ),
.b(\bit_cnt[0] ),
.x(_268_)
);
sky130_fd_sc_hd__inv_2 _474_ (
.a(_268_),
.y(_269_)
);
sky130_fd_sc_hd__a21oi_2 _475_ (
.a1(\bit_cnt[1] ),
.a2(\bit_cnt[0] ),
.b1(_269_),
.y(_004_)
);
sky130_fd_sc_hd__or2_2 _476_ (
.a(rxd_reg),
.b(_004_),
.x(_270_)
);
sky130_fd_sc_hd__buf_1 _477_ (
.a(_270_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _478_ (
.a1(\bit_cnt[2] ),
.a2(_268_),
.b1(_227_),
.b2(_269_),
.x(_007_)
);
sky130_fd_sc_hd__or2_2 _479_ (
.a(rxd_reg),
.b(_007_),
.x(_271_)
);
sky130_fd_sc_hd__buf_1 _480_ (
.a(_271_),
.x(_008_)
);
sky130_fd_sc_hd__o21a_2 _481_ (
.a1(\bit_cnt[2] ),
.a2(_268_),
.b1(\bit_cnt[3] ),
.x(_272_)
);
sky130_fd_sc_hd__nor2_2 _482_ (
.a(_212_),
.b(_272_),
.y(_010_)
);
sky130_fd_sc_hd__or2_2 _483_ (
.a(rxd_reg),
.b(_010_),
.x(_273_)
);
sky130_fd_sc_hd__buf_1 _484_ (
.a(_273_),
.x(_011_)
);
sky130_fd_sc_hd__inv_2 _485_ (
.a(\prescale_reg[0] ),
.y(_013_)
);
sky130_fd_sc_hd__or2_2 _486_ (
.a(_174_),
.b(_214_),
.x(_274_)
);
sky130_fd_sc_hd__buf_1 _487_ (
.a(_274_),
.x(_014_)
);
sky130_fd_sc_hd__and2_2 _488_ (
.a(_208_),
.b(_014_),
.x(_275_)
);
sky130_fd_sc_hd__buf_1 _489_ (
.a(_275_),
.x(_015_)
);
sky130_fd_sc_hd__a21bo_2 _490_ (
.a1(\prescale_reg[1] ),
.a2(\prescale_reg[0] ),
.b1_n(_179_),
.x(_016_)
);
sky130_fd_sc_hd__buf_1 _491_ (
.a(_014_),
.x(_276_)
);
sky130_fd_sc_hd__buf_1 _492_ (
.a(_276_),
.x(_017_)
);
sky130_fd_sc_hd__or2_2 _493_ (
.a(\prescale_reg[2] ),
.b(_179_),
.x(_277_)
);
sky130_fd_sc_hd__a21bo_2 _494_ (
.a1(\prescale_reg[2] ),
.a2(_179_),
.b1_n(_277_),
.x(_018_)
);
sky130_fd_sc_hd__inv_2 _495_ (
.a(prescale[0]),
.y(_019_)
);
sky130_fd_sc_hd__a21bo_2 _496_ (
.a1(\prescale_reg[3] ),
.a2(_277_),
.b1_n(_180_),
.x(_021_)
);
sky130_fd_sc_hd__nor2_2 _497_ (
.a(rxd_reg),
.b(prescale[0]),
.y(_022_)
);
sky130_fd_sc_hd__or2_2 _498_ (
.a(prescale[0]),
.b(prescale[1]),
.x(_278_)
);
sky130_fd_sc_hd__a21bo_2 _499_ (
.a1(prescale[0]),
.a2(prescale[1]),
.b1_n(_278_),
.x(_279_)
);
sky130_fd_sc_hd__buf_1 _500_ (
.a(_279_),
.x(_024_)
);
sky130_fd_sc_hd__or2_2 _501_ (
.a(\prescale_reg[4] ),
.b(_180_),
.x(_280_)
);
sky130_fd_sc_hd__a21bo_2 _502_ (
.a1(\prescale_reg[4] ),
.a2(_180_),
.b1_n(_280_),
.x(_026_)
);
sky130_fd_sc_hd__and2_2 _503_ (
.a(_206_),
.b(_024_),
.x(_281_)
);
sky130_fd_sc_hd__buf_1 _504_ (
.a(_281_),
.x(_027_)
);
sky130_fd_sc_hd__or2_2 _505_ (
.a(prescale[2]),
.b(_278_),
.x(_282_)
);
sky130_fd_sc_hd__a21bo_2 _506_ (
.a1(prescale[2]),
.a2(_278_),
.b1_n(_282_),
.x(_283_)
);
sky130_fd_sc_hd__buf_1 _507_ (
.a(_283_),
.x(_029_)
);
sky130_fd_sc_hd__or2_2 _508_ (
.a(\prescale_reg[5] ),
.b(_280_),
.x(_284_)
);
sky130_fd_sc_hd__a21bo_2 _509_ (
.a1(\prescale_reg[5] ),
.a2(_280_),
.b1_n(_284_),
.x(_031_)
);
sky130_fd_sc_hd__and2_2 _510_ (
.a(_206_),
.b(_029_),
.x(_285_)
);
sky130_fd_sc_hd__buf_1 _511_ (
.a(_285_),
.x(_032_)
);
sky130_fd_sc_hd__or2_2 _512_ (
.a(prescale[3]),
.b(_282_),
.x(_286_)
);
sky130_fd_sc_hd__a21bo_2 _513_ (
.a1(prescale[3]),
.a2(_282_),
.b1_n(_286_),
.x(_287_)
);
sky130_fd_sc_hd__buf_1 _514_ (
.a(_287_),
.x(_034_)
);
sky130_fd_sc_hd__or3_2 _515_ (
.a(\prescale_reg[6] ),
.b(\prescale_reg[5] ),
.c(_280_),
.x(_288_)
);
sky130_fd_sc_hd__a21bo_2 _516_ (
.a1(\prescale_reg[6] ),
.a2(_284_),
.b1_n(_288_),
.x(_036_)
);
sky130_fd_sc_hd__and2_2 _517_ (
.a(_206_),
.b(_034_),
.x(_289_)
);
sky130_fd_sc_hd__buf_1 _518_ (
.a(_289_),
.x(_037_)
);
sky130_fd_sc_hd__or2_2 _519_ (
.a(prescale[4]),
.b(_286_),
.x(_290_)
);
sky130_fd_sc_hd__a21bo_2 _520_ (
.a1(prescale[4]),
.a2(_286_),
.b1_n(_290_),
.x(_291_)
);
sky130_fd_sc_hd__buf_1 _521_ (
.a(_291_),
.x(_039_)
);
sky130_fd_sc_hd__a21bo_2 _522_ (
.a1(\prescale_reg[7] ),
.a2(_288_),
.b1_n(_181_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _523_ (
.a(_265_),
.x(_292_)
);
sky130_fd_sc_hd__and2_2 _524_ (
.a(_292_),
.b(_039_),
.x(_293_)
);
sky130_fd_sc_hd__buf_1 _525_ (
.a(_293_),
.x(_042_)
);
sky130_fd_sc_hd__or3_2 _526_ (
.a(prescale[4]),
.b(prescale[5]),
.c(_286_),
.x(_294_)
);
sky130_fd_sc_hd__a21bo_2 _527_ (
.a1(prescale[5]),
.a2(_290_),
.b1_n(_294_),
.x(_295_)
);
sky130_fd_sc_hd__buf_1 _528_ (
.a(_295_),
.x(_044_)
);
sky130_fd_sc_hd__a21bo_2 _529_ (
.a1(\prescale_reg[8] ),
.a2(_181_),
.b1_n(_182_),
.x(_046_)
);
sky130_fd_sc_hd__and2_2 _530_ (
.a(_292_),
.b(_044_),
.x(_296_)
);
sky130_fd_sc_hd__buf_1 _531_ (
.a(_296_),
.x(_047_)
);
sky130_fd_sc_hd__or2_2 _532_ (
.a(prescale[6]),
.b(_294_),
.x(_297_)
);
sky130_fd_sc_hd__a21bo_2 _533_ (
.a1(prescale[6]),
.a2(_294_),
.b1_n(_297_),
.x(_298_)
);
sky130_fd_sc_hd__buf_1 _534_ (
.a(_298_),
.x(_049_)
);
sky130_fd_sc_hd__a21bo_2 _535_ (
.a1(\prescale_reg[9] ),
.a2(_182_),
.b1_n(_183_),
.x(_051_)
);
sky130_fd_sc_hd__and2_2 _536_ (
.a(_292_),
.b(_049_),
.x(_299_)
);
sky130_fd_sc_hd__buf_1 _537_ (
.a(_299_),
.x(_052_)
);
sky130_fd_sc_hd__or3_2 _538_ (
.a(prescale[6]),
.b(prescale[7]),
.c(_294_),
.x(_300_)
);
sky130_fd_sc_hd__buf_1 _539_ (
.a(_300_),
.x(_301_)
);
sky130_fd_sc_hd__a21bo_2 _540_ (
.a1(prescale[7]),
.a2(_297_),
.b1_n(_301_),
.x(_302_)
);
sky130_fd_sc_hd__buf_1 _541_ (
.a(_302_),
.x(_054_)
);
sky130_fd_sc_hd__or2_2 _542_ (
.a(\prescale_reg[10] ),
.b(_183_),
.x(_303_)
);
sky130_fd_sc_hd__a21bo_2 _543_ (
.a1(\prescale_reg[10] ),
.a2(_183_),
.b1_n(_303_),
.x(_056_)
);
sky130_fd_sc_hd__and2_2 _544_ (
.a(_292_),
.b(_054_),
.x(_304_)
);
sky130_fd_sc_hd__buf_1 _545_ (
.a(_304_),
.x(_057_)
);
sky130_fd_sc_hd__nor2_2 _546_ (
.a(prescale[8]),
.b(_301_),
.y(_305_)
);
sky130_fd_sc_hd__a21oi_2 _547_ (
.a1(prescale[8]),
.a2(_301_),
.b1(_305_),
.y(_306_)
);
sky130_fd_sc_hd__inv_2 _548_ (
.a(_306_),
.y(_059_)
);
sky130_fd_sc_hd__a21bo_2 _549_ (
.a1(\prescale_reg[11] ),
.a2(_303_),
.b1_n(_184_),
.x(_061_)
);
sky130_fd_sc_hd__nor2_2 _550_ (
.a(rxd_reg),
.b(_306_),
.y(_062_)
);
sky130_fd_sc_hd__inv_2 _551_ (
.a(prescale[9]),
.y(_307_)
);
sky130_fd_sc_hd__or3_2 _552_ (
.a(prescale[8]),
.b(prescale[9]),
.c(_301_),
.x(_308_)
);
sky130_fd_sc_hd__o21ai_2 _553_ (
.a1(_307_),
.a2(_305_),
.b1(_308_),
.y(_064_)
);
sky130_fd_sc_hd__a21bo_2 _554_ (
.a1(\prescale_reg[12] ),
.a2(_184_),
.b1_n(_185_),
.x(_066_)
);
sky130_fd_sc_hd__buf_1 _555_ (
.a(_205_),
.x(_309_)
);
sky130_fd_sc_hd__and2_2 _556_ (
.a(_309_),
.b(_064_),
.x(_310_)
);
sky130_fd_sc_hd__buf_1 _557_ (
.a(_310_),
.x(_067_)
);
sky130_fd_sc_hd__or2_2 _558_ (
.a(prescale[10]),
.b(_308_),
.x(_311_)
);
sky130_fd_sc_hd__a21bo_2 _559_ (
.a1(prescale[10]),
.a2(_308_),
.b1_n(_311_),
.x(_312_)
);
sky130_fd_sc_hd__buf_1 _560_ (
.a(_312_),
.x(_069_)
);
sky130_fd_sc_hd__a21bo_2 _561_ (
.a1(\prescale_reg[13] ),
.a2(_185_),
.b1_n(_186_),
.x(_071_)
);
sky130_fd_sc_hd__and2_2 _562_ (
.a(_309_),
.b(_069_),
.x(_313_)
);
sky130_fd_sc_hd__buf_1 _563_ (
.a(_313_),
.x(_072_)
);
sky130_fd_sc_hd__or2_2 _564_ (
.a(prescale[10]),
.b(prescale[11]),
.x(_314_)
);
sky130_fd_sc_hd__or4_2 _565_ (
.a(prescale[8]),
.b(prescale[9]),
.c(_314_),
.d(_300_),
.x(_315_)
);
sky130_fd_sc_hd__a21bo_2 _566_ (
.a1(prescale[11]),
.a2(_311_),
.b1_n(_315_),
.x(_316_)
);
sky130_fd_sc_hd__buf_1 _567_ (
.a(_316_),
.x(_074_)
);
sky130_fd_sc_hd__a21bo_2 _568_ (
.a1(\prescale_reg[14] ),
.a2(_186_),
.b1_n(_187_),
.x(_076_)
);
sky130_fd_sc_hd__and2_2 _569_ (
.a(_309_),
.b(_074_),
.x(_317_)
);
sky130_fd_sc_hd__buf_1 _570_ (
.a(_317_),
.x(_077_)
);
sky130_fd_sc_hd__or2_2 _571_ (
.a(prescale[12]),
.b(_315_),
.x(_318_)
);
sky130_fd_sc_hd__a21bo_2 _572_ (
.a1(prescale[12]),
.a2(_315_),
.b1_n(_318_),
.x(_319_)
);
sky130_fd_sc_hd__buf_1 _573_ (
.a(_319_),
.x(_079_)
);
sky130_fd_sc_hd__a21bo_2 _574_ (
.a1(\prescale_reg[15] ),
.a2(_187_),
.b1_n(_188_),
.x(_081_)
);
sky130_fd_sc_hd__and2_2 _575_ (
.a(_309_),
.b(_079_),
.x(_320_)
);
sky130_fd_sc_hd__buf_1 _576_ (
.a(_320_),
.x(_082_)
);
sky130_fd_sc_hd__or3_2 _577_ (
.a(prescale[12]),
.b(prescale[13]),
.c(_315_),
.x(_321_)
);
sky130_fd_sc_hd__a21bo_2 _578_ (
.a1(prescale[13]),
.a2(_318_),
.b1_n(_321_),
.x(_322_)
);
sky130_fd_sc_hd__buf_1 _579_ (
.a(_322_),
.x(_084_)
);
sky130_fd_sc_hd__a21bo_2 _580_ (
.a1(\prescale_reg[16] ),
.a2(_188_),
.b1_n(_189_),
.x(_086_)
);
sky130_fd_sc_hd__and2_2 _581_ (
.a(_265_),
.b(_084_),
.x(_323_)
);
sky130_fd_sc_hd__buf_1 _582_ (
.a(_323_),
.x(_087_)
);
sky130_fd_sc_hd__or2_2 _583_ (
.a(prescale[14]),
.b(_321_),
.x(_324_)
);
sky130_fd_sc_hd__a21bo_2 _584_ (
.a1(prescale[14]),
.a2(_321_),
.b1_n(_324_),
.x(_325_)
);
sky130_fd_sc_hd__buf_1 _585_ (
.a(_325_),
.x(_089_)
);
sky130_fd_sc_hd__a21bo_2 _586_ (
.a1(\prescale_reg[17] ),
.a2(_189_),
.b1_n(_190_),
.x(_091_)
);
sky130_fd_sc_hd__and2_2 _587_ (
.a(_265_),
.b(_089_),
.x(_326_)
);
sky130_fd_sc_hd__buf_1 _588_ (
.a(_326_),
.x(_092_)
);
sky130_fd_sc_hd__nor2_2 _589_ (
.a(prescale[15]),
.b(_324_),
.y(_099_)
);
sky130_fd_sc_hd__a21oi_2 _590_ (
.a1(prescale[15]),
.a2(_324_),
.b1(_099_),
.y(_327_)
);
sky130_fd_sc_hd__inv_2 _591_ (
.a(_327_),
.y(_094_)
);
sky130_fd_sc_hd__a21o_2 _592_ (
.a1(\prescale_reg[18] ),
.a2(_190_),
.b1(_211_),
.x(_096_)
);
sky130_fd_sc_hd__nor2_2 _593_ (
.a(rxd_reg),
.b(_327_),
.y(_097_)
);
sky130_fd_sc_hd__and2b_2 _594_ (
.a_n(m_axis_tready),
.b(m_axis_tvalid),
.x(_328_)
);
sky130_fd_sc_hd__buf_1 _595_ (
.a(_328_),
.x(_101_)
);
sky130_fd_sc_hd__or2_2 _596_ (
.a(rxd_reg),
.b(_101_),
.x(_329_)
);
sky130_fd_sc_hd__buf_1 _597_ (
.a(_329_),
.x(_102_)
);
sky130_fd_sc_hd__o221a_2 _598_ (
.a1(\m_axis_tdata_reg[7] ),
.a2(_201_),
.b1(\data_reg[7] ),
.b2(_202_),
.c1(_204_),
.x(_173_)
);
sky130_fd_sc_hd__buf_2 _599_ (
.a(busy),
.x(busy_reg)
);
sky130_fd_sc_hd__buf_2 _600_ (
.a(frame_error),
.x(frame_error_reg)
);
sky130_fd_sc_hd__buf_2 _601_ (
.a(\m_axis_tdata_reg[0] ),
.x(m_axis_tdata[0])
);
sky130_fd_sc_hd__buf_2 _602_ (
.a(\m_axis_tdata_reg[1] ),
.x(m_axis_tdata[1])
);
sky130_fd_sc_hd__buf_2 _603_ (
.a(\m_axis_tdata_reg[2] ),
.x(m_axis_tdata[2])
);
sky130_fd_sc_hd__buf_2 _604_ (
.a(\m_axis_tdata_reg[3] ),
.x(m_axis_tdata[3])
);
sky130_fd_sc_hd__buf_2 _605_ (
.a(\m_axis_tdata_reg[4] ),
.x(m_axis_tdata[4])
);
sky130_fd_sc_hd__buf_2 _606_ (
.a(\m_axis_tdata_reg[5] ),
.x(m_axis_tdata[5])
);
sky130_fd_sc_hd__buf_2 _607_ (
.a(\m_axis_tdata_reg[6] ),
.x(m_axis_tdata[6])
);
sky130_fd_sc_hd__buf_2 _608_ (
.a(\m_axis_tdata_reg[7] ),
.x(m_axis_tdata[7])
);
sky130_fd_sc_hd__buf_2 _609_ (
.a(m_axis_tvalid),
.x(m_axis_tvalid_reg)
);
sky130_fd_sc_hd__buf_2 _610_ (
.a(overrun_error),
.x(overrun_error_reg)
);
sky130_fd_sc_hd__mux2_1 _611_ (
.a0(_010_),
.a1(_011_),
.s(_108_),
.x(_012_)
);
sky130_fd_sc_hd__mux2_1 _612_ (
.a0(_049_),
.a1(_052_),
.s(_108_),
.x(_053_)
);
sky130_fd_sc_hd__mux2_1 _613_ (
.a0(_053_),
.a1(_054_),
.s(_129_),
.x(_055_)
);
sky130_fd_sc_hd__mux2_1 _614_ (
.a0(_055_),
.a1(_051_),
.s(_000_),
.x(_127_)
);
sky130_fd_sc_hd__mux2_1 _615_ (
.a0(_007_),
.a1(_008_),
.s(_108_),
.x(_009_)
);
sky130_fd_sc_hd__mux2_1 _616_ (
.a0(_004_),
.a1(_005_),
.s(_108_),
.x(_006_)
);
sky130_fd_sc_hd__mux2_1 _617_ (
.a0(\bit_cnt[0] ),
.a1(_002_),
.s(_108_),
.x(_003_)
);
sky130_fd_sc_hd__mux2_1 _618_ (
.a0(_101_),
.a1(_102_),
.s(_107_),
.x(_103_)
);
sky130_fd_sc_hd__mux2_1 _619_ (
.a0(_103_),
.a1(_101_),
.s(_001_),
.x(_104_)
);
sky130_fd_sc_hd__mux2_1 _620_ (
.a0(_104_),
.a1(_101_),
.s(_108_),
.x(_105_)
);
sky130_fd_sc_hd__mux2_1 _621_ (
.a0(_105_),
.a1(_101_),
.s(_129_),
.x(_106_)
);
sky130_fd_sc_hd__mux2_1 _622_ (
.a0(_106_),
.a1(_101_),
.s(_000_),
.x(_128_)
);
sky130_fd_sc_hd__mux2_1 _623_ (
.a0(_094_),
.a1(_097_),
.s(_108_),
.x(_098_)
);
sky130_fd_sc_hd__mux2_1 _624_ (
.a0(_098_),
.a1(_099_),
.s(_129_),
.x(_100_)
);
sky130_fd_sc_hd__mux2_1 _625_ (
.a0(_100_),
.a1(_096_),
.s(_000_),
.x(_118_)
);
sky130_fd_sc_hd__mux2_1 _626_ (
.a0(_089_),
.a1(_092_),
.s(_108_),
.x(_093_)
);
sky130_fd_sc_hd__mux2_1 _627_ (
.a0(_093_),
.a1(_094_),
.s(_129_),
.x(_095_)
);
sky130_fd_sc_hd__mux2_1 _628_ (
.a0(_095_),
.a1(_091_),
.s(_000_),
.x(_117_)
);
sky130_fd_sc_hd__mux2_1 _629_ (
.a0(_084_),
.a1(_087_),
.s(_108_),
.x(_088_)
);
sky130_fd_sc_hd__mux2_1 _630_ (
.a0(_088_),
.a1(_089_),
.s(_129_),
.x(_090_)
);
sky130_fd_sc_hd__mux2_1 _631_ (
.a0(_090_),
.a1(_086_),
.s(_000_),
.x(_116_)
);
sky130_fd_sc_hd__mux2_1 _632_ (
.a0(_079_),
.a1(_082_),
.s(_108_),
.x(_083_)
);
sky130_fd_sc_hd__mux2_1 _633_ (
.a0(_083_),
.a1(_084_),
.s(_129_),
.x(_085_)
);
sky130_fd_sc_hd__mux2_1 _634_ (
.a0(_085_),
.a1(_081_),
.s(_000_),
.x(_115_)
);
sky130_fd_sc_hd__mux2_1 _635_ (
.a0(_074_),
.a1(_077_),
.s(_108_),
.x(_078_)
);
sky130_fd_sc_hd__mux2_1 _636_ (
.a0(_078_),
.a1(_079_),
.s(_129_),
.x(_080_)
);
sky130_fd_sc_hd__mux2_1 _637_ (
.a0(_080_),
.a1(_076_),
.s(_000_),
.x(_114_)
);
sky130_fd_sc_hd__mux2_1 _638_ (
.a0(_069_),
.a1(_072_),
.s(_108_),
.x(_073_)
);
sky130_fd_sc_hd__mux2_1 _639_ (
.a0(_073_),
.a1(_074_),
.s(_129_),
.x(_075_)
);
sky130_fd_sc_hd__mux2_1 _640_ (
.a0(_075_),
.a1(_071_),
.s(_000_),
.x(_113_)
);
sky130_fd_sc_hd__mux2_1 _641_ (
.a0(_064_),
.a1(_067_),
.s(_108_),
.x(_068_)
);
sky130_fd_sc_hd__mux2_1 _642_ (
.a0(_068_),
.a1(_069_),
.s(_129_),
.x(_070_)
);
sky130_fd_sc_hd__mux2_1 _643_ (
.a0(_070_),
.a1(_066_),
.s(_000_),
.x(_112_)
);
sky130_fd_sc_hd__mux2_1 _644_ (
.a0(_059_),
.a1(_062_),
.s(_108_),
.x(_063_)
);
sky130_fd_sc_hd__mux2_1 _645_ (
.a0(_063_),
.a1(_064_),
.s(_129_),
.x(_065_)
);
sky130_fd_sc_hd__mux2_1 _646_ (
.a0(_065_),
.a1(_061_),
.s(_000_),
.x(_111_)
);
sky130_fd_sc_hd__mux2_1 _647_ (
.a0(_054_),
.a1(_057_),
.s(_108_),
.x(_058_)
);
sky130_fd_sc_hd__mux2_1 _648_ (
.a0(_058_),
.a1(_059_),
.s(_129_),
.x(_060_)
);
sky130_fd_sc_hd__mux2_1 _649_ (
.a0(_060_),
.a1(_056_),
.s(_000_),
.x(_110_)
);
sky130_fd_sc_hd__mux2_1 _650_ (
.a0(_044_),
.a1(_047_),
.s(_108_),
.x(_048_)
);
sky130_fd_sc_hd__mux2_1 _651_ (
.a0(_048_),
.a1(_049_),
.s(_129_),
.x(_050_)
);
sky130_fd_sc_hd__mux2_1 _652_ (
.a0(_050_),
.a1(_046_),
.s(_000_),
.x(_126_)
);
sky130_fd_sc_hd__mux2_1 _653_ (
.a0(_039_),
.a1(_042_),
.s(_108_),
.x(_043_)
);
sky130_fd_sc_hd__mux2_1 _654_ (
.a0(_043_),
.a1(_044_),
.s(_129_),
.x(_045_)
);
sky130_fd_sc_hd__mux2_1 _655_ (
.a0(_045_),
.a1(_041_),
.s(_000_),
.x(_125_)
);
sky130_fd_sc_hd__mux2_1 _656_ (
.a0(_034_),
.a1(_037_),
.s(_108_),
.x(_038_)
);
sky130_fd_sc_hd__mux2_1 _657_ (
.a0(_038_),
.a1(_039_),
.s(_129_),
.x(_040_)
);
sky130_fd_sc_hd__mux2_1 _658_ (
.a0(_040_),
.a1(_036_),
.s(_000_),
.x(_124_)
);
sky130_fd_sc_hd__mux2_1 _659_ (
.a0(_029_),
.a1(_032_),
.s(_108_),
.x(_033_)
);
sky130_fd_sc_hd__mux2_1 _660_ (
.a0(_033_),
.a1(_034_),
.s(_129_),
.x(_035_)
);
sky130_fd_sc_hd__mux2_1 _661_ (
.a0(_035_),
.a1(_031_),
.s(_000_),
.x(_123_)
);
sky130_fd_sc_hd__mux2_1 _662_ (
.a0(_024_),
.a1(_027_),
.s(_108_),
.x(_028_)
);
sky130_fd_sc_hd__mux2_1 _663_ (
.a0(_028_),
.a1(_029_),
.s(_129_),
.x(_030_)
);
sky130_fd_sc_hd__mux2_1 _664_ (
.a0(_030_),
.a1(_026_),
.s(_000_),
.x(_122_)
);
sky130_fd_sc_hd__mux2_1 _665_ (
.a0(_019_),
.a1(_022_),
.s(_108_),
.x(_023_)
);
sky130_fd_sc_hd__mux2_1 _666_ (
.a0(_023_),
.a1(_024_),
.s(_129_),
.x(_025_)
);
sky130_fd_sc_hd__mux2_1 _667_ (
.a0(_025_),
.a1(_021_),
.s(_000_),
.x(_121_)
);
sky130_fd_sc_hd__mux2_1 _668_ (
.a0(_014_),
.a1(_019_),
.s(_129_),
.x(_020_)
);
sky130_fd_sc_hd__mux2_1 _669_ (
.a0(_020_),
.a1(_018_),
.s(_000_),
.x(_120_)
);
sky130_fd_sc_hd__mux2_1 _670_ (
.a0(_017_),
.a1(_016_),
.s(_000_),
.x(_119_)
);
sky130_fd_sc_hd__mux2_1 _671_ (
.a0(_015_),
.a1(_013_),
.s(_000_),
.x(_109_)
);
sky130_fd_sc_hd__dfxtp_2 _672_ (
.clk(clk),
.d(_130_),
.q(frame_error)
);
sky130_fd_sc_hd__dfxtp_2 _673_ (
.clk(clk),
.d(_131_),
.q(overrun_error)
);
sky130_fd_sc_hd__dfxtp_2 _674_ (
.clk(clk),
.d(_132_),
.q(m_axis_tvalid)
);
sky130_fd_sc_hd__dfxtp_2 _675_ (
.clk(clk),
.d(_133_),
.q(rxd_reg)
);
sky130_fd_sc_hd__dfxtp_2 _676_ (
.clk(clk),
.d(_134_),
.q(\prescale_reg[0] )
);
sky130_fd_sc_hd__dfxtp_2 _677_ (
.clk(clk),
.d(_135_),
.q(\prescale_reg[1] )
);
sky130_fd_sc_hd__dfxtp_2 _678_ (
.clk(clk),
.d(_136_),
.q(\prescale_reg[2] )
);
sky130_fd_sc_hd__dfxtp_2 _679_ (
.clk(clk),
.d(_137_),
.q(\prescale_reg[3] )
);
sky130_fd_sc_hd__dfxtp_2 _680_ (
.clk(clk),
.d(_138_),
.q(\prescale_reg[4] )
);
sky130_fd_sc_hd__dfxtp_2 _681_ (
.clk(clk),
.d(_139_),
.q(\prescale_reg[5] )
);
sky130_fd_sc_hd__dfxtp_2 _682_ (
.clk(clk),
.d(_140_),
.q(\prescale_reg[6] )
);
sky130_fd_sc_hd__dfxtp_2 _683_ (
.clk(clk),
.d(_141_),
.q(\prescale_reg[7] )
);
sky130_fd_sc_hd__dfxtp_2 _684_ (
.clk(clk),
.d(_142_),
.q(\prescale_reg[8] )
);
sky130_fd_sc_hd__dfxtp_2 _685_ (
.clk(clk),
.d(_143_),
.q(\prescale_reg[9] )
);
sky130_fd_sc_hd__dfxtp_2 _686_ (
.clk(clk),
.d(_144_),
.q(\prescale_reg[10] )
);
sky130_fd_sc_hd__dfxtp_2 _687_ (
.clk(clk),
.d(_145_),
.q(\prescale_reg[11] )
);
sky130_fd_sc_hd__dfxtp_2 _688_ (
.clk(clk),
.d(_146_),
.q(\prescale_reg[12] )
);
sky130_fd_sc_hd__dfxtp_2 _689_ (
.clk(clk),
.d(_147_),
.q(\prescale_reg[13] )
);
sky130_fd_sc_hd__dfxtp_2 _690_ (
.clk(clk),
.d(_148_),
.q(\prescale_reg[14] )
);
sky130_fd_sc_hd__dfxtp_2 _691_ (
.clk(clk),
.d(_149_),
.q(\prescale_reg[15] )
);
sky130_fd_sc_hd__dfxtp_2 _692_ (
.clk(clk),
.d(_150_),
.q(\prescale_reg[16] )
);
sky130_fd_sc_hd__dfxtp_2 _693_ (
.clk(clk),
.d(_151_),
.q(\prescale_reg[17] )
);
sky130_fd_sc_hd__dfxtp_2 _694_ (
.clk(clk),
.d(_152_),
.q(\prescale_reg[18] )
);
sky130_fd_sc_hd__dfxtp_2 _695_ (
.clk(clk),
.d(_153_),
.q(\bit_cnt[0] )
);
sky130_fd_sc_hd__dfxtp_2 _696_ (
.clk(clk),
.d(_154_),
.q(\bit_cnt[1] )
);
sky130_fd_sc_hd__dfxtp_2 _697_ (
.clk(clk),
.d(_155_),
.q(\bit_cnt[2] )
);
sky130_fd_sc_hd__dfxtp_2 _698_ (
.clk(clk),
.d(_156_),
.q(\bit_cnt[3] )
);
sky130_fd_sc_hd__dfxtp_2 _699_ (
.clk(clk),
.d(_157_),
.q(\data_reg[0] )
);
sky130_fd_sc_hd__dfxtp_2 _700_ (
.clk(clk),
.d(_158_),
.q(\data_reg[1] )
);
sky130_fd_sc_hd__dfxtp_2 _701_ (
.clk(clk),
.d(_159_),
.q(\data_reg[2] )
);
sky130_fd_sc_hd__dfxtp_2 _702_ (
.clk(clk),
.d(_160_),
.q(\data_reg[3] )
);
sky130_fd_sc_hd__dfxtp_2 _703_ (
.clk(clk),
.d(_161_),
.q(\data_reg[4] )
);
sky130_fd_sc_hd__dfxtp_2 _704_ (
.clk(clk),
.d(_162_),
.q(\data_reg[5] )
);
sky130_fd_sc_hd__dfxtp_2 _705_ (
.clk(clk),
.d(_163_),
.q(\data_reg[6] )
);
sky130_fd_sc_hd__dfxtp_2 _706_ (
.clk(clk),
.d(_164_),
.q(\data_reg[7] )
);
sky130_fd_sc_hd__dfxtp_2 _707_ (
.clk(clk),
.d(_165_),
.q(busy)
);
sky130_fd_sc_hd__dfxtp_2 _708_ (
.clk(clk),
.d(_166_),
.q(\m_axis_tdata_reg[0] )
);
sky130_fd_sc_hd__dfxtp_2 _709_ (
.clk(clk),
.d(_167_),
.q(\m_axis_tdata_reg[1] )
);
sky130_fd_sc_hd__dfxtp_2 _710_ (
.clk(clk),
.d(_168_),
.q(\m_axis_tdata_reg[2] )
);
sky130_fd_sc_hd__dfxtp_2 _711_ (
.clk(clk),
.d(_169_),
.q(\m_axis_tdata_reg[3] )
);
sky130_fd_sc_hd__dfxtp_2 _712_ (
.clk(clk),
.d(_170_),
.q(\m_axis_tdata_reg[4] )
);
sky130_fd_sc_hd__dfxtp_2 _713_ (
.clk(clk),
.d(_171_),
.q(\m_axis_tdata_reg[5] )
);
sky130_fd_sc_hd__dfxtp_2 _714_ (
.clk(clk),
.d(_172_),
.q(\m_axis_tdata_reg[6] )
);
sky130_fd_sc_hd__dfxtp_2 _715_ (
.clk(clk),
.d(_173_),
.q(\m_axis_tdata_reg[7] )
);
endmodule
module \$paramod\uart_to_mem\addr_width=s32'00000000000000000000000000001100 (clk_i, rst_i, rx_i, tx_o, data_req_o, data_addr_o, data_we_o, data_be_o, data_wdata_o, data_rdata_i, data_rvalid_i, data_gnt_i, uart_error);
wire _0000_;
wire _0001_;
wire _0002_;
wire _0003_;
wire _0004_;
wire _0005_;
wire _0006_;
wire _0007_;
wire _0008_;
wire _0009_;
wire _0010_;
wire _0011_;
wire _0012_;
wire _0013_;
wire _0014_;
wire _0015_;
wire _0016_;
wire _0017_;
wire _0018_;
wire _0019_;
wire _0020_;
wire _0021_;
wire _0022_;
wire _0023_;
wire _0024_;
wire _0025_;
wire _0026_;
wire _0027_;
wire _0028_;
wire _0029_;
wire _0030_;
wire _0031_;
wire _0032_;
wire _0033_;
wire _0034_;
wire _0035_;
wire _0036_;
wire _0037_;
wire _0038_;
wire _0039_;
wire _0040_;
wire _0041_;
wire _0042_;
wire _0043_;
wire _0044_;
wire _0045_;
wire _0046_;
wire _0047_;
wire _0048_;
wire _0049_;
wire _0050_;
wire _0051_;
wire _0052_;
wire _0053_;
wire _0054_;
wire _0055_;
wire _0056_;
wire _0057_;
wire _0058_;
wire _0059_;
wire _0060_;
wire _0061_;
wire _0062_;
wire _0063_;
wire _0064_;
wire _0065_;
wire _0066_;
wire _0067_;
wire _0068_;
wire _0069_;
wire _0070_;
wire _0071_;
wire _0072_;
wire _0073_;
wire _0074_;
wire _0075_;
wire _0076_;
wire _0077_;
wire _0078_;
wire _0079_;
wire _0080_;
wire _0081_;
wire _0082_;
wire _0083_;
wire _0084_;
wire _0085_;
wire _0086_;
wire _0087_;
wire _0088_;
wire _0089_;
wire _0090_;
wire _0091_;
wire _0092_;
wire _0093_;
wire _0094_;
wire _0095_;
wire _0096_;
wire _0097_;
wire _0098_;
wire _0099_;
wire _0100_;
wire _0101_;
wire _0102_;
wire _0103_;
wire _0104_;
wire _0105_;
wire _0106_;
wire _0107_;
wire _0108_;
wire _0109_;
wire _0110_;
wire _0111_;
wire _0112_;
wire _0113_;
wire _0114_;
wire _0115_;
wire _0116_;
wire _0117_;
wire _0118_;
wire _0119_;
wire _0120_;
wire _0121_;
wire _0122_;
wire _0123_;
wire _0124_;
wire _0125_;
wire _0126_;
wire _0127_;
wire _0128_;
wire _0129_;
wire _0130_;
wire _0131_;
wire _0132_;
wire _0133_;
wire _0134_;
wire _0135_;
wire _0136_;
wire _0137_;
wire _0138_;
wire _0139_;
wire _0140_;
wire _0141_;
wire _0142_;
wire _0143_;
wire _0144_;
wire _0145_;
wire _0146_;
wire _0147_;
wire _0148_;
wire _0149_;
wire _0150_;
wire _0151_;
wire _0152_;
wire _0153_;
wire _0154_;
wire _0155_;
wire _0156_;
wire _0157_;
wire _0158_;
wire _0159_;
wire _0160_;
wire _0161_;
wire _0162_;
wire _0163_;
wire _0164_;
wire _0165_;
wire _0166_;
wire _0167_;
wire _0168_;
wire _0169_;
wire _0170_;
wire _0171_;
wire _0172_;
wire _0173_;
wire _0174_;
wire _0175_;
wire _0176_;
wire _0177_;
wire _0178_;
wire _0179_;
wire _0180_;
wire _0181_;
wire _0182_;
wire _0183_;
wire _0184_;
wire _0185_;
wire _0186_;
wire _0187_;
wire _0188_;
wire _0189_;
wire _0190_;
wire _0191_;
wire _0192_;
wire _0193_;
wire _0194_;
wire _0195_;
wire _0196_;
wire _0197_;
wire _0198_;
wire _0199_;
wire _0200_;
wire _0201_;
wire _0202_;
wire _0203_;
wire _0204_;
wire _0205_;
wire _0206_;
wire _0207_;
wire _0208_;
wire _0209_;
wire _0210_;
wire _0211_;
wire _0212_;
wire _0213_;
wire _0214_;
wire _0215_;
wire _0216_;
wire _0217_;
wire _0218_;
wire _0219_;
wire _0220_;
wire _0221_;
wire _0222_;
wire _0223_;
wire _0224_;
wire _0225_;
wire _0226_;
wire _0227_;
wire _0228_;
wire _0229_;
wire _0230_;
wire _0231_;
wire _0232_;
wire _0233_;
wire _0234_;
wire _0235_;
wire _0236_;
wire _0237_;
wire _0238_;
wire _0239_;
wire _0240_;
wire _0241_;
wire _0242_;
wire _0243_;
wire _0244_;
wire _0245_;
wire _0246_;
wire _0247_;
wire _0248_;
wire _0249_;
wire _0250_;
wire _0251_;
wire _0252_;
wire _0253_;
wire _0254_;
wire _0255_;
wire _0256_;
wire _0257_;
wire _0258_;
wire _0259_;
wire _0260_;
wire _0261_;
wire _0262_;
wire _0263_;
wire _0264_;
wire _0265_;
wire _0266_;
wire _0267_;
wire _0268_;
wire _0269_;
wire _0270_;
wire _0271_;
wire _0272_;
wire _0273_;
wire _0274_;
wire _0275_;
wire _0276_;
wire _0277_;
wire _0278_;
wire _0279_;
wire _0280_;
wire _0281_;
wire _0282_;
wire _0283_;
wire _0284_;
wire _0285_;
wire _0286_;
wire _0287_;
wire _0288_;
wire _0289_;
wire _0290_;
wire _0291_;
wire _0292_;
wire _0293_;
wire _0294_;
wire _0295_;
wire _0296_;
wire _0297_;
wire _0298_;
wire _0299_;
wire _0300_;
wire _0301_;
wire _0302_;
wire _0303_;
wire _0304_;
wire _0305_;
wire _0306_;
wire _0307_;
wire _0308_;
wire _0309_;
wire _0310_;
wire _0311_;
wire _0312_;
wire _0313_;
wire _0314_;
wire _0315_;
wire _0316_;
wire _0317_;
wire _0318_;
wire _0319_;
wire _0320_;
wire _0321_;
wire _0322_;
wire _0323_;
wire _0324_;
wire _0325_;
wire _0326_;
wire _0327_;
wire _0328_;
wire _0329_;
wire _0330_;
wire _0331_;
wire _0332_;
wire _0333_;
wire _0334_;
wire _0335_;
wire _0336_;
wire _0337_;
wire _0338_;
wire _0339_;
wire _0340_;
wire _0341_;
wire _0342_;
wire _0343_;
wire _0344_;
wire _0345_;
wire _0346_;
wire _0347_;
wire _0348_;
wire _0349_;
wire _0350_;
wire _0351_;
wire _0352_;
wire _0353_;
wire _0354_;
wire _0355_;
wire _0356_;
wire _0357_;
wire _0358_;
wire _0359_;
wire _0360_;
wire _0361_;
wire _0362_;
wire _0363_;
wire _0364_;
wire _0365_;
wire _0366_;
wire _0367_;
wire _0368_;
wire _0369_;
wire _0370_;
wire _0371_;
wire _0372_;
wire _0373_;
wire _0374_;
wire _0375_;
wire _0376_;
wire _0377_;
wire _0378_;
wire _0379_;
wire _0380_;
wire _0381_;
wire _0382_;
wire _0383_;
wire _0384_;
wire _0385_;
wire _0386_;
wire _0387_;
wire _0388_;
wire _0389_;
wire _0390_;
wire _0391_;
wire _0392_;
wire _0393_;
wire _0394_;
wire _0395_;
wire _0396_;
wire _0397_;
wire _0398_;
wire _0399_;
wire _0400_;
wire _0401_;
wire _0402_;
wire _0403_;
wire _0404_;
wire _0405_;
wire _0406_;
wire _0407_;
wire _0408_;
wire _0409_;
wire _0410_;
wire _0411_;
wire _0412_;
wire _0413_;
wire _0414_;
wire _0415_;
wire _0416_;
wire _0417_;
wire _0418_;
wire _0419_;
wire _0420_;
wire _0421_;
wire _0422_;
wire _0423_;
wire _0424_;
wire _0425_;
wire _0426_;
wire _0427_;
wire _0428_;
wire _0429_;
wire _0430_;
wire _0431_;
wire _0432_;
wire _0433_;
wire _0434_;
wire _0435_;
wire _0436_;
wire _0437_;
wire _0438_;
wire _0439_;
wire _0440_;
wire _0441_;
wire _0442_;
wire _0443_;
wire _0444_;
wire _0445_;
wire _0446_;
wire _0447_;
wire _0448_;
wire _0449_;
wire _0450_;
wire _0451_;
wire _0452_;
wire _0453_;
wire _0454_;
wire _0455_;
wire _0456_;
wire _0457_;
wire _0458_;
wire _0459_;
wire _0460_;
wire _0461_;
wire _0462_;
wire _0463_;
wire _0464_;
wire _0465_;
wire _0466_;
wire _0467_;
wire _0468_;
wire _0469_;
wire _0470_;
wire _0471_;
wire _0472_;
wire _0473_;
wire _0474_;
wire _0475_;
wire _0476_;
wire _0477_;
wire _0478_;
wire _0479_;
wire _0480_;
wire _0481_;
wire _0482_;
wire _0483_;
wire _0484_;
wire _0485_;
wire _0486_;
wire _0487_;
wire _0488_;
wire _0489_;
wire _0490_;
wire _0491_;
wire _0492_;
wire \data_read[0] ;
wire \data_read[10] ;
wire \data_read[11] ;
wire \data_read[12] ;
wire \data_read[13] ;
wire \data_read[14] ;
wire \data_read[15] ;
wire \data_read[16] ;
wire \data_read[17] ;
wire \data_read[18] ;
wire \data_read[19] ;
wire \data_read[1] ;
wire \data_read[20] ;
wire \data_read[21] ;
wire \data_read[22] ;
wire \data_read[23] ;
wire \data_read[24] ;
wire \data_read[25] ;
wire \data_read[26] ;
wire \data_read[27] ;
wire \data_read[28] ;
wire \data_read[29] ;
wire \data_read[2] ;
wire \data_read[30] ;
wire \data_read[31] ;
wire \data_read[3] ;
wire \data_read[4] ;
wire \data_read[5] ;
wire \data_read[6] ;
wire \data_read[7] ;
wire \data_read[8] ;
wire \data_read[9] ;
wire \uart_state[0] ;
wire \uart_state[1] ;
wire \uart_state[2] ;
wire \uart_state[3] ;
wire \uart_state[4] ;
input clk_i;
output [11:0] data_addr_o;
output [3:0] data_be_o;
wire \data_count[0] ;
wire \data_count[1] ;
wire \data_count[2] ;
wire \data_count[3] ;
wire \data_count[4] ;
input data_gnt_i;
input [31:0] data_rdata_i;
output data_req_o;
input data_rvalid_i;
output [31:0] data_wdata_o;
output data_we_o;
wire is_receiving_o;
wire is_transmitting_o;
wire pending_res;
wire read_complete;
wire read_issued;
wire read_registered;
wire received_o;
input rst_i;
wire \rx_byte_o[0] ;
wire \rx_byte_o[1] ;
wire \rx_byte_o[2] ;
wire \rx_byte_o[3] ;
wire \rx_byte_o[4] ;
wire \rx_byte_o[5] ;
wire \rx_byte_o[6] ;
wire \rx_byte_o[7] ;
input rx_i;
wire start_read;
wire trans_txn_ff;
wire trans_txn_ff2;
wire transmit;
wire transmit_i;
wire \tx_byte_i[0] ;
wire \tx_byte_i[1] ;
wire \tx_byte_i[2] ;
wire \tx_byte_i[3] ;
wire \tx_byte_i[4] ;
wire \tx_byte_i[5] ;
wire \tx_byte_i[6] ;
wire \tx_byte_i[7] ;
output tx_o;
output uart_error;
wire we;
wire write_issued;
sky130_fd_sc_hd__o21ai_2 _0493_ (
.a1(write_issued),
.a2(\data_count[1] ),
.b1(we),
.y(_0213_)
);
sky130_fd_sc_hd__inv_2 _0494_ (
.a(_0213_),
.y(_0214_)
);
sky130_fd_sc_hd__buf_1 _0495_ (
.a(_0214_),
.x(_0215_)
);
sky130_fd_sc_hd__buf_1 _0496_ (
.a(_0215_),
.x(_0000_)
);
sky130_fd_sc_hd__inv_2 _0497_ (
.a(rst_i),
.y(_0216_)
);
sky130_fd_sc_hd__buf_1 _0498_ (
.a(_0216_),
.x(_0217_)
);
sky130_fd_sc_hd__buf_1 _0499_ (
.a(_0217_),
.x(_0218_)
);
sky130_fd_sc_hd__buf_1 _0500_ (
.a(_0218_),
.x(_0116_)
);
sky130_fd_sc_hd__inv_2 _0501_ (
.a(\rx_byte_o[0] ),
.y(_0219_)
);
sky130_fd_sc_hd__inv_2 _0502_ (
.a(\rx_byte_o[1] ),
.y(_0220_)
);
sky130_fd_sc_hd__o22a_2 _0503_ (
.a1(\rx_byte_o[1] ),
.a2(_0219_),
.b1(_0220_),
.b2(\rx_byte_o[0] ),
.x(_0221_)
);
sky130_fd_sc_hd__inv_2 _0504_ (
.a(\rx_byte_o[6] ),
.y(_0222_)
);
sky130_fd_sc_hd__or4_2 _0505_ (
.a(\rx_byte_o[7] ),
.b(_0222_),
.c(\rx_byte_o[5] ),
.d(\rx_byte_o[4] ),
.x(_0223_)
);
sky130_fd_sc_hd__or4_2 _0506_ (
.a(\rx_byte_o[3] ),
.b(\rx_byte_o[2] ),
.c(_0221_),
.d(_0223_),
.x(_0224_)
);
sky130_fd_sc_hd__or2_2 _0507_ (
.a(is_transmitting_o),
.b(_0224_),
.x(_0225_)
);
sky130_fd_sc_hd__inv_2 _0508_ (
.a(_0225_),
.y(_0226_)
);
sky130_fd_sc_hd__or3_2 _0509_ (
.a(is_transmitting_o),
.b(received_o),
.c(is_receiving_o),
.x(_0227_)
);
sky130_fd_sc_hd__buf_1 _0510_ (
.a(_0227_),
.x(_0042_)
);
sky130_fd_sc_hd__o21ai_2 _0511_ (
.a1(pending_res),
.a2(received_o),
.b1(_0042_),
.y(_0228_)
);
sky130_fd_sc_hd__inv_2 _0512_ (
.a(\uart_state[0] ),
.y(_0229_)
);
sky130_fd_sc_hd__or3_2 _0513_ (
.a(uart_error),
.b(_0228_),
.c(_0229_),
.x(_0230_)
);
sky130_fd_sc_hd__inv_2 _0514_ (
.a(_0230_),
.y(_0231_)
);
sky130_fd_sc_hd__or4_2 _0515_ (
.a(\rx_byte_o[3] ),
.b(\rx_byte_o[2] ),
.c(\rx_byte_o[1] ),
.d(_0219_),
.x(_0232_)
);
sky130_fd_sc_hd__inv_2 _0516_ (
.a(we),
.y(_0233_)
);
sky130_fd_sc_hd__or2_2 _0517_ (
.a(_0225_),
.b(_0230_),
.x(_0234_)
);
sky130_fd_sc_hd__a32o_2 _0518_ (
.a1(_0226_),
.a2(_0231_),
.a3(_0232_),
.b1(_0233_),
.b2(_0234_),
.x(_0235_)
);
sky130_fd_sc_hd__inv_2 _0519_ (
.a(_0235_),
.y(_0211_)
);
sky130_fd_sc_hd__buf_1 _0520_ (
.a(_0216_),
.x(_0236_)
);
sky130_fd_sc_hd__buf_1 _0521_ (
.a(_0236_),
.x(_0237_)
);
sky130_fd_sc_hd__buf_1 _0522_ (
.a(_0237_),
.x(_0238_)
);
sky130_fd_sc_hd__buf_1 _0523_ (
.a(_0238_),
.x(_0115_)
);
sky130_fd_sc_hd__inv_2 _0524_ (
.a(received_o),
.y(_0239_)
);
sky130_fd_sc_hd__inv_2 _0525_ (
.a(\uart_state[3] ),
.y(_0240_)
);
sky130_fd_sc_hd__or3_2 _0526_ (
.a(_0239_),
.b(uart_error),
.c(_0240_),
.x(_0241_)
);
sky130_fd_sc_hd__or4b_2 _0527_ (
.a(\rx_byte_o[7] ),
.b(_0222_),
.c(_0241_),
.d_n(\rx_byte_o[5] ),
.x(_0242_)
);
sky130_fd_sc_hd__buf_1 _0528_ (
.a(_0242_),
.x(_0243_)
);
sky130_fd_sc_hd__mux2_2 _0529_ (
.a0(\rx_byte_o[3] ),
.a1(data_addr_o[11]),
.s(_0243_),
.x(_0244_)
);
sky130_fd_sc_hd__buf_1 _0530_ (
.a(_0244_),
.x(_0210_)
);
sky130_fd_sc_hd__buf_1 _0531_ (
.a(_0237_),
.x(_0245_)
);
sky130_fd_sc_hd__buf_1 _0532_ (
.a(_0245_),
.x(_0114_)
);
sky130_fd_sc_hd__mux2_2 _0533_ (
.a0(\rx_byte_o[2] ),
.a1(data_addr_o[10]),
.s(_0243_),
.x(_0246_)
);
sky130_fd_sc_hd__buf_1 _0534_ (
.a(_0246_),
.x(_0209_)
);
sky130_fd_sc_hd__buf_1 _0535_ (
.a(_0218_),
.x(_0247_)
);
sky130_fd_sc_hd__buf_1 _0536_ (
.a(_0247_),
.x(_0248_)
);
sky130_fd_sc_hd__buf_1 _0537_ (
.a(_0248_),
.x(_0113_)
);
sky130_fd_sc_hd__mux2_2 _0538_ (
.a0(\rx_byte_o[1] ),
.a1(data_addr_o[9]),
.s(_0243_),
.x(_0249_)
);
sky130_fd_sc_hd__buf_1 _0539_ (
.a(_0249_),
.x(_0208_)
);
sky130_fd_sc_hd__buf_1 _0540_ (
.a(_0247_),
.x(_0250_)
);
sky130_fd_sc_hd__buf_1 _0541_ (
.a(_0250_),
.x(_0112_)
);
sky130_fd_sc_hd__mux2_2 _0542_ (
.a0(\rx_byte_o[0] ),
.a1(data_addr_o[8]),
.s(_0242_),
.x(_0251_)
);
sky130_fd_sc_hd__buf_1 _0543_ (
.a(_0251_),
.x(_0207_)
);
sky130_fd_sc_hd__buf_1 _0544_ (
.a(_0247_),
.x(_0252_)
);
sky130_fd_sc_hd__buf_1 _0545_ (
.a(_0252_),
.x(_0111_)
);
sky130_fd_sc_hd__inv_2 _0546_ (
.a(\uart_state[2] ),
.y(_0253_)
);
sky130_fd_sc_hd__or2_2 _0547_ (
.a(_0253_),
.b(_0239_),
.x(_0254_)
);
sky130_fd_sc_hd__buf_1 _0548_ (
.a(_0254_),
.x(_0255_)
);
sky130_fd_sc_hd__buf_1 _0549_ (
.a(_0255_),
.x(_0256_)
);
sky130_fd_sc_hd__inv_2 _0550_ (
.a(_0254_),
.y(_0257_)
);
sky130_fd_sc_hd__buf_1 _0551_ (
.a(_0257_),
.x(_0258_)
);
sky130_fd_sc_hd__a22o_2 _0552_ (
.a1(data_addr_o[7]),
.a2(_0256_),
.b1(\rx_byte_o[7] ),
.b2(_0258_),
.x(_0206_)
);
sky130_fd_sc_hd__buf_1 _0553_ (
.a(_0247_),
.x(_0259_)
);
sky130_fd_sc_hd__buf_1 _0554_ (
.a(_0259_),
.x(_0110_)
);
sky130_fd_sc_hd__a22o_2 _0555_ (
.a1(data_addr_o[6]),
.a2(_0256_),
.b1(\rx_byte_o[6] ),
.b2(_0258_),
.x(_0205_)
);
sky130_fd_sc_hd__buf_1 _0556_ (
.a(_0218_),
.x(_0260_)
);
sky130_fd_sc_hd__buf_1 _0557_ (
.a(_0260_),
.x(_0261_)
);
sky130_fd_sc_hd__buf_1 _0558_ (
.a(_0261_),
.x(_0109_)
);
sky130_fd_sc_hd__a22o_2 _0559_ (
.a1(data_addr_o[5]),
.a2(_0256_),
.b1(\rx_byte_o[5] ),
.b2(_0258_),
.x(_0204_)
);
sky130_fd_sc_hd__buf_1 _0560_ (
.a(_0260_),
.x(_0262_)
);
sky130_fd_sc_hd__buf_1 _0561_ (
.a(_0262_),
.x(_0108_)
);
sky130_fd_sc_hd__a22o_2 _0562_ (
.a1(data_addr_o[4]),
.a2(_0256_),
.b1(\rx_byte_o[4] ),
.b2(_0258_),
.x(_0203_)
);
sky130_fd_sc_hd__buf_1 _0563_ (
.a(_0260_),
.x(_0263_)
);
sky130_fd_sc_hd__buf_1 _0564_ (
.a(_0263_),
.x(_0107_)
);
sky130_fd_sc_hd__buf_1 _0565_ (
.a(_0255_),
.x(_0264_)
);
sky130_fd_sc_hd__buf_1 _0566_ (
.a(_0257_),
.x(_0265_)
);
sky130_fd_sc_hd__a22o_2 _0567_ (
.a1(data_addr_o[3]),
.a2(_0264_),
.b1(\rx_byte_o[3] ),
.b2(_0265_),
.x(_0202_)
);
sky130_fd_sc_hd__buf_1 _0568_ (
.a(_0260_),
.x(_0266_)
);
sky130_fd_sc_hd__buf_1 _0569_ (
.a(_0266_),
.x(_0106_)
);
sky130_fd_sc_hd__a22o_2 _0570_ (
.a1(data_addr_o[2]),
.a2(_0264_),
.b1(\rx_byte_o[2] ),
.b2(_0265_),
.x(_0201_)
);
sky130_fd_sc_hd__buf_1 _0571_ (
.a(_0218_),
.x(_0267_)
);
sky130_fd_sc_hd__buf_1 _0572_ (
.a(_0267_),
.x(_0268_)
);
sky130_fd_sc_hd__buf_1 _0573_ (
.a(_0268_),
.x(_0105_)
);
sky130_fd_sc_hd__a22o_2 _0574_ (
.a1(data_addr_o[1]),
.a2(_0264_),
.b1(\rx_byte_o[1] ),
.b2(_0265_),
.x(_0200_)
);
sky130_fd_sc_hd__buf_1 _0575_ (
.a(_0267_),
.x(_0269_)
);
sky130_fd_sc_hd__buf_1 _0576_ (
.a(_0269_),
.x(_0104_)
);
sky130_fd_sc_hd__a22o_2 _0577_ (
.a1(data_addr_o[0]),
.a2(_0264_),
.b1(\rx_byte_o[0] ),
.b2(_0265_),
.x(_0199_)
);
sky130_fd_sc_hd__buf_1 _0578_ (
.a(_0267_),
.x(_0270_)
);
sky130_fd_sc_hd__buf_1 _0579_ (
.a(_0270_),
.x(_0103_)
);
sky130_fd_sc_hd__or2_2 _0580_ (
.a(_0224_),
.b(_0230_),
.x(_0271_)
);
sky130_fd_sc_hd__a22o_2 _0581_ (
.a1(is_transmitting_o),
.a2(_0231_),
.b1(pending_res),
.b2(_0271_),
.x(_0198_)
);
sky130_fd_sc_hd__buf_1 _0582_ (
.a(_0267_),
.x(_0272_)
);
sky130_fd_sc_hd__buf_1 _0583_ (
.a(_0272_),
.x(_0102_)
);
sky130_fd_sc_hd__inv_2 _0584_ (
.a(_0228_),
.y(_0273_)
);
sky130_fd_sc_hd__or3_2 _0585_ (
.a(\uart_state[2] ),
.b(\uart_state[4] ),
.c(\uart_state[1] ),
.x(_0274_)
);
sky130_fd_sc_hd__or2_2 _0586_ (
.a(\uart_state[3] ),
.b(_0274_),
.x(_0275_)
);
sky130_fd_sc_hd__inv_2 _0587_ (
.a(_0275_),
.y(_0019_)
);
sky130_fd_sc_hd__a32o_2 _0588_ (
.a1(\uart_state[0] ),
.a2(_0273_),
.a3(uart_error),
.b1(_0229_),
.b2(_0019_),
.x(_0276_)
);
sky130_fd_sc_hd__buf_1 _0589_ (
.a(_0239_),
.x(_0277_)
);
sky130_fd_sc_hd__inv_2 _0590_ (
.a(uart_error),
.y(_0278_)
);
sky130_fd_sc_hd__or2_2 _0591_ (
.a(_0277_),
.b(_0278_),
.x(_0279_)
);
sky130_fd_sc_hd__buf_1 _0592_ (
.a(_0279_),
.x(_0016_)
);
sky130_fd_sc_hd__inv_2 _0593_ (
.a(\rx_byte_o[7] ),
.y(_0280_)
);
sky130_fd_sc_hd__a31o_2 _0594_ (
.a1(_0280_),
.a2(\rx_byte_o[6] ),
.a3(\rx_byte_o[5] ),
.b1(_0241_),
.x(_0281_)
);
sky130_fd_sc_hd__o221ai_2 _0595_ (
.a1(_0240_),
.a2(_0016_),
.b1(_0226_),
.b2(_0230_),
.c1(_0281_),
.y(_0282_)
);
sky130_fd_sc_hd__o21ai_2 _0596_ (
.a1(_0233_),
.a2(uart_error),
.b1(_0257_),
.y(_0283_)
);
sky130_fd_sc_hd__or4_2 _0597_ (
.a(_0239_),
.b(\data_count[0] ),
.c(\data_count[2] ),
.d(\data_count[4] ),
.x(_0284_)
);
sky130_fd_sc_hd__inv_2 _0598_ (
.a(\uart_state[1] ),
.y(_0285_)
);
sky130_fd_sc_hd__buf_1 _0599_ (
.a(_0285_),
.x(_0286_)
);
sky130_fd_sc_hd__or4_2 _0600_ (
.a(\data_count[3] ),
.b(_0284_),
.c(_0277_),
.d(_0286_),
.x(_0287_)
);
sky130_fd_sc_hd__or3_2 _0601_ (
.a(\uart_state[2] ),
.b(\uart_state[3] ),
.c(\uart_state[4] ),
.x(_0288_)
);
sky130_fd_sc_hd__inv_2 _0602_ (
.a(_0288_),
.y(_0289_)
);
sky130_fd_sc_hd__o21a_2 _0603_ (
.a1(\data_count[0] ),
.a2(_0285_),
.b1(_0289_),
.x(_0290_)
);
sky130_fd_sc_hd__inv_2 _0604_ (
.a(_0042_),
.y(_0013_)
);
sky130_fd_sc_hd__or3_2 _0605_ (
.a(pending_res),
.b(received_o),
.c(_0013_),
.x(_0291_)
);
sky130_fd_sc_hd__buf_1 _0606_ (
.a(_0291_),
.x(_0015_)
);
sky130_fd_sc_hd__o22a_2 _0607_ (
.a1(received_o),
.a2(_0290_),
.b1(_0229_),
.b2(_0015_),
.x(_0292_)
);
sky130_fd_sc_hd__and3_2 _0608_ (
.a(_0283_),
.b(_0287_),
.c(_0292_),
.x(_0293_)
);
sky130_fd_sc_hd__or3b_2 _0609_ (
.a(_0276_),
.b(_0282_),
.c_n(_0293_),
.x(_0294_)
);
sky130_fd_sc_hd__buf_1 _0610_ (
.a(_0294_),
.x(_0295_)
);
sky130_fd_sc_hd__buf_1 _0611_ (
.a(_0295_),
.x(_0296_)
);
sky130_fd_sc_hd__o2bb2a_2 _0612_ (
.a1_n(\uart_state[1] ),
.a2_n(_0049_),
.b1(_0280_),
.b2(_0289_),
.x(_0297_)
);
sky130_fd_sc_hd__o2bb2ai_2 _0613_ (
.a1_n(\tx_byte_i[7] ),
.a2_n(_0296_),
.b1(_0296_),
.b2(_0297_),
.y(_0197_)
);
sky130_fd_sc_hd__buf_1 _0614_ (
.a(_0217_),
.x(_0298_)
);
sky130_fd_sc_hd__buf_1 _0615_ (
.a(_0298_),
.x(_0299_)
);
sky130_fd_sc_hd__buf_1 _0616_ (
.a(_0299_),
.x(_0300_)
);
sky130_fd_sc_hd__buf_1 _0617_ (
.a(_0300_),
.x(_0101_)
);
sky130_fd_sc_hd__mux2_2 _0618_ (
.a0(_0491_),
.a1(\tx_byte_i[6] ),
.s(_0295_),
.x(_0301_)
);
sky130_fd_sc_hd__buf_1 _0619_ (
.a(_0301_),
.x(_0196_)
);
sky130_fd_sc_hd__buf_1 _0620_ (
.a(_0299_),
.x(_0302_)
);
sky130_fd_sc_hd__buf_1 _0621_ (
.a(_0302_),
.x(_0100_)
);
sky130_fd_sc_hd__mux2_2 _0622_ (
.a0(_0490_),
.a1(\tx_byte_i[5] ),
.s(_0295_),
.x(_0303_)
);
sky130_fd_sc_hd__buf_1 _0623_ (
.a(_0303_),
.x(_0195_)
);
sky130_fd_sc_hd__buf_1 _0624_ (
.a(_0299_),
.x(_0304_)
);
sky130_fd_sc_hd__buf_1 _0625_ (
.a(_0304_),
.x(_0099_)
);
sky130_fd_sc_hd__buf_1 _0626_ (
.a(_0288_),
.x(_0305_)
);
sky130_fd_sc_hd__a22oi_2 _0627_ (
.a1(\uart_state[1] ),
.a2(_0037_),
.b1(\rx_byte_o[4] ),
.b2(_0305_),
.y(_0306_)
);
sky130_fd_sc_hd__o2bb2ai_2 _0628_ (
.a1_n(\tx_byte_i[4] ),
.a2_n(_0296_),
.b1(_0296_),
.b2(_0306_),
.y(_0194_)
);
sky130_fd_sc_hd__buf_1 _0629_ (
.a(_0299_),
.x(_0307_)
);
sky130_fd_sc_hd__buf_1 _0630_ (
.a(_0307_),
.x(_0098_)
);
sky130_fd_sc_hd__buf_1 _0631_ (
.a(_0295_),
.x(_0308_)
);
sky130_fd_sc_hd__a22oi_2 _0632_ (
.a1(\uart_state[1] ),
.a2(_0034_),
.b1(\rx_byte_o[3] ),
.b2(_0305_),
.y(_0309_)
);
sky130_fd_sc_hd__o2bb2ai_2 _0633_ (
.a1_n(\tx_byte_i[3] ),
.a2_n(_0308_),
.b1(_0308_),
.b2(_0309_),
.y(_0193_)
);
sky130_fd_sc_hd__buf_1 _0634_ (
.a(_0298_),
.x(_0310_)
);
sky130_fd_sc_hd__buf_1 _0635_ (
.a(_0310_),
.x(_0311_)
);
sky130_fd_sc_hd__buf_1 _0636_ (
.a(_0311_),
.x(_0097_)
);
sky130_fd_sc_hd__a22oi_2 _0637_ (
.a1(\uart_state[1] ),
.a2(_0031_),
.b1(\rx_byte_o[2] ),
.b2(_0305_),
.y(_0312_)
);
sky130_fd_sc_hd__o2bb2ai_2 _0638_ (
.a1_n(\tx_byte_i[2] ),
.a2_n(_0308_),
.b1(_0308_),
.b2(_0312_),
.y(_0192_)
);
sky130_fd_sc_hd__buf_1 _0639_ (
.a(_0310_),
.x(_0313_)
);
sky130_fd_sc_hd__buf_1 _0640_ (
.a(_0313_),
.x(_0096_)
);
sky130_fd_sc_hd__mux2_2 _0641_ (
.a0(_0489_),
.a1(\tx_byte_i[1] ),
.s(_0294_),
.x(_0314_)
);
sky130_fd_sc_hd__buf_1 _0642_ (
.a(_0314_),
.x(_0191_)
);
sky130_fd_sc_hd__buf_1 _0643_ (
.a(_0310_),
.x(_0315_)
);
sky130_fd_sc_hd__buf_1 _0644_ (
.a(_0315_),
.x(_0095_)
);
sky130_fd_sc_hd__mux2_2 _0645_ (
.a0(_0488_),
.a1(\tx_byte_i[0] ),
.s(_0294_),
.x(_0316_)
);
sky130_fd_sc_hd__buf_1 _0646_ (
.a(_0316_),
.x(_0190_)
);
sky130_fd_sc_hd__buf_1 _0647_ (
.a(_0310_),
.x(_0317_)
);
sky130_fd_sc_hd__buf_1 _0648_ (
.a(_0317_),
.x(_0094_)
);
sky130_fd_sc_hd__inv_2 _0649_ (
.a(read_complete),
.y(_0318_)
);
sky130_fd_sc_hd__or3_2 _0650_ (
.a(read_issued),
.b(_0253_),
.c(received_o),
.x(_0319_)
);
sky130_fd_sc_hd__buf_1 _0651_ (
.a(_0253_),
.x(_0320_)
);
sky130_fd_sc_hd__o22ai_2 _0652_ (
.a1(_0318_),
.a2(_0319_),
.b1(_0320_),
.b2(_0016_),
.y(_0321_)
);
sky130_fd_sc_hd__inv_2 _0653_ (
.a(read_issued),
.y(_0322_)
);
sky130_fd_sc_hd__o32a_2 _0654_ (
.a1(_0277_),
.a2(uart_error),
.a3(we),
.b1(_0322_),
.b2(received_o),
.x(_0323_)
);
sky130_fd_sc_hd__or2_2 _0655_ (
.a(_0320_),
.b(_0323_),
.x(_0324_)
);
sky130_fd_sc_hd__or4b_2 _0656_ (
.a(_0282_),
.b(_0321_),
.c(_0276_),
.d_n(_0324_),
.x(_0325_)
);
sky130_fd_sc_hd__mux2_2 _0657_ (
.a0(_0492_),
.a1(transmit),
.s(_0325_),
.x(_0326_)
);
sky130_fd_sc_hd__buf_1 _0658_ (
.a(_0326_),
.x(_0189_)
);
sky130_fd_sc_hd__buf_1 _0659_ (
.a(_0298_),
.x(_0327_)
);
sky130_fd_sc_hd__buf_1 _0660_ (
.a(_0327_),
.x(_0328_)
);
sky130_fd_sc_hd__buf_1 _0661_ (
.a(_0328_),
.x(_0093_)
);
sky130_fd_sc_hd__inv_2 _0662_ (
.a(\data_count[0] ),
.y(_0329_)
);
sky130_fd_sc_hd__inv_2 _0663_ (
.a(\data_count[3] ),
.y(_0330_)
);
sky130_fd_sc_hd__nor2_2 _0664_ (
.a(_0330_),
.b(_0284_),
.y(_0331_)
);
sky130_fd_sc_hd__and3_2 _0665_ (
.a(_0329_),
.b(\uart_state[4] ),
.c(_0331_),
.x(_0332_)
);
sky130_fd_sc_hd__inv_2 _0666_ (
.a(_0332_),
.y(_0333_)
);
sky130_fd_sc_hd__buf_1 _0667_ (
.a(_0333_),
.x(_0334_)
);
sky130_fd_sc_hd__buf_1 _0668_ (
.a(_0332_),
.x(_0335_)
);
sky130_fd_sc_hd__a22o_2 _0669_ (
.a1(data_wdata_o[7]),
.a2(_0334_),
.b1(\rx_byte_o[7] ),
.b2(_0335_),
.x(_0188_)
);
sky130_fd_sc_hd__buf_1 _0670_ (
.a(_0327_),
.x(_0336_)
);
sky130_fd_sc_hd__buf_1 _0671_ (
.a(_0336_),
.x(_0092_)
);
sky130_fd_sc_hd__a22o_2 _0672_ (
.a1(data_wdata_o[6]),
.a2(_0334_),
.b1(\rx_byte_o[6] ),
.b2(_0335_),
.x(_0187_)
);
sky130_fd_sc_hd__buf_1 _0673_ (
.a(_0327_),
.x(_0337_)
);
sky130_fd_sc_hd__buf_1 _0674_ (
.a(_0337_),
.x(_0091_)
);
sky130_fd_sc_hd__a22o_2 _0675_ (
.a1(data_wdata_o[5]),
.a2(_0334_),
.b1(\rx_byte_o[5] ),
.b2(_0335_),
.x(_0186_)
);
sky130_fd_sc_hd__buf_1 _0676_ (
.a(_0327_),
.x(_0338_)
);
sky130_fd_sc_hd__buf_1 _0677_ (
.a(_0338_),
.x(_0090_)
);
sky130_fd_sc_hd__a22o_2 _0678_ (
.a1(data_wdata_o[4]),
.a2(_0334_),
.b1(\rx_byte_o[4] ),
.b2(_0335_),
.x(_0185_)
);
sky130_fd_sc_hd__buf_1 _0679_ (
.a(_0298_),
.x(_0339_)
);
sky130_fd_sc_hd__buf_1 _0680_ (
.a(_0339_),
.x(_0340_)
);
sky130_fd_sc_hd__buf_1 _0681_ (
.a(_0340_),
.x(_0089_)
);
sky130_fd_sc_hd__buf_1 _0682_ (
.a(_0333_),
.x(_0341_)
);
sky130_fd_sc_hd__buf_1 _0683_ (
.a(_0332_),
.x(_0342_)
);
sky130_fd_sc_hd__a22o_2 _0684_ (
.a1(data_wdata_o[3]),
.a2(_0341_),
.b1(\rx_byte_o[3] ),
.b2(_0342_),
.x(_0184_)
);
sky130_fd_sc_hd__buf_1 _0685_ (
.a(_0339_),
.x(_0343_)
);
sky130_fd_sc_hd__buf_1 _0686_ (
.a(_0343_),
.x(_0088_)
);
sky130_fd_sc_hd__a22o_2 _0687_ (
.a1(data_wdata_o[2]),
.a2(_0341_),
.b1(\rx_byte_o[2] ),
.b2(_0342_),
.x(_0183_)
);
sky130_fd_sc_hd__buf_1 _0688_ (
.a(_0339_),
.x(_0344_)
);
sky130_fd_sc_hd__buf_1 _0689_ (
.a(_0344_),
.x(_0087_)
);
sky130_fd_sc_hd__a22o_2 _0690_ (
.a1(data_wdata_o[1]),
.a2(_0341_),
.b1(\rx_byte_o[1] ),
.b2(_0342_),
.x(_0182_)
);
sky130_fd_sc_hd__buf_1 _0691_ (
.a(_0339_),
.x(_0345_)
);
sky130_fd_sc_hd__buf_1 _0692_ (
.a(_0345_),
.x(_0086_)
);
sky130_fd_sc_hd__a22o_2 _0693_ (
.a1(data_wdata_o[0]),
.a2(_0341_),
.b1(\rx_byte_o[0] ),
.b2(_0342_),
.x(_0181_)
);
sky130_fd_sc_hd__buf_1 _0694_ (
.a(_0217_),
.x(_0346_)
);
sky130_fd_sc_hd__buf_1 _0695_ (
.a(_0346_),
.x(_0347_)
);
sky130_fd_sc_hd__buf_1 _0696_ (
.a(_0347_),
.x(_0348_)
);
sky130_fd_sc_hd__buf_1 _0697_ (
.a(_0348_),
.x(_0085_)
);
sky130_fd_sc_hd__buf_1 _0698_ (
.a(_0277_),
.x(_0349_)
);
sky130_fd_sc_hd__inv_2 _0699_ (
.a(\uart_state[4] ),
.y(_0350_)
);
sky130_fd_sc_hd__inv_2 _0700_ (
.a(\data_count[2] ),
.y(_0351_)
);
sky130_fd_sc_hd__or3_2 _0701_ (
.a(_0350_),
.b(_0351_),
.c(\data_count[4] ),
.x(_0352_)
);
sky130_fd_sc_hd__or3_2 _0702_ (
.a(_0349_),
.b(\data_count[0] ),
.c(_0352_),
.x(_0353_)
);
sky130_fd_sc_hd__buf_1 _0703_ (
.a(_0353_),
.x(_0354_)
);
sky130_fd_sc_hd__inv_2 _0704_ (
.a(_0353_),
.y(_0355_)
);
sky130_fd_sc_hd__buf_1 _0705_ (
.a(_0355_),
.x(_0356_)
);
sky130_fd_sc_hd__o22a_2 _0706_ (
.a1(\rx_byte_o[7] ),
.a2(_0354_),
.b1(data_wdata_o[15]),
.b2(_0356_),
.x(_0180_)
);
sky130_fd_sc_hd__buf_1 _0707_ (
.a(_0347_),
.x(_0357_)
);
sky130_fd_sc_hd__buf_1 _0708_ (
.a(_0357_),
.x(_0084_)
);
sky130_fd_sc_hd__o22a_2 _0709_ (
.a1(\rx_byte_o[6] ),
.a2(_0354_),
.b1(data_wdata_o[14]),
.b2(_0356_),
.x(_0179_)
);
sky130_fd_sc_hd__buf_1 _0710_ (
.a(_0347_),
.x(_0358_)
);
sky130_fd_sc_hd__buf_1 _0711_ (
.a(_0358_),
.x(_0083_)
);
sky130_fd_sc_hd__o22a_2 _0712_ (
.a1(\rx_byte_o[5] ),
.a2(_0354_),
.b1(data_wdata_o[13]),
.b2(_0356_),
.x(_0178_)
);
sky130_fd_sc_hd__buf_1 _0713_ (
.a(_0347_),
.x(_0359_)
);
sky130_fd_sc_hd__buf_1 _0714_ (
.a(_0359_),
.x(_0082_)
);
sky130_fd_sc_hd__o22a_2 _0715_ (
.a1(\rx_byte_o[4] ),
.a2(_0354_),
.b1(data_wdata_o[12]),
.b2(_0356_),
.x(_0177_)
);
sky130_fd_sc_hd__buf_1 _0716_ (
.a(_0346_),
.x(_0360_)
);
sky130_fd_sc_hd__buf_1 _0717_ (
.a(_0360_),
.x(_0361_)
);
sky130_fd_sc_hd__buf_1 _0718_ (
.a(_0361_),
.x(_0081_)
);
sky130_fd_sc_hd__buf_1 _0719_ (
.a(_0353_),
.x(_0362_)
);
sky130_fd_sc_hd__buf_1 _0720_ (
.a(_0355_),
.x(_0363_)
);
sky130_fd_sc_hd__o22a_2 _0721_ (
.a1(\rx_byte_o[3] ),
.a2(_0362_),
.b1(data_wdata_o[11]),
.b2(_0363_),
.x(_0176_)
);
sky130_fd_sc_hd__buf_1 _0722_ (
.a(_0360_),
.x(_0364_)
);
sky130_fd_sc_hd__buf_1 _0723_ (
.a(_0364_),
.x(_0080_)
);
sky130_fd_sc_hd__o22a_2 _0724_ (
.a1(\rx_byte_o[2] ),
.a2(_0362_),
.b1(data_wdata_o[10]),
.b2(_0363_),
.x(_0175_)
);
sky130_fd_sc_hd__buf_1 _0725_ (
.a(_0360_),
.x(_0365_)
);
sky130_fd_sc_hd__buf_1 _0726_ (
.a(_0365_),
.x(_0079_)
);
sky130_fd_sc_hd__o22a_2 _0727_ (
.a1(\rx_byte_o[1] ),
.a2(_0362_),
.b1(data_wdata_o[9]),
.b2(_0363_),
.x(_0174_)
);
sky130_fd_sc_hd__buf_1 _0728_ (
.a(_0360_),
.x(_0366_)
);
sky130_fd_sc_hd__buf_1 _0729_ (
.a(_0366_),
.x(_0078_)
);
sky130_fd_sc_hd__o22a_2 _0730_ (
.a1(\rx_byte_o[0] ),
.a2(_0362_),
.b1(data_wdata_o[8]),
.b2(_0363_),
.x(_0173_)
);
sky130_fd_sc_hd__buf_1 _0731_ (
.a(_0346_),
.x(_0367_)
);
sky130_fd_sc_hd__buf_1 _0732_ (
.a(_0367_),
.x(_0368_)
);
sky130_fd_sc_hd__buf_1 _0733_ (
.a(_0368_),
.x(_0077_)
);
sky130_fd_sc_hd__inv_2 _0734_ (
.a(\data_count[4] ),
.y(_0369_)
);
sky130_fd_sc_hd__or4_2 _0735_ (
.a(_0349_),
.b(\data_count[0] ),
.c(_0350_),
.d(_0369_),
.x(_0370_)
);
sky130_fd_sc_hd__buf_1 _0736_ (
.a(_0370_),
.x(_0371_)
);
sky130_fd_sc_hd__inv_2 _0737_ (
.a(_0370_),
.y(_0372_)
);
sky130_fd_sc_hd__buf_1 _0738_ (
.a(_0372_),
.x(_0373_)
);
sky130_fd_sc_hd__a22o_2 _0739_ (
.a1(data_wdata_o[23]),
.a2(_0371_),
.b1(\rx_byte_o[7] ),
.b2(_0373_),
.x(_0172_)
);
sky130_fd_sc_hd__buf_1 _0740_ (
.a(_0367_),
.x(_0374_)
);
sky130_fd_sc_hd__buf_1 _0741_ (
.a(_0374_),
.x(_0076_)
);
sky130_fd_sc_hd__a22o_2 _0742_ (
.a1(data_wdata_o[22]),
.a2(_0371_),
.b1(\rx_byte_o[6] ),
.b2(_0373_),
.x(_0171_)
);
sky130_fd_sc_hd__buf_1 _0743_ (
.a(_0367_),
.x(_0375_)
);
sky130_fd_sc_hd__buf_1 _0744_ (
.a(_0375_),
.x(_0075_)
);
sky130_fd_sc_hd__a22o_2 _0745_ (
.a1(data_wdata_o[21]),
.a2(_0371_),
.b1(\rx_byte_o[5] ),
.b2(_0373_),
.x(_0170_)
);
sky130_fd_sc_hd__buf_1 _0746_ (
.a(_0367_),
.x(_0376_)
);
sky130_fd_sc_hd__buf_1 _0747_ (
.a(_0376_),
.x(_0074_)
);
sky130_fd_sc_hd__a22o_2 _0748_ (
.a1(data_wdata_o[20]),
.a2(_0371_),
.b1(\rx_byte_o[4] ),
.b2(_0373_),
.x(_0169_)
);
sky130_fd_sc_hd__buf_1 _0749_ (
.a(_0346_),
.x(_0377_)
);
sky130_fd_sc_hd__buf_1 _0750_ (
.a(_0377_),
.x(_0378_)
);
sky130_fd_sc_hd__buf_1 _0751_ (
.a(_0378_),
.x(_0073_)
);
sky130_fd_sc_hd__buf_1 _0752_ (
.a(_0370_),
.x(_0379_)
);
sky130_fd_sc_hd__buf_1 _0753_ (
.a(_0372_),
.x(_0380_)
);
sky130_fd_sc_hd__a22o_2 _0754_ (
.a1(data_wdata_o[19]),
.a2(_0379_),
.b1(\rx_byte_o[3] ),
.b2(_0380_),
.x(_0168_)
);
sky130_fd_sc_hd__buf_1 _0755_ (
.a(_0377_),
.x(_0381_)
);
sky130_fd_sc_hd__buf_1 _0756_ (
.a(_0381_),
.x(_0072_)
);
sky130_fd_sc_hd__a22o_2 _0757_ (
.a1(data_wdata_o[18]),
.a2(_0379_),
.b1(\rx_byte_o[2] ),
.b2(_0380_),
.x(_0167_)
);
sky130_fd_sc_hd__buf_1 _0758_ (
.a(_0377_),
.x(_0382_)
);
sky130_fd_sc_hd__buf_1 _0759_ (
.a(_0382_),
.x(_0071_)
);
sky130_fd_sc_hd__a22o_2 _0760_ (
.a1(data_wdata_o[17]),
.a2(_0379_),
.b1(\rx_byte_o[1] ),
.b2(_0380_),
.x(_0166_)
);
sky130_fd_sc_hd__buf_1 _0761_ (
.a(_0377_),
.x(_0383_)
);
sky130_fd_sc_hd__buf_1 _0762_ (
.a(_0383_),
.x(_0070_)
);
sky130_fd_sc_hd__a22o_2 _0763_ (
.a1(data_wdata_o[16]),
.a2(_0379_),
.b1(\rx_byte_o[0] ),
.b2(_0380_),
.x(_0165_)
);
sky130_fd_sc_hd__buf_1 _0764_ (
.a(_0217_),
.x(_0384_)
);
sky130_fd_sc_hd__buf_1 _0765_ (
.a(_0384_),
.x(_0385_)
);
sky130_fd_sc_hd__buf_1 _0766_ (
.a(_0385_),
.x(_0386_)
);
sky130_fd_sc_hd__buf_1 _0767_ (
.a(_0386_),
.x(_0069_)
);
sky130_fd_sc_hd__inv_2 _0768_ (
.a(_0017_),
.y(_0387_)
);
sky130_fd_sc_hd__inv_2 _0769_ (
.a(start_read),
.y(_0388_)
);
sky130_fd_sc_hd__o311a_2 _0770_ (
.a1(_0233_),
.a2(uart_error),
.a3(_0255_),
.b1(_0017_),
.c1(_0319_),
.x(_0389_)
);
sky130_fd_sc_hd__o22ai_2 _0771_ (
.a1(_0387_),
.a2(_0283_),
.b1(_0388_),
.b2(_0389_),
.y(_0164_)
);
sky130_fd_sc_hd__or2_2 _0772_ (
.a(_0388_),
.b(read_issued),
.x(_0390_)
);
sky130_fd_sc_hd__inv_4 _0773_ (
.a(write_issued),
.y(_0014_)
);
sky130_fd_sc_hd__o21ai_2 _0774_ (
.a1(_0014_),
.a2(data_gnt_i),
.b1(_0215_),
.y(_0391_)
);
sky130_fd_sc_hd__nand2_2 _0775_ (
.a(read_issued),
.b(data_gnt_i),
.y(_0392_)
);
sky130_fd_sc_hd__or2_2 _0776_ (
.a(_0214_),
.b(_0392_),
.x(_0393_)
);
sky130_fd_sc_hd__o211a_2 _0777_ (
.a1(_0215_),
.a2(_0390_),
.b1(_0391_),
.c1(_0393_),
.x(_0394_)
);
sky130_fd_sc_hd__inv_2 _0778_ (
.a(_0394_),
.y(_0395_)
);
sky130_fd_sc_hd__o221a_2 _0779_ (
.a1(data_req_o),
.a2(_0395_),
.b1(_0012_),
.b2(_0394_),
.c1(_0116_),
.x(_0163_)
);
sky130_fd_sc_hd__inv_2 _0780_ (
.a(_0012_),
.y(_0396_)
);
sky130_fd_sc_hd__buf_1 _0781_ (
.a(_0236_),
.x(_0397_)
);
sky130_fd_sc_hd__buf_1 _0782_ (
.a(_0397_),
.x(_0398_)
);
sky130_fd_sc_hd__o221a_2 _0783_ (
.a1(_0012_),
.a2(data_we_o),
.b1(_0396_),
.b2(_0000_),
.c1(_0398_),
.x(_0162_)
);
sky130_fd_sc_hd__inv_2 _0784_ (
.a(_0393_),
.y(_0399_)
);
sky130_fd_sc_hd__o22a_2 _0785_ (
.a1(_0000_),
.a2(_0390_),
.b1(_0322_),
.b2(_0399_),
.x(_0400_)
);
sky130_fd_sc_hd__nor2_2 _0786_ (
.a(rst_i),
.b(_0400_),
.y(_0161_)
);
sky130_fd_sc_hd__inv_2 _0787_ (
.a(_0390_),
.y(_0001_)
);
sky130_fd_sc_hd__inv_2 _0788_ (
.a(data_rvalid_i),
.y(_0401_)
);
sky130_fd_sc_hd__o31a_2 _0789_ (
.a1(_0401_),
.a2(_0001_),
.a3(_0215_),
.b1(read_registered),
.x(_0402_)
);
sky130_fd_sc_hd__o21a_2 _0790_ (
.a1(_0399_),
.a2(_0402_),
.b1(_0116_),
.x(_0160_)
);
sky130_fd_sc_hd__buf_1 _0791_ (
.a(_0385_),
.x(_0403_)
);
sky130_fd_sc_hd__buf_1 _0792_ (
.a(_0403_),
.x(_0068_)
);
sky130_fd_sc_hd__buf_1 _0793_ (
.a(_0350_),
.x(_0404_)
);
sky130_fd_sc_hd__or3_2 _0794_ (
.a(_0349_),
.b(_0404_),
.c(_0329_),
.x(_0405_)
);
sky130_fd_sc_hd__buf_1 _0795_ (
.a(_0405_),
.x(_0406_)
);
sky130_fd_sc_hd__inv_2 _0796_ (
.a(_0405_),
.y(_0407_)
);
sky130_fd_sc_hd__buf_1 _0797_ (
.a(_0407_),
.x(_0408_)
);
sky130_fd_sc_hd__a22o_2 _0798_ (
.a1(data_wdata_o[31]),
.a2(_0406_),
.b1(\rx_byte_o[7] ),
.b2(_0408_),
.x(_0159_)
);
sky130_fd_sc_hd__buf_1 _0799_ (
.a(_0385_),
.x(_0409_)
);
sky130_fd_sc_hd__buf_1 _0800_ (
.a(_0409_),
.x(_0067_)
);
sky130_fd_sc_hd__a22o_2 _0801_ (
.a1(data_wdata_o[30]),
.a2(_0406_),
.b1(\rx_byte_o[6] ),
.b2(_0408_),
.x(_0158_)
);
sky130_fd_sc_hd__buf_1 _0802_ (
.a(_0385_),
.x(_0410_)
);
sky130_fd_sc_hd__buf_1 _0803_ (
.a(_0410_),
.x(_0066_)
);
sky130_fd_sc_hd__a22o_2 _0804_ (
.a1(data_wdata_o[29]),
.a2(_0406_),
.b1(\rx_byte_o[5] ),
.b2(_0408_),
.x(_0157_)
);
sky130_fd_sc_hd__buf_1 _0805_ (
.a(_0384_),
.x(_0411_)
);
sky130_fd_sc_hd__buf_1 _0806_ (
.a(_0411_),
.x(_0412_)
);
sky130_fd_sc_hd__buf_1 _0807_ (
.a(_0412_),
.x(_0065_)
);
sky130_fd_sc_hd__a22o_2 _0808_ (
.a1(data_wdata_o[28]),
.a2(_0406_),
.b1(\rx_byte_o[4] ),
.b2(_0408_),
.x(_0156_)
);
sky130_fd_sc_hd__buf_1 _0809_ (
.a(_0411_),
.x(_0413_)
);
sky130_fd_sc_hd__buf_1 _0810_ (
.a(_0413_),
.x(_0064_)
);
sky130_fd_sc_hd__buf_1 _0811_ (
.a(_0405_),
.x(_0414_)
);
sky130_fd_sc_hd__buf_1 _0812_ (
.a(_0407_),
.x(_0415_)
);
sky130_fd_sc_hd__a22o_2 _0813_ (
.a1(data_wdata_o[27]),
.a2(_0414_),
.b1(\rx_byte_o[3] ),
.b2(_0415_),
.x(_0155_)
);
sky130_fd_sc_hd__buf_1 _0814_ (
.a(_0411_),
.x(_0416_)
);
sky130_fd_sc_hd__buf_1 _0815_ (
.a(_0416_),
.x(_0063_)
);
sky130_fd_sc_hd__a22o_2 _0816_ (
.a1(data_wdata_o[26]),
.a2(_0414_),
.b1(\rx_byte_o[2] ),
.b2(_0415_),
.x(_0154_)
);
sky130_fd_sc_hd__buf_1 _0817_ (
.a(_0411_),
.x(_0417_)
);
sky130_fd_sc_hd__buf_1 _0818_ (
.a(_0417_),
.x(_0062_)
);
sky130_fd_sc_hd__a22o_2 _0819_ (
.a1(data_wdata_o[25]),
.a2(_0414_),
.b1(\rx_byte_o[1] ),
.b2(_0415_),
.x(_0153_)
);
sky130_fd_sc_hd__buf_1 _0820_ (
.a(_0384_),
.x(_0418_)
);
sky130_fd_sc_hd__buf_1 _0821_ (
.a(_0418_),
.x(_0419_)
);
sky130_fd_sc_hd__buf_1 _0822_ (
.a(_0419_),
.x(_0061_)
);
sky130_fd_sc_hd__a22o_2 _0823_ (
.a1(data_wdata_o[24]),
.a2(_0414_),
.b1(\rx_byte_o[0] ),
.b2(_0415_),
.x(_0152_)
);
sky130_fd_sc_hd__inv_2 _0824_ (
.a(read_registered),
.y(_0420_)
);
sky130_fd_sc_hd__nand2_2 _0825_ (
.a(_0213_),
.b(_0392_),
.y(_0421_)
);
sky130_fd_sc_hd__or4_2 _0826_ (
.a(_0401_),
.b(_0001_),
.c(_0420_),
.d(_0421_),
.x(_0422_)
);
sky130_fd_sc_hd__inv_2 _0827_ (
.a(_0422_),
.y(_0423_)
);
sky130_fd_sc_hd__buf_1 _0828_ (
.a(_0423_),
.x(_0424_)
);
sky130_fd_sc_hd__or3_2 _0829_ (
.a(_0420_),
.b(data_rvalid_i),
.c(_0001_),
.x(_0425_)
);
sky130_fd_sc_hd__a31oi_2 _0830_ (
.a1(_0213_),
.a2(_0392_),
.a3(_0425_),
.b1(_0318_),
.y(_0426_)
);
sky130_fd_sc_hd__o21a_2 _0831_ (
.a1(_0424_),
.a2(_0426_),
.b1(_0116_),
.x(_0151_)
);
sky130_fd_sc_hd__buf_1 _0832_ (
.a(_0422_),
.x(_0427_)
);
sky130_fd_sc_hd__buf_1 _0833_ (
.a(_0427_),
.x(_0428_)
);
sky130_fd_sc_hd__o221a_2 _0834_ (
.a1(\data_read[31] ),
.a2(_0424_),
.b1(data_rdata_i[31]),
.b2(_0428_),
.c1(_0398_),
.x(_0150_)
);
sky130_fd_sc_hd__o221a_2 _0835_ (
.a1(\data_read[30] ),
.a2(_0424_),
.b1(data_rdata_i[30]),
.b2(_0428_),
.c1(_0398_),
.x(_0149_)
);
sky130_fd_sc_hd__o221a_2 _0836_ (
.a1(\data_read[29] ),
.a2(_0424_),
.b1(data_rdata_i[29]),
.b2(_0428_),
.c1(_0398_),
.x(_0148_)
);
sky130_fd_sc_hd__buf_1 _0837_ (
.a(_0423_),
.x(_0429_)
);
sky130_fd_sc_hd__buf_1 _0838_ (
.a(_0429_),
.x(_0430_)
);
sky130_fd_sc_hd__buf_1 _0839_ (
.a(_0397_),
.x(_0431_)
);
sky130_fd_sc_hd__o221a_2 _0840_ (
.a1(\data_read[28] ),
.a2(_0430_),
.b1(data_rdata_i[28]),
.b2(_0428_),
.c1(_0431_),
.x(_0147_)
);
sky130_fd_sc_hd__buf_1 _0841_ (
.a(_0427_),
.x(_0432_)
);
sky130_fd_sc_hd__o221a_2 _0842_ (
.a1(\data_read[27] ),
.a2(_0430_),
.b1(data_rdata_i[27]),
.b2(_0432_),
.c1(_0431_),
.x(_0146_)
);
sky130_fd_sc_hd__o221a_2 _0843_ (
.a1(\data_read[26] ),
.a2(_0430_),
.b1(data_rdata_i[26]),
.b2(_0432_),
.c1(_0431_),
.x(_0145_)
);
sky130_fd_sc_hd__o221a_2 _0844_ (
.a1(\data_read[25] ),
.a2(_0430_),
.b1(data_rdata_i[25]),
.b2(_0432_),
.c1(_0431_),
.x(_0144_)
);
sky130_fd_sc_hd__buf_1 _0845_ (
.a(_0429_),
.x(_0433_)
);
sky130_fd_sc_hd__buf_1 _0846_ (
.a(_0397_),
.x(_0434_)
);
sky130_fd_sc_hd__o221a_2 _0847_ (
.a1(\data_read[24] ),
.a2(_0433_),
.b1(data_rdata_i[24]),
.b2(_0432_),
.c1(_0434_),
.x(_0143_)
);
sky130_fd_sc_hd__buf_1 _0848_ (
.a(_0427_),
.x(_0435_)
);
sky130_fd_sc_hd__o221a_2 _0849_ (
.a1(\data_read[23] ),
.a2(_0433_),
.b1(data_rdata_i[23]),
.b2(_0435_),
.c1(_0434_),
.x(_0142_)
);
sky130_fd_sc_hd__o221a_2 _0850_ (
.a1(\data_read[22] ),
.a2(_0433_),
.b1(data_rdata_i[22]),
.b2(_0435_),
.c1(_0434_),
.x(_0141_)
);
sky130_fd_sc_hd__o221a_2 _0851_ (
.a1(\data_read[21] ),
.a2(_0433_),
.b1(data_rdata_i[21]),
.b2(_0435_),
.c1(_0434_),
.x(_0140_)
);
sky130_fd_sc_hd__buf_1 _0852_ (
.a(_0429_),
.x(_0436_)
);
sky130_fd_sc_hd__buf_1 _0853_ (
.a(_0397_),
.x(_0437_)
);
sky130_fd_sc_hd__o221a_2 _0854_ (
.a1(\data_read[20] ),
.a2(_0436_),
.b1(data_rdata_i[20]),
.b2(_0435_),
.c1(_0437_),
.x(_0139_)
);
sky130_fd_sc_hd__buf_1 _0855_ (
.a(_0427_),
.x(_0438_)
);
sky130_fd_sc_hd__o221a_2 _0856_ (
.a1(\data_read[19] ),
.a2(_0436_),
.b1(data_rdata_i[19]),
.b2(_0438_),
.c1(_0437_),
.x(_0138_)
);
sky130_fd_sc_hd__o221a_2 _0857_ (
.a1(\data_read[18] ),
.a2(_0436_),
.b1(data_rdata_i[18]),
.b2(_0438_),
.c1(_0437_),
.x(_0137_)
);
sky130_fd_sc_hd__o221a_2 _0858_ (
.a1(\data_read[17] ),
.a2(_0436_),
.b1(data_rdata_i[17]),
.b2(_0438_),
.c1(_0437_),
.x(_0136_)
);
sky130_fd_sc_hd__buf_1 _0859_ (
.a(_0423_),
.x(_0439_)
);
sky130_fd_sc_hd__buf_1 _0860_ (
.a(_0439_),
.x(_0440_)
);
sky130_fd_sc_hd__buf_1 _0861_ (
.a(_0236_),
.x(_0441_)
);
sky130_fd_sc_hd__buf_1 _0862_ (
.a(_0441_),
.x(_0442_)
);
sky130_fd_sc_hd__o221a_2 _0863_ (
.a1(\data_read[16] ),
.a2(_0440_),
.b1(data_rdata_i[16]),
.b2(_0438_),
.c1(_0442_),
.x(_0135_)
);
sky130_fd_sc_hd__buf_1 _0864_ (
.a(_0422_),
.x(_0443_)
);
sky130_fd_sc_hd__buf_1 _0865_ (
.a(_0443_),
.x(_0444_)
);
sky130_fd_sc_hd__o221a_2 _0866_ (
.a1(\data_read[15] ),
.a2(_0440_),
.b1(data_rdata_i[15]),
.b2(_0444_),
.c1(_0442_),
.x(_0134_)
);
sky130_fd_sc_hd__o221a_2 _0867_ (
.a1(\data_read[14] ),
.a2(_0440_),
.b1(data_rdata_i[14]),
.b2(_0444_),
.c1(_0442_),
.x(_0133_)
);
sky130_fd_sc_hd__o221a_2 _0868_ (
.a1(\data_read[13] ),
.a2(_0440_),
.b1(data_rdata_i[13]),
.b2(_0444_),
.c1(_0442_),
.x(_0132_)
);
sky130_fd_sc_hd__buf_1 _0869_ (
.a(_0439_),
.x(_0445_)
);
sky130_fd_sc_hd__buf_1 _0870_ (
.a(_0441_),
.x(_0446_)
);
sky130_fd_sc_hd__o221a_2 _0871_ (
.a1(\data_read[12] ),
.a2(_0445_),
.b1(data_rdata_i[12]),
.b2(_0444_),
.c1(_0446_),
.x(_0131_)
);
sky130_fd_sc_hd__buf_1 _0872_ (
.a(_0443_),
.x(_0447_)
);
sky130_fd_sc_hd__o221a_2 _0873_ (
.a1(\data_read[11] ),
.a2(_0445_),
.b1(data_rdata_i[11]),
.b2(_0447_),
.c1(_0446_),
.x(_0130_)
);
sky130_fd_sc_hd__o221a_2 _0874_ (
.a1(\data_read[10] ),
.a2(_0445_),
.b1(data_rdata_i[10]),
.b2(_0447_),
.c1(_0446_),
.x(_0129_)
);
sky130_fd_sc_hd__o221a_2 _0875_ (
.a1(\data_read[9] ),
.a2(_0445_),
.b1(data_rdata_i[9]),
.b2(_0447_),
.c1(_0446_),
.x(_0128_)
);
sky130_fd_sc_hd__buf_1 _0876_ (
.a(_0439_),
.x(_0448_)
);
sky130_fd_sc_hd__buf_1 _0877_ (
.a(_0441_),
.x(_0449_)
);
sky130_fd_sc_hd__o221a_2 _0878_ (
.a1(\data_read[8] ),
.a2(_0448_),
.b1(data_rdata_i[8]),
.b2(_0447_),
.c1(_0449_),
.x(_0127_)
);
sky130_fd_sc_hd__buf_1 _0879_ (
.a(_0443_),
.x(_0450_)
);
sky130_fd_sc_hd__o221a_2 _0880_ (
.a1(\data_read[7] ),
.a2(_0448_),
.b1(data_rdata_i[7]),
.b2(_0450_),
.c1(_0449_),
.x(_0126_)
);
sky130_fd_sc_hd__o221a_2 _0881_ (
.a1(\data_read[6] ),
.a2(_0448_),
.b1(data_rdata_i[6]),
.b2(_0450_),
.c1(_0449_),
.x(_0125_)
);
sky130_fd_sc_hd__o221a_2 _0882_ (
.a1(\data_read[5] ),
.a2(_0448_),
.b1(data_rdata_i[5]),
.b2(_0450_),
.c1(_0449_),
.x(_0124_)
);
sky130_fd_sc_hd__buf_1 _0883_ (
.a(_0439_),
.x(_0451_)
);
sky130_fd_sc_hd__buf_1 _0884_ (
.a(_0441_),
.x(_0452_)
);
sky130_fd_sc_hd__o221a_2 _0885_ (
.a1(\data_read[4] ),
.a2(_0451_),
.b1(data_rdata_i[4]),
.b2(_0450_),
.c1(_0452_),
.x(_0123_)
);
sky130_fd_sc_hd__buf_1 _0886_ (
.a(_0443_),
.x(_0453_)
);
sky130_fd_sc_hd__o221a_2 _0887_ (
.a1(\data_read[3] ),
.a2(_0451_),
.b1(data_rdata_i[3]),
.b2(_0453_),
.c1(_0452_),
.x(_0122_)
);
sky130_fd_sc_hd__o221a_2 _0888_ (
.a1(\data_read[2] ),
.a2(_0451_),
.b1(data_rdata_i[2]),
.b2(_0453_),
.c1(_0452_),
.x(_0121_)
);
sky130_fd_sc_hd__o221a_2 _0889_ (
.a1(\data_read[1] ),
.a2(_0451_),
.b1(data_rdata_i[1]),
.b2(_0453_),
.c1(_0452_),
.x(_0120_)
);
sky130_fd_sc_hd__o221a_2 _0890_ (
.a1(\data_read[0] ),
.a2(_0429_),
.b1(data_rdata_i[0]),
.b2(_0453_),
.c1(_0237_),
.x(_0119_)
);
sky130_fd_sc_hd__buf_1 _0891_ (
.a(_0236_),
.x(_0454_)
);
sky130_fd_sc_hd__and2_2 _0892_ (
.a(_0454_),
.b(transmit),
.x(_0455_)
);
sky130_fd_sc_hd__buf_1 _0893_ (
.a(_0455_),
.x(_0118_)
);
sky130_fd_sc_hd__inv_2 _0894_ (
.a(trans_txn_ff),
.y(_0456_)
);
sky130_fd_sc_hd__nor2_2 _0895_ (
.a(rst_i),
.b(_0456_),
.y(_0117_)
);
sky130_fd_sc_hd__buf_1 _0896_ (
.a(_0418_),
.x(_0457_)
);
sky130_fd_sc_hd__buf_1 _0897_ (
.a(_0457_),
.x(_0060_)
);
sky130_fd_sc_hd__buf_1 _0898_ (
.a(_0418_),
.x(_0458_)
);
sky130_fd_sc_hd__buf_1 _0899_ (
.a(_0458_),
.x(_0059_)
);
sky130_fd_sc_hd__buf_1 _0900_ (
.a(_0418_),
.x(_0459_)
);
sky130_fd_sc_hd__buf_1 _0901_ (
.a(_0459_),
.x(_0058_)
);
sky130_fd_sc_hd__buf_1 _0902_ (
.a(_0384_),
.x(_0460_)
);
sky130_fd_sc_hd__buf_1 _0903_ (
.a(_0460_),
.x(_0461_)
);
sky130_fd_sc_hd__buf_1 _0904_ (
.a(_0461_),
.x(_0057_)
);
sky130_fd_sc_hd__buf_1 _0905_ (
.a(_0460_),
.x(_0462_)
);
sky130_fd_sc_hd__buf_1 _0906_ (
.a(_0462_),
.x(_0056_)
);
sky130_fd_sc_hd__buf_1 _0907_ (
.a(_0460_),
.x(_0463_)
);
sky130_fd_sc_hd__buf_1 _0908_ (
.a(_0463_),
.x(_0055_)
);
sky130_fd_sc_hd__buf_1 _0909_ (
.a(_0460_),
.x(_0464_)
);
sky130_fd_sc_hd__buf_1 _0910_ (
.a(_0464_),
.x(_0054_)
);
sky130_fd_sc_hd__buf_1 _0911_ (
.a(_0454_),
.x(_0465_)
);
sky130_fd_sc_hd__buf_1 _0912_ (
.a(_0465_),
.x(_0053_)
);
sky130_fd_sc_hd__buf_1 _0913_ (
.a(_0454_),
.x(_0466_)
);
sky130_fd_sc_hd__buf_1 _0914_ (
.a(_0466_),
.x(_0052_)
);
sky130_fd_sc_hd__buf_1 _0915_ (
.a(_0454_),
.x(_0467_)
);
sky130_fd_sc_hd__buf_1 _0916_ (
.a(_0467_),
.x(_0051_)
);
sky130_fd_sc_hd__nor2_2 _0917_ (
.a(received_o),
.b(_0404_),
.y(_0468_)
);
sky130_fd_sc_hd__inv_2 _0918_ (
.a(_0274_),
.y(_0469_)
);
sky130_fd_sc_hd__o32a_2 _0919_ (
.a1(\uart_state[2] ),
.a2(_0468_),
.a3(_0469_),
.b1(\data_count[0] ),
.b2(_0257_),
.x(_0470_)
);
sky130_fd_sc_hd__or2_2 _0920_ (
.a(\uart_state[0] ),
.b(_0470_),
.x(_0471_)
);
sky130_fd_sc_hd__buf_1 _0921_ (
.a(_0471_),
.x(_0007_)
);
sky130_fd_sc_hd__buf_1 _0922_ (
.a(_0349_),
.x(_0472_)
);
sky130_fd_sc_hd__nor2_2 _0923_ (
.a(\uart_state[4] ),
.b(\uart_state[1] ),
.y(_0473_)
);
sky130_fd_sc_hd__o22a_2 _0924_ (
.a1(\uart_state[0] ),
.a2(_0274_),
.b1(_0320_),
.b2(received_o),
.x(_0474_)
);
sky130_fd_sc_hd__o21a_2 _0925_ (
.a1(received_o),
.a2(_0473_),
.b1(_0474_),
.x(_0475_)
);
sky130_fd_sc_hd__o32a_2 _0926_ (
.a1(_0472_),
.a2(_0473_),
.a3(_0369_),
.b1(_0351_),
.b2(_0475_),
.x(_0476_)
);
sky130_fd_sc_hd__inv_2 _0927_ (
.a(_0476_),
.y(_0009_)
);
sky130_fd_sc_hd__o21a_2 _0928_ (
.a1(_0472_),
.a2(_0404_),
.b1(_0286_),
.x(_0477_)
);
sky130_fd_sc_hd__o22ai_2 _0929_ (
.a1(_0329_),
.a2(_0477_),
.b1(_0369_),
.b2(_0475_),
.y(_0011_)
);
sky130_fd_sc_hd__o211ai_2 _0930_ (
.a1(read_complete),
.a2(_0319_),
.b1(_0243_),
.c1(_0324_),
.y(_0004_)
);
sky130_fd_sc_hd__inv_2 _0931_ (
.a(\data_count[1] ),
.y(_0478_)
);
sky130_fd_sc_hd__or3_2 _0932_ (
.a(\data_count[3] ),
.b(_0284_),
.c(_0478_),
.x(_0479_)
);
sky130_fd_sc_hd__a2bb2o_2 _0933_ (
.a1_n(_0318_),
.a2_n(_0319_),
.b1(\uart_state[1] ),
.b2(_0479_),
.x(_0003_)
);
sky130_fd_sc_hd__a21o_2 _0934_ (
.a1(_0320_),
.a2(_0240_),
.b1(_0016_),
.x(_0480_)
);
sky130_fd_sc_hd__a31o_2 _0935_ (
.a1(_0278_),
.a2(_0273_),
.a3(_0226_),
.b1(_0229_),
.x(_0481_)
);
sky130_fd_sc_hd__o2111ai_2 _0936_ (
.a1(_0286_),
.a2(_0479_),
.b1(_0333_),
.c1(_0480_),
.d1(_0481_),
.y(_0002_)
);
sky130_fd_sc_hd__o32a_2 _0937_ (
.a1(_0472_),
.a2(_0473_),
.a3(_0351_),
.b1(_0330_),
.b2(_0475_),
.x(_0482_)
);
sky130_fd_sc_hd__inv_2 _0938_ (
.a(_0482_),
.y(_0010_)
);
sky130_fd_sc_hd__o211ai_2 _0939_ (
.a1(received_o),
.a2(_0240_),
.b1(_0281_),
.c1(_0234_),
.y(_0005_)
);
sky130_fd_sc_hd__o32a_2 _0940_ (
.a1(_0233_),
.a2(uart_error),
.a3(_0255_),
.b1(_0404_),
.b2(_0331_),
.x(_0483_)
);
sky130_fd_sc_hd__inv_2 _0941_ (
.a(_0483_),
.y(_0006_)
);
sky130_fd_sc_hd__or2_2 _0942_ (
.a(_0478_),
.b(_0474_),
.x(_0484_)
);
sky130_fd_sc_hd__o21a_2 _0943_ (
.a1(_0472_),
.a2(_0330_),
.b1(_0478_),
.x(_0485_)
);
sky130_fd_sc_hd__a21oi_2 _0944_ (
.a1(_0473_),
.a2(_0484_),
.b1(_0485_),
.y(_0008_)
);
sky130_fd_sc_hd__nor3_2 _0945_ (
.a(_0232_),
.b(_0223_),
.c(_0013_),
.y(_0018_)
);
sky130_fd_sc_hd__buf_1 _0946_ (
.a(_0305_),
.x(_0486_)
);
sky130_fd_sc_hd__a22o_2 _0947_ (
.a1(\uart_state[1] ),
.a2(_0022_),
.b1(\rx_byte_o[0] ),
.b2(_0486_),
.x(_0023_)
);
sky130_fd_sc_hd__o21a_2 _0948_ (
.a1(_0232_),
.a2(_0223_),
.b1(_0042_),
.x(_0024_)
);
sky130_fd_sc_hd__a22o_2 _0949_ (
.a1(\uart_state[1] ),
.a2(_0027_),
.b1(\rx_byte_o[1] ),
.b2(_0486_),
.x(_0028_)
);
sky130_fd_sc_hd__a22o_2 _0950_ (
.a1(\uart_state[1] ),
.a2(_0040_),
.b1(\rx_byte_o[5] ),
.b2(_0486_),
.x(_0041_)
);
sky130_fd_sc_hd__a22o_2 _0951_ (
.a1(\uart_state[1] ),
.a2(_0045_),
.b1(\rx_byte_o[6] ),
.b2(_0486_),
.x(_0046_)
);
sky130_fd_sc_hd__nor2_2 _0952_ (
.a(_0329_),
.b(_0286_),
.y(_0487_)
);
sky130_fd_sc_hd__o21a_2 _0953_ (
.a1(received_o),
.a2(_0487_),
.b1(_0275_),
.x(_0050_)
);
sky130_fd_sc_hd__nor2_2 _0954_ (
.a(_0456_),
.b(trans_txn_ff2),
.y(transmit_i)
);
sky130_fd_sc_hd__o221a_2 _0955_ (
.a1(write_issued),
.a2(_0000_),
.b1(_0014_),
.b2(_0391_),
.c1(_0237_),
.x(_0212_)
);
sky130_fd_sc_hd__conb_1 _0956_ (
.hi(data_be_o[0])
);
sky130_fd_sc_hd__conb_1 _0957_ (
.hi(data_be_o[1])
);
sky130_fd_sc_hd__conb_1 _0958_ (
.hi(data_be_o[2])
);
sky130_fd_sc_hd__conb_1 _0959_ (
.hi(data_be_o[3])
);
sky130_fd_sc_hd__mux2_1 _0960_ (
.a0(\data_read[6] ),
.a1(\data_read[14] ),
.s(\data_count[2] ),
.x(_0043_)
);
sky130_fd_sc_hd__mux2_1 _0961_ (
.a0(_0043_),
.a1(\data_read[22] ),
.s(\data_count[4] ),
.x(_0044_)
);
sky130_fd_sc_hd__mux2_1 _0962_ (
.a0(_0044_),
.a1(\data_read[30] ),
.s(\data_count[0] ),
.x(_0045_)
);
sky130_fd_sc_hd__mux2_1 _0963_ (
.a0(\data_read[5] ),
.a1(\data_read[13] ),
.s(\data_count[2] ),
.x(_0038_)
);
sky130_fd_sc_hd__mux2_1 _0964_ (
.a0(_0038_),
.a1(\data_read[21] ),
.s(\data_count[4] ),
.x(_0039_)
);
sky130_fd_sc_hd__mux2_1 _0965_ (
.a0(_0039_),
.a1(\data_read[29] ),
.s(\data_count[0] ),
.x(_0040_)
);
sky130_fd_sc_hd__mux2_1 _0966_ (
.a0(\data_read[1] ),
.a1(\data_read[9] ),
.s(\data_count[2] ),
.x(_0025_)
);
sky130_fd_sc_hd__mux2_1 _0967_ (
.a0(_0025_),
.a1(\data_read[17] ),
.s(\data_count[4] ),
.x(_0026_)
);
sky130_fd_sc_hd__mux2_1 _0968_ (
.a0(_0026_),
.a1(\data_read[25] ),
.s(\data_count[0] ),
.x(_0027_)
);
sky130_fd_sc_hd__mux2_1 _0969_ (
.a0(\data_read[4] ),
.a1(\data_read[12] ),
.s(\data_count[2] ),
.x(_0035_)
);
sky130_fd_sc_hd__mux2_1 _0970_ (
.a0(_0035_),
.a1(\data_read[20] ),
.s(\data_count[4] ),
.x(_0036_)
);
sky130_fd_sc_hd__mux2_1 _0971_ (
.a0(_0036_),
.a1(\data_read[28] ),
.s(\data_count[0] ),
.x(_0037_)
);
sky130_fd_sc_hd__mux2_1 _0972_ (
.a0(\data_read[0] ),
.a1(\data_read[8] ),
.s(\data_count[2] ),
.x(_0020_)
);
sky130_fd_sc_hd__mux2_1 _0973_ (
.a0(_0020_),
.a1(\data_read[16] ),
.s(\data_count[4] ),
.x(_0021_)
);
sky130_fd_sc_hd__mux2_1 _0974_ (
.a0(_0021_),
.a1(\data_read[24] ),
.s(\data_count[0] ),
.x(_0022_)
);
sky130_fd_sc_hd__mux2_1 _0975_ (
.a0(\data_read[3] ),
.a1(\data_read[11] ),
.s(\data_count[2] ),
.x(_0032_)
);
sky130_fd_sc_hd__mux2_1 _0976_ (
.a0(_0032_),
.a1(\data_read[19] ),
.s(\data_count[4] ),
.x(_0033_)
);
sky130_fd_sc_hd__mux2_1 _0977_ (
.a0(_0033_),
.a1(\data_read[27] ),
.s(\data_count[0] ),
.x(_0034_)
);
sky130_fd_sc_hd__mux2_1 _0978_ (
.a0(\data_read[2] ),
.a1(\data_read[10] ),
.s(\data_count[2] ),
.x(_0029_)
);
sky130_fd_sc_hd__mux2_1 _0979_ (
.a0(_0029_),
.a1(\data_read[18] ),
.s(\data_count[4] ),
.x(_0030_)
);
sky130_fd_sc_hd__mux2_1 _0980_ (
.a0(_0030_),
.a1(\data_read[26] ),
.s(\data_count[0] ),
.x(_0031_)
);
sky130_fd_sc_hd__mux2_1 _0981_ (
.a0(_0023_),
.a1(_0018_),
.s(_0019_),
.x(_0488_)
);
sky130_fd_sc_hd__mux2_1 _0982_ (
.a0(_0028_),
.a1(_0024_),
.s(_0019_),
.x(_0489_)
);
sky130_fd_sc_hd__mux2_1 _0983_ (
.a0(_0041_),
.a1(_0013_),
.s(_0019_),
.x(_0490_)
);
sky130_fd_sc_hd__mux2_1 _0984_ (
.a0(_0046_),
.a1(_0042_),
.s(_0019_),
.x(_0491_)
);
sky130_fd_sc_hd__mux2_1 _0985_ (
.a0(_0050_),
.a1(_0015_),
.s(_0019_),
.x(_0492_)
);
sky130_fd_sc_hd__mux2_1 _0986_ (
.a0(\data_read[7] ),
.a1(\data_read[15] ),
.s(\data_count[2] ),
.x(_0047_)
);
sky130_fd_sc_hd__mux2_1 _0987_ (
.a0(_0047_),
.a1(\data_read[23] ),
.s(\data_count[4] ),
.x(_0048_)
);
sky130_fd_sc_hd__mux2_1 _0988_ (
.a0(_0048_),
.a1(\data_read[31] ),
.s(\data_count[0] ),
.x(_0049_)
);
sky130_fd_sc_hd__mux2_1 _0989_ (
.a0(\uart_state[0] ),
.a1(_0016_),
.s(\uart_state[2] ),
.x(_0017_)
);
sky130_fd_sc_hd__mux2_1 _0990_ (
.a0(_0001_),
.a1(_0014_),
.s(_0000_),
.x(_0012_)
);
sky130_fd_sc_hd__dfstp_2 _0991_ (
.clk(clk_i),
.d(_0002_),
.q(\uart_state[0] ),
.set_b(_0051_)
);
sky130_fd_sc_hd__dfrtp_2 _0992_ (
.clk(clk_i),
.d(_0003_),
.q(\uart_state[1] ),
.reset_b(_0052_)
);
sky130_fd_sc_hd__dfrtp_2 _0993_ (
.clk(clk_i),
.d(_0004_),
.q(\uart_state[2] ),
.reset_b(_0053_)
);
sky130_fd_sc_hd__dfrtp_2 _0994_ (
.clk(clk_i),
.d(_0005_),
.q(\uart_state[3] ),
.reset_b(_0054_)
);
sky130_fd_sc_hd__dfrtp_2 _0995_ (
.clk(clk_i),
.d(_0006_),
.q(\uart_state[4] ),
.reset_b(_0055_)
);
sky130_fd_sc_hd__dfstp_2 _0996_ (
.clk(clk_i),
.d(_0007_),
.q(\data_count[0] ),
.set_b(_0056_)
);
sky130_fd_sc_hd__dfrtp_2 _0997_ (
.clk(clk_i),
.d(_0008_),
.q(\data_count[1] ),
.reset_b(_0057_)
);
sky130_fd_sc_hd__dfrtp_2 _0998_ (
.clk(clk_i),
.d(_0009_),
.q(\data_count[2] ),
.reset_b(_0058_)
);
sky130_fd_sc_hd__dfrtp_2 _0999_ (
.clk(clk_i),
.d(_0010_),
.q(\data_count[3] ),
.reset_b(_0059_)
);
sky130_fd_sc_hd__dfrtp_2 _1000_ (
.clk(clk_i),
.d(_0011_),
.q(\data_count[4] ),
.reset_b(_0060_)
);
sky130_fd_sc_hd__dfxtp_2 _1001_ (
.clk(clk_i),
.d(_0117_),
.q(trans_txn_ff2)
);
sky130_fd_sc_hd__dfxtp_2 _1002_ (
.clk(clk_i),
.d(_0118_),
.q(trans_txn_ff)
);
sky130_fd_sc_hd__dfxtp_2 _1003_ (
.clk(clk_i),
.d(_0119_),
.q(\data_read[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1004_ (
.clk(clk_i),
.d(_0120_),
.q(\data_read[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1005_ (
.clk(clk_i),
.d(_0121_),
.q(\data_read[2] )
);
sky130_fd_sc_hd__dfxtp_2 _1006_ (
.clk(clk_i),
.d(_0122_),
.q(\data_read[3] )
);
sky130_fd_sc_hd__dfxtp_2 _1007_ (
.clk(clk_i),
.d(_0123_),
.q(\data_read[4] )
);
sky130_fd_sc_hd__dfxtp_2 _1008_ (
.clk(clk_i),
.d(_0124_),
.q(\data_read[5] )
);
sky130_fd_sc_hd__dfxtp_2 _1009_ (
.clk(clk_i),
.d(_0125_),
.q(\data_read[6] )
);
sky130_fd_sc_hd__dfxtp_2 _1010_ (
.clk(clk_i),
.d(_0126_),
.q(\data_read[7] )
);
sky130_fd_sc_hd__dfxtp_2 _1011_ (
.clk(clk_i),
.d(_0127_),
.q(\data_read[8] )
);
sky130_fd_sc_hd__dfxtp_2 _1012_ (
.clk(clk_i),
.d(_0128_),
.q(\data_read[9] )
);
sky130_fd_sc_hd__dfxtp_2 _1013_ (
.clk(clk_i),
.d(_0129_),
.q(\data_read[10] )
);
sky130_fd_sc_hd__dfxtp_2 _1014_ (
.clk(clk_i),
.d(_0130_),
.q(\data_read[11] )
);
sky130_fd_sc_hd__dfxtp_2 _1015_ (
.clk(clk_i),
.d(_0131_),
.q(\data_read[12] )
);
sky130_fd_sc_hd__dfxtp_2 _1016_ (
.clk(clk_i),
.d(_0132_),
.q(\data_read[13] )
);
sky130_fd_sc_hd__dfxtp_2 _1017_ (
.clk(clk_i),
.d(_0133_),
.q(\data_read[14] )
);
sky130_fd_sc_hd__dfxtp_2 _1018_ (
.clk(clk_i),
.d(_0134_),
.q(\data_read[15] )
);
sky130_fd_sc_hd__dfxtp_2 _1019_ (
.clk(clk_i),
.d(_0135_),
.q(\data_read[16] )
);
sky130_fd_sc_hd__dfxtp_2 _1020_ (
.clk(clk_i),
.d(_0136_),
.q(\data_read[17] )
);
sky130_fd_sc_hd__dfxtp_2 _1021_ (
.clk(clk_i),
.d(_0137_),
.q(\data_read[18] )
);
sky130_fd_sc_hd__dfxtp_2 _1022_ (
.clk(clk_i),
.d(_0138_),
.q(\data_read[19] )
);
sky130_fd_sc_hd__dfxtp_2 _1023_ (
.clk(clk_i),
.d(_0139_),
.q(\data_read[20] )
);
sky130_fd_sc_hd__dfxtp_2 _1024_ (
.clk(clk_i),
.d(_0140_),
.q(\data_read[21] )
);
sky130_fd_sc_hd__dfxtp_2 _1025_ (
.clk(clk_i),
.d(_0141_),
.q(\data_read[22] )
);
sky130_fd_sc_hd__dfxtp_2 _1026_ (
.clk(clk_i),
.d(_0142_),
.q(\data_read[23] )
);
sky130_fd_sc_hd__dfxtp_2 _1027_ (
.clk(clk_i),
.d(_0143_),
.q(\data_read[24] )
);
sky130_fd_sc_hd__dfxtp_2 _1028_ (
.clk(clk_i),
.d(_0144_),
.q(\data_read[25] )
);
sky130_fd_sc_hd__dfxtp_2 _1029_ (
.clk(clk_i),
.d(_0145_),
.q(\data_read[26] )
);
sky130_fd_sc_hd__dfxtp_2 _1030_ (
.clk(clk_i),
.d(_0146_),
.q(\data_read[27] )
);
sky130_fd_sc_hd__dfxtp_2 _1031_ (
.clk(clk_i),
.d(_0147_),
.q(\data_read[28] )
);
sky130_fd_sc_hd__dfxtp_2 _1032_ (
.clk(clk_i),
.d(_0148_),
.q(\data_read[29] )
);
sky130_fd_sc_hd__dfxtp_2 _1033_ (
.clk(clk_i),
.d(_0149_),
.q(\data_read[30] )
);
sky130_fd_sc_hd__dfxtp_2 _1034_ (
.clk(clk_i),
.d(_0150_),
.q(\data_read[31] )
);
sky130_fd_sc_hd__dfxtp_2 _1035_ (
.clk(clk_i),
.d(_0151_),
.q(read_complete)
);
sky130_fd_sc_hd__dfrtp_2 _1036_ (
.clk(clk_i),
.d(_0152_),
.q(data_wdata_o[24]),
.reset_b(_0061_)
);
sky130_fd_sc_hd__dfrtp_2 _1037_ (
.clk(clk_i),
.d(_0153_),
.q(data_wdata_o[25]),
.reset_b(_0062_)
);
sky130_fd_sc_hd__dfrtp_2 _1038_ (
.clk(clk_i),
.d(_0154_),
.q(data_wdata_o[26]),
.reset_b(_0063_)
);
sky130_fd_sc_hd__dfrtp_2 _1039_ (
.clk(clk_i),
.d(_0155_),
.q(data_wdata_o[27]),
.reset_b(_0064_)
);
sky130_fd_sc_hd__dfrtp_2 _1040_ (
.clk(clk_i),
.d(_0156_),
.q(data_wdata_o[28]),
.reset_b(_0065_)
);
sky130_fd_sc_hd__dfrtp_2 _1041_ (
.clk(clk_i),
.d(_0157_),
.q(data_wdata_o[29]),
.reset_b(_0066_)
);
sky130_fd_sc_hd__dfrtp_2 _1042_ (
.clk(clk_i),
.d(_0158_),
.q(data_wdata_o[30]),
.reset_b(_0067_)
);
sky130_fd_sc_hd__dfrtp_2 _1043_ (
.clk(clk_i),
.d(_0159_),
.q(data_wdata_o[31]),
.reset_b(_0068_)
);
sky130_fd_sc_hd__dfxtp_2 _1044_ (
.clk(clk_i),
.d(_0160_),
.q(read_registered)
);
sky130_fd_sc_hd__dfxtp_2 _1045_ (
.clk(clk_i),
.d(_0161_),
.q(read_issued)
);
sky130_fd_sc_hd__dfxtp_2 _1046_ (
.clk(clk_i),
.d(_0162_),
.q(data_we_o)
);
sky130_fd_sc_hd__dfxtp_2 _1047_ (
.clk(clk_i),
.d(_0163_),
.q(data_req_o)
);
sky130_fd_sc_hd__dfrtp_2 _1048_ (
.clk(clk_i),
.d(_0164_),
.q(start_read),
.reset_b(_0069_)
);
sky130_fd_sc_hd__dfrtp_2 _1049_ (
.clk(clk_i),
.d(_0165_),
.q(data_wdata_o[16]),
.reset_b(_0070_)
);
sky130_fd_sc_hd__dfrtp_2 _1050_ (
.clk(clk_i),
.d(_0166_),
.q(data_wdata_o[17]),
.reset_b(_0071_)
);
sky130_fd_sc_hd__dfrtp_2 _1051_ (
.clk(clk_i),
.d(_0167_),
.q(data_wdata_o[18]),
.reset_b(_0072_)
);
sky130_fd_sc_hd__dfrtp_2 _1052_ (
.clk(clk_i),
.d(_0168_),
.q(data_wdata_o[19]),
.reset_b(_0073_)
);
sky130_fd_sc_hd__dfrtp_2 _1053_ (
.clk(clk_i),
.d(_0169_),
.q(data_wdata_o[20]),
.reset_b(_0074_)
);
sky130_fd_sc_hd__dfrtp_2 _1054_ (
.clk(clk_i),
.d(_0170_),
.q(data_wdata_o[21]),
.reset_b(_0075_)
);
sky130_fd_sc_hd__dfrtp_2 _1055_ (
.clk(clk_i),
.d(_0171_),
.q(data_wdata_o[22]),
.reset_b(_0076_)
);
sky130_fd_sc_hd__dfrtp_2 _1056_ (
.clk(clk_i),
.d(_0172_),
.q(data_wdata_o[23]),
.reset_b(_0077_)
);
sky130_fd_sc_hd__dfrtp_2 _1057_ (
.clk(clk_i),
.d(_0173_),
.q(data_wdata_o[8]),
.reset_b(_0078_)
);
sky130_fd_sc_hd__dfrtp_2 _1058_ (
.clk(clk_i),
.d(_0174_),
.q(data_wdata_o[9]),
.reset_b(_0079_)
);
sky130_fd_sc_hd__dfrtp_2 _1059_ (
.clk(clk_i),
.d(_0175_),
.q(data_wdata_o[10]),
.reset_b(_0080_)
);
sky130_fd_sc_hd__dfrtp_2 _1060_ (
.clk(clk_i),
.d(_0176_),
.q(data_wdata_o[11]),
.reset_b(_0081_)
);
sky130_fd_sc_hd__dfrtp_2 _1061_ (
.clk(clk_i),
.d(_0177_),
.q(data_wdata_o[12]),
.reset_b(_0082_)
);
sky130_fd_sc_hd__dfrtp_2 _1062_ (
.clk(clk_i),
.d(_0178_),
.q(data_wdata_o[13]),
.reset_b(_0083_)
);
sky130_fd_sc_hd__dfrtp_2 _1063_ (
.clk(clk_i),
.d(_0179_),
.q(data_wdata_o[14]),
.reset_b(_0084_)
);
sky130_fd_sc_hd__dfrtp_2 _1064_ (
.clk(clk_i),
.d(_0180_),
.q(data_wdata_o[15]),
.reset_b(_0085_)
);
sky130_fd_sc_hd__dfrtp_2 _1065_ (
.clk(clk_i),
.d(_0181_),
.q(data_wdata_o[0]),
.reset_b(_0086_)
);
sky130_fd_sc_hd__dfrtp_2 _1066_ (
.clk(clk_i),
.d(_0182_),
.q(data_wdata_o[1]),
.reset_b(_0087_)
);
sky130_fd_sc_hd__dfrtp_2 _1067_ (
.clk(clk_i),
.d(_0183_),
.q(data_wdata_o[2]),
.reset_b(_0088_)
);
sky130_fd_sc_hd__dfrtp_2 _1068_ (
.clk(clk_i),
.d(_0184_),
.q(data_wdata_o[3]),
.reset_b(_0089_)
);
sky130_fd_sc_hd__dfrtp_2 _1069_ (
.clk(clk_i),
.d(_0185_),
.q(data_wdata_o[4]),
.reset_b(_0090_)
);
sky130_fd_sc_hd__dfrtp_2 _1070_ (
.clk(clk_i),
.d(_0186_),
.q(data_wdata_o[5]),
.reset_b(_0091_)
);
sky130_fd_sc_hd__dfrtp_2 _1071_ (
.clk(clk_i),
.d(_0187_),
.q(data_wdata_o[6]),
.reset_b(_0092_)
);
sky130_fd_sc_hd__dfrtp_2 _1072_ (
.clk(clk_i),
.d(_0188_),
.q(data_wdata_o[7]),
.reset_b(_0093_)
);
sky130_fd_sc_hd__dfrtp_2 _1073_ (
.clk(clk_i),
.d(_0189_),
.q(transmit),
.reset_b(_0094_)
);
sky130_fd_sc_hd__dfrtp_2 _1074_ (
.clk(clk_i),
.d(_0190_),
.q(\tx_byte_i[0] ),
.reset_b(_0095_)
);
sky130_fd_sc_hd__dfrtp_2 _1075_ (
.clk(clk_i),
.d(_0191_),
.q(\tx_byte_i[1] ),
.reset_b(_0096_)
);
sky130_fd_sc_hd__dfrtp_2 _1076_ (
.clk(clk_i),
.d(_0192_),
.q(\tx_byte_i[2] ),
.reset_b(_0097_)
);
sky130_fd_sc_hd__dfrtp_2 _1077_ (
.clk(clk_i),
.d(_0193_),
.q(\tx_byte_i[3] ),
.reset_b(_0098_)
);
sky130_fd_sc_hd__dfrtp_2 _1078_ (
.clk(clk_i),
.d(_0194_),
.q(\tx_byte_i[4] ),
.reset_b(_0099_)
);
sky130_fd_sc_hd__dfrtp_2 _1079_ (
.clk(clk_i),
.d(_0195_),
.q(\tx_byte_i[5] ),
.reset_b(_0100_)
);
sky130_fd_sc_hd__dfrtp_2 _1080_ (
.clk(clk_i),
.d(_0196_),
.q(\tx_byte_i[6] ),
.reset_b(_0101_)
);
sky130_fd_sc_hd__dfrtp_2 _1081_ (
.clk(clk_i),
.d(_0197_),
.q(\tx_byte_i[7] ),
.reset_b(_0102_)
);
sky130_fd_sc_hd__dfrtp_2 _1082_ (
.clk(clk_i),
.d(_0198_),
.q(pending_res),
.reset_b(_0103_)
);
sky130_fd_sc_hd__dfrtp_2 _1083_ (
.clk(clk_i),
.d(_0199_),
.q(data_addr_o[0]),
.reset_b(_0104_)
);
sky130_fd_sc_hd__dfrtp_2 _1084_ (
.clk(clk_i),
.d(_0200_),
.q(data_addr_o[1]),
.reset_b(_0105_)
);
sky130_fd_sc_hd__dfrtp_2 _1085_ (
.clk(clk_i),
.d(_0201_),
.q(data_addr_o[2]),
.reset_b(_0106_)
);
sky130_fd_sc_hd__dfrtp_2 _1086_ (
.clk(clk_i),
.d(_0202_),
.q(data_addr_o[3]),
.reset_b(_0107_)
);
sky130_fd_sc_hd__dfrtp_2 _1087_ (
.clk(clk_i),
.d(_0203_),
.q(data_addr_o[4]),
.reset_b(_0108_)
);
sky130_fd_sc_hd__dfrtp_2 _1088_ (
.clk(clk_i),
.d(_0204_),
.q(data_addr_o[5]),
.reset_b(_0109_)
);
sky130_fd_sc_hd__dfrtp_2 _1089_ (
.clk(clk_i),
.d(_0205_),
.q(data_addr_o[6]),
.reset_b(_0110_)
);
sky130_fd_sc_hd__dfrtp_2 _1090_ (
.clk(clk_i),
.d(_0206_),
.q(data_addr_o[7]),
.reset_b(_0111_)
);
sky130_fd_sc_hd__dfrtp_2 _1091_ (
.clk(clk_i),
.d(_0207_),
.q(data_addr_o[8]),
.reset_b(_0112_)
);
sky130_fd_sc_hd__dfrtp_2 _1092_ (
.clk(clk_i),
.d(_0208_),
.q(data_addr_o[9]),
.reset_b(_0113_)
);
sky130_fd_sc_hd__dfrtp_2 _1093_ (
.clk(clk_i),
.d(_0209_),
.q(data_addr_o[10]),
.reset_b(_0114_)
);
sky130_fd_sc_hd__dfrtp_2 _1094_ (
.clk(clk_i),
.d(_0210_),
.q(data_addr_o[11]),
.reset_b(_0115_)
);
sky130_fd_sc_hd__dfrtp_2 _1095_ (
.clk(clk_i),
.d(_0211_),
.q(we),
.reset_b(_0116_)
);
sky130_fd_sc_hd__dfxtp_2 _1096_ (
.clk(clk_i),
.d(_0212_),
.q(write_issued)
);
uart uart_i (
.clk(clk_i),
.is_receiving(is_receiving_o),
.is_transmitting(is_transmitting_o),
.received(received_o),
.recv_error(uart_error),
.rst(rst_i),
.rx(rx_i),
.rx_byte({ \rx_byte_o[7] , \rx_byte_o[6] , \rx_byte_o[5] , \rx_byte_o[4] , \rx_byte_o[3] , \rx_byte_o[2] , \rx_byte_o[1] , \rx_byte_o[0] }),
.transmit(transmit_i),
.tx(tx_o),
.tx_byte({ \tx_byte_i[7] , \tx_byte_i[6] , \tx_byte_i[5] , \tx_byte_i[4] , \tx_byte_i[3] , \tx_byte_i[2] , \tx_byte_i[1] , \tx_byte_i[0] })
);
endmodule
module \$paramod\uart_tx\data_width=s32'00000000000000000000000000001000 (clk, rst, s_axis_tdata, s_axis_tvalid, s_axis_tready, txd, busy, prescale);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
wire _145_;
wire _146_;
wire _147_;
wire _148_;
wire _149_;
wire _150_;
wire _151_;
wire _152_;
wire _153_;
wire _154_;
wire _155_;
wire _156_;
wire _157_;
wire _158_;
wire _159_;
wire _160_;
wire _161_;
wire _162_;
wire _163_;
wire _164_;
wire _165_;
wire _166_;
wire _167_;
wire _168_;
wire _169_;
wire _170_;
wire _171_;
wire _172_;
wire _173_;
wire _174_;
wire _175_;
wire _176_;
wire _177_;
wire _178_;
wire _179_;
wire _180_;
wire _181_;
wire _182_;
wire _183_;
wire _184_;
wire _185_;
wire _186_;
wire _187_;
wire _188_;
wire _189_;
wire _190_;
wire _191_;
wire _192_;
wire _193_;
wire _194_;
wire _195_;
wire _196_;
wire _197_;
wire _198_;
wire _199_;
wire _200_;
wire _201_;
wire _202_;
wire _203_;
wire _204_;
wire _205_;
wire _206_;
wire _207_;
wire _208_;
wire _209_;
wire _210_;
wire _211_;
wire _212_;
wire _213_;
wire _214_;
wire _215_;
wire _216_;
wire _217_;
wire _218_;
wire _219_;
wire _220_;
wire _221_;
wire _222_;
wire _223_;
wire _224_;
wire _225_;
wire _226_;
wire _227_;
wire _228_;
wire _229_;
wire \bit_cnt[0] ;
wire \bit_cnt[1] ;
wire \bit_cnt[2] ;
wire \bit_cnt[3] ;
output busy;
wire busy_reg;
input clk;
wire \data_reg[0] ;
wire \data_reg[1] ;
wire \data_reg[2] ;
wire \data_reg[3] ;
wire \data_reg[4] ;
wire \data_reg[5] ;
wire \data_reg[6] ;
wire \data_reg[7] ;
wire \data_reg[8] ;
input [15:0] prescale;
wire \prescale_reg[0] ;
wire \prescale_reg[10] ;
wire \prescale_reg[11] ;
wire \prescale_reg[12] ;
wire \prescale_reg[13] ;
wire \prescale_reg[14] ;
wire \prescale_reg[15] ;
wire \prescale_reg[16] ;
wire \prescale_reg[17] ;
wire \prescale_reg[18] ;
wire \prescale_reg[1] ;
wire \prescale_reg[2] ;
wire \prescale_reg[3] ;
wire \prescale_reg[4] ;
wire \prescale_reg[5] ;
wire \prescale_reg[6] ;
wire \prescale_reg[7] ;
wire \prescale_reg[8] ;
wire \prescale_reg[9] ;
input rst;
input [7:0] s_axis_tdata;
output s_axis_tready;
wire s_axis_tready_reg;
input s_axis_tvalid;
output txd;
wire txd_reg;
sky130_fd_sc_hd__or2_2 _230_ (
.a(\bit_cnt[3] ),
.b(\bit_cnt[2] ),
.x(_137_)
);
sky130_fd_sc_hd__buf_1 _231_ (
.a(_137_),
.x(_002_)
);
sky130_fd_sc_hd__or2_2 _232_ (
.a(\bit_cnt[1] ),
.b(\bit_cnt[0] ),
.x(_138_)
);
sky130_fd_sc_hd__or2_2 _233_ (
.a(_002_),
.b(_138_),
.x(_139_)
);
sky130_fd_sc_hd__inv_2 _234_ (
.a(_139_),
.y(_140_)
);
sky130_fd_sc_hd__buf_1 _235_ (
.a(_140_),
.x(_000_)
);
sky130_fd_sc_hd__or2_2 _236_ (
.a(\prescale_reg[7] ),
.b(\prescale_reg[4] ),
.x(_141_)
);
sky130_fd_sc_hd__or2_2 _237_ (
.a(\prescale_reg[1] ),
.b(\prescale_reg[0] ),
.x(_142_)
);
sky130_fd_sc_hd__or3_2 _238_ (
.a(\prescale_reg[3] ),
.b(\prescale_reg[2] ),
.c(_142_),
.x(_143_)
);
sky130_fd_sc_hd__or4_2 _239_ (
.a(\prescale_reg[6] ),
.b(\prescale_reg[5] ),
.c(_141_),
.d(_143_),
.x(_144_)
);
sky130_fd_sc_hd__or2_2 _240_ (
.a(\prescale_reg[8] ),
.b(_144_),
.x(_145_)
);
sky130_fd_sc_hd__or2_2 _241_ (
.a(\prescale_reg[9] ),
.b(_145_),
.x(_146_)
);
sky130_fd_sc_hd__or2_2 _242_ (
.a(\prescale_reg[10] ),
.b(_146_),
.x(_147_)
);
sky130_fd_sc_hd__or2_2 _243_ (
.a(\prescale_reg[11] ),
.b(_147_),
.x(_148_)
);
sky130_fd_sc_hd__or2_2 _244_ (
.a(\prescale_reg[12] ),
.b(_148_),
.x(_149_)
);
sky130_fd_sc_hd__or2_2 _245_ (
.a(\prescale_reg[13] ),
.b(_149_),
.x(_150_)
);
sky130_fd_sc_hd__or3_2 _246_ (
.a(\prescale_reg[15] ),
.b(\prescale_reg[14] ),
.c(_150_),
.x(_151_)
);
sky130_fd_sc_hd__or3_2 _247_ (
.a(\prescale_reg[17] ),
.b(\prescale_reg[16] ),
.c(_151_),
.x(_152_)
);
sky130_fd_sc_hd__or2_2 _248_ (
.a(\prescale_reg[18] ),
.b(_152_),
.x(_153_)
);
sky130_fd_sc_hd__buf_1 _249_ (
.a(_153_),
.x(_003_)
);
sky130_fd_sc_hd__inv_2 _250_ (
.a(rst),
.y(_154_)
);
sky130_fd_sc_hd__o31ai_2 _251_ (
.a1(_139_),
.a2(_003_),
.a3(s_axis_tvalid),
.b1(_154_),
.y(_155_)
);
sky130_fd_sc_hd__buf_1 _252_ (
.a(_155_),
.x(_156_)
);
sky130_fd_sc_hd__and2b_2 _253_ (
.a_n(_156_),
.b(_092_),
.x(_157_)
);
sky130_fd_sc_hd__buf_1 _254_ (
.a(_157_),
.x(_135_)
);
sky130_fd_sc_hd__and2b_2 _255_ (
.a_n(_156_),
.b(_091_),
.x(_158_)
);
sky130_fd_sc_hd__buf_1 _256_ (
.a(_158_),
.x(_134_)
);
sky130_fd_sc_hd__and2b_2 _257_ (
.a_n(_156_),
.b(_090_),
.x(_159_)
);
sky130_fd_sc_hd__buf_1 _258_ (
.a(_159_),
.x(_133_)
);
sky130_fd_sc_hd__buf_1 _259_ (
.a(_155_),
.x(_160_)
);
sky130_fd_sc_hd__buf_1 _260_ (
.a(_160_),
.x(_161_)
);
sky130_fd_sc_hd__and2b_2 _261_ (
.a_n(_161_),
.b(_089_),
.x(_162_)
);
sky130_fd_sc_hd__buf_1 _262_ (
.a(_162_),
.x(_132_)
);
sky130_fd_sc_hd__and2b_2 _263_ (
.a_n(_161_),
.b(_088_),
.x(_163_)
);
sky130_fd_sc_hd__buf_1 _264_ (
.a(_163_),
.x(_131_)
);
sky130_fd_sc_hd__and2b_2 _265_ (
.a_n(_161_),
.b(_087_),
.x(_164_)
);
sky130_fd_sc_hd__buf_1 _266_ (
.a(_164_),
.x(_130_)
);
sky130_fd_sc_hd__and2b_2 _267_ (
.a_n(_161_),
.b(_086_),
.x(_165_)
);
sky130_fd_sc_hd__buf_1 _268_ (
.a(_165_),
.x(_129_)
);
sky130_fd_sc_hd__buf_1 _269_ (
.a(_160_),
.x(_166_)
);
sky130_fd_sc_hd__and2b_2 _270_ (
.a_n(_166_),
.b(_085_),
.x(_167_)
);
sky130_fd_sc_hd__buf_1 _271_ (
.a(_167_),
.x(_128_)
);
sky130_fd_sc_hd__and2b_2 _272_ (
.a_n(_166_),
.b(_084_),
.x(_168_)
);
sky130_fd_sc_hd__buf_1 _273_ (
.a(_168_),
.x(_127_)
);
sky130_fd_sc_hd__and2b_2 _274_ (
.a_n(_166_),
.b(_101_),
.x(_169_)
);
sky130_fd_sc_hd__buf_1 _275_ (
.a(_169_),
.x(_126_)
);
sky130_fd_sc_hd__and2b_2 _276_ (
.a_n(_166_),
.b(_100_),
.x(_170_)
);
sky130_fd_sc_hd__buf_1 _277_ (
.a(_170_),
.x(_125_)
);
sky130_fd_sc_hd__buf_1 _278_ (
.a(_160_),
.x(_171_)
);
sky130_fd_sc_hd__and2b_2 _279_ (
.a_n(_171_),
.b(_099_),
.x(_172_)
);
sky130_fd_sc_hd__buf_1 _280_ (
.a(_172_),
.x(_124_)
);
sky130_fd_sc_hd__and2b_2 _281_ (
.a_n(_171_),
.b(_098_),
.x(_173_)
);
sky130_fd_sc_hd__buf_1 _282_ (
.a(_173_),
.x(_123_)
);
sky130_fd_sc_hd__and2b_2 _283_ (
.a_n(_171_),
.b(_097_),
.x(_174_)
);
sky130_fd_sc_hd__buf_1 _284_ (
.a(_174_),
.x(_122_)
);
sky130_fd_sc_hd__and2b_2 _285_ (
.a_n(_171_),
.b(_096_),
.x(_175_)
);
sky130_fd_sc_hd__buf_1 _286_ (
.a(_175_),
.x(_121_)
);
sky130_fd_sc_hd__buf_1 _287_ (
.a(_160_),
.x(_176_)
);
sky130_fd_sc_hd__and2b_2 _288_ (
.a_n(_176_),
.b(_095_),
.x(_177_)
);
sky130_fd_sc_hd__buf_1 _289_ (
.a(_177_),
.x(_120_)
);
sky130_fd_sc_hd__and2b_2 _290_ (
.a_n(_176_),
.b(_094_),
.x(_178_)
);
sky130_fd_sc_hd__buf_1 _291_ (
.a(_178_),
.x(_119_)
);
sky130_fd_sc_hd__and2b_2 _292_ (
.a_n(_176_),
.b(_093_),
.x(_179_)
);
sky130_fd_sc_hd__buf_1 _293_ (
.a(_179_),
.x(_118_)
);
sky130_fd_sc_hd__and2b_2 _294_ (
.a_n(_176_),
.b(_083_),
.x(_180_)
);
sky130_fd_sc_hd__buf_1 _295_ (
.a(_180_),
.x(_117_)
);
sky130_fd_sc_hd__inv_2 _296_ (
.a(_003_),
.y(_181_)
);
sky130_fd_sc_hd__o21ai_2 _297_ (
.a1(s_axis_tvalid),
.a2(_139_),
.b1(_181_),
.y(_182_)
);
sky130_fd_sc_hd__inv_2 _298_ (
.a(_182_),
.y(_183_)
);
sky130_fd_sc_hd__buf_1 _299_ (
.a(_183_),
.x(_184_)
);
sky130_fd_sc_hd__or2_2 _300_ (
.a(\bit_cnt[1] ),
.b(_002_),
.x(_185_)
);
sky130_fd_sc_hd__inv_2 _301_ (
.a(_185_),
.y(_004_)
);
sky130_fd_sc_hd__a22o_2 _302_ (
.a1(\bit_cnt[0] ),
.a2(_004_),
.b1(\data_reg[0] ),
.b2(_185_),
.x(_186_)
);
sky130_fd_sc_hd__buf_1 _303_ (
.a(_182_),
.x(_187_)
);
sky130_fd_sc_hd__a221o_2 _304_ (
.a1(_184_),
.a2(_186_),
.b1(txd),
.b2(_187_),
.c1(rst),
.x(_116_)
);
sky130_fd_sc_hd__buf_1 _305_ (
.a(_181_),
.x(_188_)
);
sky130_fd_sc_hd__and3_2 _306_ (
.a(s_axis_tvalid),
.b(_140_),
.c(_188_),
.x(_189_)
);
sky130_fd_sc_hd__o31a_2 _307_ (
.a1(\bit_cnt[2] ),
.a2(_138_),
.a3(_187_),
.b1(\bit_cnt[3] ),
.x(_190_)
);
sky130_fd_sc_hd__buf_1 _308_ (
.a(_154_),
.x(_191_)
);
sky130_fd_sc_hd__o21a_2 _309_ (
.a1(_189_),
.a2(_190_),
.b1(_191_),
.x(_115_)
);
sky130_fd_sc_hd__and2b_2 _310_ (
.a_n(\bit_cnt[2] ),
.b(\bit_cnt[3] ),
.x(_192_)
);
sky130_fd_sc_hd__inv_2 _311_ (
.a(\bit_cnt[1] ),
.y(_193_)
);
sky130_fd_sc_hd__inv_2 _312_ (
.a(\bit_cnt[0] ),
.y(_194_)
);
sky130_fd_sc_hd__a31o_2 _313_ (
.a1(_193_),
.a2(_194_),
.a3(_184_),
.b1(\bit_cnt[2] ),
.x(_195_)
);
sky130_fd_sc_hd__o311a_2 _314_ (
.a1(_138_),
.a2(_187_),
.a3(_192_),
.b1(_154_),
.c1(_195_),
.x(_114_)
);
sky130_fd_sc_hd__o221a_2 _315_ (
.a1(\bit_cnt[1] ),
.a2(_184_),
.b1(_082_),
.b2(_187_),
.c1(_191_),
.x(_113_)
);
sky130_fd_sc_hd__o221a_2 _316_ (
.a1(_194_),
.a2(_003_),
.b1(\bit_cnt[0] ),
.b2(_184_),
.c1(_191_),
.x(_112_)
);
sky130_fd_sc_hd__a21oi_2 _317_ (
.a1(_000_),
.a2(_188_),
.b1(busy),
.y(_196_)
);
sky130_fd_sc_hd__nor2_2 _318_ (
.a(_156_),
.b(_196_),
.y(_111_)
);
sky130_fd_sc_hd__or2_2 _319_ (
.a(_194_),
.b(_185_),
.x(_197_)
);
sky130_fd_sc_hd__buf_1 _320_ (
.a(_197_),
.x(_007_)
);
sky130_fd_sc_hd__and3_2 _321_ (
.a(_154_),
.b(_007_),
.c(_183_),
.x(_198_)
);
sky130_fd_sc_hd__inv_2 _322_ (
.a(_198_),
.y(_199_)
);
sky130_fd_sc_hd__buf_1 _323_ (
.a(_199_),
.x(_200_)
);
sky130_fd_sc_hd__a22o_2 _324_ (
.a1(\data_reg[8] ),
.a2(_200_),
.b1(_191_),
.b2(_189_),
.x(_110_)
);
sky130_fd_sc_hd__buf_1 _325_ (
.a(_199_),
.x(_201_)
);
sky130_fd_sc_hd__buf_1 _326_ (
.a(_198_),
.x(_202_)
);
sky130_fd_sc_hd__o22a_2 _327_ (
.a1(_081_),
.a2(_201_),
.b1(\data_reg[7] ),
.b2(_202_),
.x(_109_)
);
sky130_fd_sc_hd__o22a_2 _328_ (
.a1(_080_),
.a2(_201_),
.b1(\data_reg[6] ),
.b2(_202_),
.x(_108_)
);
sky130_fd_sc_hd__o22a_2 _329_ (
.a1(_079_),
.a2(_201_),
.b1(\data_reg[5] ),
.b2(_202_),
.x(_107_)
);
sky130_fd_sc_hd__o22a_2 _330_ (
.a1(_078_),
.a2(_201_),
.b1(\data_reg[4] ),
.b2(_202_),
.x(_106_)
);
sky130_fd_sc_hd__buf_1 _331_ (
.a(_198_),
.x(_203_)
);
sky130_fd_sc_hd__o22a_2 _332_ (
.a1(_077_),
.a2(_200_),
.b1(\data_reg[3] ),
.b2(_203_),
.x(_105_)
);
sky130_fd_sc_hd__o22a_2 _333_ (
.a1(_076_),
.a2(_200_),
.b1(\data_reg[2] ),
.b2(_203_),
.x(_104_)
);
sky130_fd_sc_hd__o22a_2 _334_ (
.a1(_075_),
.a2(_200_),
.b1(\data_reg[1] ),
.b2(_203_),
.x(_103_)
);
sky130_fd_sc_hd__a22o_2 _335_ (
.a1(\data_reg[0] ),
.a2(_199_),
.b1(_074_),
.b2(_203_),
.x(_102_)
);
sky130_fd_sc_hd__inv_2 _336_ (
.a(_138_),
.y(_001_)
);
sky130_fd_sc_hd__nor2_2 _337_ (
.a(_193_),
.b(_194_),
.y(_005_)
);
sky130_fd_sc_hd__inv_2 _338_ (
.a(\prescale_reg[0] ),
.y(_006_)
);
sky130_fd_sc_hd__a21bo_2 _339_ (
.a1(\prescale_reg[1] ),
.a2(\prescale_reg[0] ),
.b1_n(_142_),
.x(_008_)
);
sky130_fd_sc_hd__or2_2 _340_ (
.a(\prescale_reg[2] ),
.b(_142_),
.x(_204_)
);
sky130_fd_sc_hd__a21bo_2 _341_ (
.a1(\prescale_reg[2] ),
.a2(_142_),
.b1_n(_204_),
.x(_009_)
);
sky130_fd_sc_hd__a21bo_2 _342_ (
.a1(\prescale_reg[3] ),
.a2(_204_),
.b1_n(_143_),
.x(_010_)
);
sky130_fd_sc_hd__inv_2 _343_ (
.a(prescale[0]),
.y(_011_)
);
sky130_fd_sc_hd__o22a_2 _344_ (
.a1(_011_),
.a2(_004_),
.b1(prescale[0]),
.b2(_185_),
.x(_012_)
);
sky130_fd_sc_hd__or2_2 _345_ (
.a(\prescale_reg[4] ),
.b(_143_),
.x(_205_)
);
sky130_fd_sc_hd__a21bo_2 _346_ (
.a1(\prescale_reg[4] ),
.a2(_143_),
.b1_n(_205_),
.x(_014_)
);
sky130_fd_sc_hd__or2_2 _347_ (
.a(prescale[0]),
.b(prescale[1]),
.x(_206_)
);
sky130_fd_sc_hd__a21bo_2 _348_ (
.a1(prescale[0]),
.a2(prescale[1]),
.b1_n(_206_),
.x(_015_)
);
sky130_fd_sc_hd__or2_2 _349_ (
.a(\prescale_reg[5] ),
.b(_205_),
.x(_207_)
);
sky130_fd_sc_hd__a21bo_2 _350_ (
.a1(\prescale_reg[5] ),
.a2(_205_),
.b1_n(_207_),
.x(_018_)
);
sky130_fd_sc_hd__or2_2 _351_ (
.a(prescale[2]),
.b(_206_),
.x(_208_)
);
sky130_fd_sc_hd__a21bo_2 _352_ (
.a1(prescale[2]),
.a2(_206_),
.b1_n(_208_),
.x(_019_)
);
sky130_fd_sc_hd__or3_2 _353_ (
.a(\prescale_reg[6] ),
.b(\prescale_reg[5] ),
.c(_205_),
.x(_209_)
);
sky130_fd_sc_hd__a21bo_2 _354_ (
.a1(\prescale_reg[6] ),
.a2(_207_),
.b1_n(_209_),
.x(_022_)
);
sky130_fd_sc_hd__or2_2 _355_ (
.a(prescale[3]),
.b(_208_),
.x(_210_)
);
sky130_fd_sc_hd__a21bo_2 _356_ (
.a1(prescale[3]),
.a2(_208_),
.b1_n(_210_),
.x(_023_)
);
sky130_fd_sc_hd__a21bo_2 _357_ (
.a1(\prescale_reg[7] ),
.a2(_209_),
.b1_n(_144_),
.x(_026_)
);
sky130_fd_sc_hd__or2_2 _358_ (
.a(prescale[4]),
.b(_210_),
.x(_211_)
);
sky130_fd_sc_hd__a21bo_2 _359_ (
.a1(prescale[4]),
.a2(_210_),
.b1_n(_211_),
.x(_027_)
);
sky130_fd_sc_hd__a21bo_2 _360_ (
.a1(\prescale_reg[8] ),
.a2(_144_),
.b1_n(_145_),
.x(_030_)
);
sky130_fd_sc_hd__or3_2 _361_ (
.a(prescale[4]),
.b(prescale[5]),
.c(_210_),
.x(_212_)
);
sky130_fd_sc_hd__a21bo_2 _362_ (
.a1(prescale[5]),
.a2(_211_),
.b1_n(_212_),
.x(_031_)
);
sky130_fd_sc_hd__a21bo_2 _363_ (
.a1(\prescale_reg[9] ),
.a2(_145_),
.b1_n(_146_),
.x(_034_)
);
sky130_fd_sc_hd__or2_2 _364_ (
.a(prescale[6]),
.b(_212_),
.x(_213_)
);
sky130_fd_sc_hd__a21bo_2 _365_ (
.a1(prescale[6]),
.a2(_212_),
.b1_n(_213_),
.x(_035_)
);
sky130_fd_sc_hd__a21bo_2 _366_ (
.a1(\prescale_reg[10] ),
.a2(_146_),
.b1_n(_147_),
.x(_038_)
);
sky130_fd_sc_hd__or2_2 _367_ (
.a(prescale[7]),
.b(_213_),
.x(_214_)
);
sky130_fd_sc_hd__buf_1 _368_ (
.a(_214_),
.x(_215_)
);
sky130_fd_sc_hd__a21bo_2 _369_ (
.a1(prescale[7]),
.a2(_213_),
.b1_n(_215_),
.x(_039_)
);
sky130_fd_sc_hd__a21bo_2 _370_ (
.a1(\prescale_reg[11] ),
.a2(_147_),
.b1_n(_148_),
.x(_042_)
);
sky130_fd_sc_hd__nor2_2 _371_ (
.a(prescale[8]),
.b(_215_),
.y(_216_)
);
sky130_fd_sc_hd__a21o_2 _372_ (
.a1(prescale[8]),
.a2(_215_),
.b1(_216_),
.x(_043_)
);
sky130_fd_sc_hd__a21bo_2 _373_ (
.a1(\prescale_reg[12] ),
.a2(_148_),
.b1_n(_149_),
.x(_046_)
);
sky130_fd_sc_hd__inv_2 _374_ (
.a(prescale[9]),
.y(_217_)
);
sky130_fd_sc_hd__or3_2 _375_ (
.a(prescale[8]),
.b(prescale[9]),
.c(_215_),
.x(_218_)
);
sky130_fd_sc_hd__o21ai_2 _376_ (
.a1(_217_),
.a2(_216_),
.b1(_218_),
.y(_047_)
);
sky130_fd_sc_hd__a21bo_2 _377_ (
.a1(\prescale_reg[13] ),
.a2(_149_),
.b1_n(_150_),
.x(_050_)
);
sky130_fd_sc_hd__or2_2 _378_ (
.a(prescale[10]),
.b(_218_),
.x(_219_)
);
sky130_fd_sc_hd__a21bo_2 _379_ (
.a1(prescale[10]),
.a2(_218_),
.b1_n(_219_),
.x(_051_)
);
sky130_fd_sc_hd__or2_2 _380_ (
.a(\prescale_reg[14] ),
.b(_150_),
.x(_220_)
);
sky130_fd_sc_hd__a21bo_2 _381_ (
.a1(\prescale_reg[14] ),
.a2(_150_),
.b1_n(_220_),
.x(_054_)
);
sky130_fd_sc_hd__or2_2 _382_ (
.a(prescale[10]),
.b(prescale[11]),
.x(_221_)
);
sky130_fd_sc_hd__or4_2 _383_ (
.a(prescale[8]),
.b(prescale[9]),
.c(_221_),
.d(_214_),
.x(_222_)
);
sky130_fd_sc_hd__a21bo_2 _384_ (
.a1(prescale[11]),
.a2(_219_),
.b1_n(_222_),
.x(_055_)
);
sky130_fd_sc_hd__a21bo_2 _385_ (
.a1(\prescale_reg[15] ),
.a2(_220_),
.b1_n(_151_),
.x(_058_)
);
sky130_fd_sc_hd__or2_2 _386_ (
.a(prescale[12]),
.b(_222_),
.x(_223_)
);
sky130_fd_sc_hd__a21bo_2 _387_ (
.a1(prescale[12]),
.a2(_222_),
.b1_n(_223_),
.x(_059_)
);
sky130_fd_sc_hd__or2_2 _388_ (
.a(\prescale_reg[16] ),
.b(_151_),
.x(_224_)
);
sky130_fd_sc_hd__a21bo_2 _389_ (
.a1(\prescale_reg[16] ),
.a2(_151_),
.b1_n(_224_),
.x(_062_)
);
sky130_fd_sc_hd__or3_2 _390_ (
.a(prescale[12]),
.b(prescale[13]),
.c(_222_),
.x(_225_)
);
sky130_fd_sc_hd__a21bo_2 _391_ (
.a1(prescale[13]),
.a2(_223_),
.b1_n(_225_),
.x(_063_)
);
sky130_fd_sc_hd__a21bo_2 _392_ (
.a1(\prescale_reg[17] ),
.a2(_224_),
.b1_n(_152_),
.x(_066_)
);
sky130_fd_sc_hd__or2_2 _393_ (
.a(prescale[14]),
.b(_225_),
.x(_226_)
);
sky130_fd_sc_hd__inv_2 _394_ (
.a(_226_),
.y(_227_)
);
sky130_fd_sc_hd__a21o_2 _395_ (
.a1(prescale[14]),
.a2(_225_),
.b1(_227_),
.x(_067_)
);
sky130_fd_sc_hd__a21o_2 _396_ (
.a1(\prescale_reg[18] ),
.a2(_152_),
.b1(_188_),
.x(_070_)
);
sky130_fd_sc_hd__inv_2 _397_ (
.a(prescale[15]),
.y(_228_)
);
sky130_fd_sc_hd__o22a_2 _398_ (
.a1(prescale[15]),
.a2(_227_),
.b1(_228_),
.b2(_226_),
.x(_071_)
);
sky130_fd_sc_hd__a31oi_2 _399_ (
.a1(s_axis_tvalid),
.a2(_000_),
.a3(s_axis_tready),
.b1(rst),
.y(_229_)
);
sky130_fd_sc_hd__o211a_2 _400_ (
.a1(s_axis_tready),
.a2(_000_),
.b1(_229_),
.c1(_188_),
.x(_136_)
);
sky130_fd_sc_hd__buf_2 _401_ (
.a(busy),
.x(busy_reg)
);
sky130_fd_sc_hd__buf_2 _402_ (
.a(s_axis_tready),
.x(s_axis_tready_reg)
);
sky130_fd_sc_hd__buf_2 _403_ (
.a(txd),
.x(txd_reg)
);
sky130_fd_sc_hd__mux2_1 _404_ (
.a0(\data_reg[1] ),
.a1(s_axis_tdata[0]),
.s(_000_),
.x(_074_)
);
sky130_fd_sc_hd__mux2_1 _405_ (
.a0(\data_reg[3] ),
.a1(s_axis_tdata[2]),
.s(_000_),
.x(_076_)
);
sky130_fd_sc_hd__mux2_1 _406_ (
.a0(\data_reg[4] ),
.a1(s_axis_tdata[3]),
.s(_000_),
.x(_077_)
);
sky130_fd_sc_hd__mux2_1 _407_ (
.a0(\data_reg[5] ),
.a1(s_axis_tdata[4]),
.s(_000_),
.x(_078_)
);
sky130_fd_sc_hd__mux2_1 _408_ (
.a0(\data_reg[2] ),
.a1(s_axis_tdata[1]),
.s(_000_),
.x(_075_)
);
sky130_fd_sc_hd__mux2_1 _409_ (
.a0(_007_),
.a1(_006_),
.s(_003_),
.x(_083_)
);
sky130_fd_sc_hd__mux2_1 _410_ (
.a0(_007_),
.a1(_008_),
.s(_003_),
.x(_093_)
);
sky130_fd_sc_hd__mux2_1 _411_ (
.a0(_007_),
.a1(_009_),
.s(_003_),
.x(_094_)
);
sky130_fd_sc_hd__mux2_1 _412_ (
.a0(_012_),
.a1(_011_),
.s(_000_),
.x(_013_)
);
sky130_fd_sc_hd__mux2_1 _413_ (
.a0(_013_),
.a1(_010_),
.s(_003_),
.x(_095_)
);
sky130_fd_sc_hd__mux2_1 _414_ (
.a0(_015_),
.a1(prescale[1]),
.s(_004_),
.x(_016_)
);
sky130_fd_sc_hd__mux2_1 _415_ (
.a0(_016_),
.a1(_015_),
.s(_000_),
.x(_017_)
);
sky130_fd_sc_hd__mux2_1 _416_ (
.a0(_017_),
.a1(_014_),
.s(_003_),
.x(_096_)
);
sky130_fd_sc_hd__mux2_1 _417_ (
.a0(\data_reg[6] ),
.a1(s_axis_tdata[5]),
.s(_000_),
.x(_079_)
);
sky130_fd_sc_hd__mux2_1 _418_ (
.a0(\data_reg[7] ),
.a1(s_axis_tdata[6]),
.s(_000_),
.x(_080_)
);
sky130_fd_sc_hd__mux2_1 _419_ (
.a0(\data_reg[8] ),
.a1(s_axis_tdata[7]),
.s(_000_),
.x(_081_)
);
sky130_fd_sc_hd__mux2_1 _420_ (
.a0(_019_),
.a1(prescale[2]),
.s(_004_),
.x(_020_)
);
sky130_fd_sc_hd__mux2_1 _421_ (
.a0(_020_),
.a1(_019_),
.s(_000_),
.x(_021_)
);
sky130_fd_sc_hd__mux2_1 _422_ (
.a0(_021_),
.a1(_018_),
.s(_003_),
.x(_097_)
);
sky130_fd_sc_hd__mux2_1 _423_ (
.a0(_023_),
.a1(prescale[3]),
.s(_004_),
.x(_024_)
);
sky130_fd_sc_hd__mux2_1 _424_ (
.a0(_024_),
.a1(_023_),
.s(_000_),
.x(_025_)
);
sky130_fd_sc_hd__mux2_1 _425_ (
.a0(_025_),
.a1(_022_),
.s(_003_),
.x(_098_)
);
sky130_fd_sc_hd__mux2_1 _426_ (
.a0(_027_),
.a1(prescale[4]),
.s(_004_),
.x(_028_)
);
sky130_fd_sc_hd__mux2_1 _427_ (
.a0(_028_),
.a1(_027_),
.s(_000_),
.x(_029_)
);
sky130_fd_sc_hd__mux2_1 _428_ (
.a0(_029_),
.a1(_026_),
.s(_003_),
.x(_099_)
);
sky130_fd_sc_hd__mux2_1 _429_ (
.a0(_031_),
.a1(prescale[5]),
.s(_004_),
.x(_032_)
);
sky130_fd_sc_hd__mux2_1 _430_ (
.a0(_032_),
.a1(_031_),
.s(_000_),
.x(_033_)
);
sky130_fd_sc_hd__mux2_1 _431_ (
.a0(_033_),
.a1(_030_),
.s(_003_),
.x(_100_)
);
sky130_fd_sc_hd__mux2_1 _432_ (
.a0(_035_),
.a1(prescale[6]),
.s(_004_),
.x(_036_)
);
sky130_fd_sc_hd__mux2_1 _433_ (
.a0(_036_),
.a1(_035_),
.s(_000_),
.x(_037_)
);
sky130_fd_sc_hd__mux2_1 _434_ (
.a0(_037_),
.a1(_034_),
.s(_003_),
.x(_101_)
);
sky130_fd_sc_hd__mux2_1 _435_ (
.a0(_039_),
.a1(prescale[7]),
.s(_004_),
.x(_040_)
);
sky130_fd_sc_hd__mux2_1 _436_ (
.a0(_040_),
.a1(_039_),
.s(_000_),
.x(_041_)
);
sky130_fd_sc_hd__mux2_1 _437_ (
.a0(_041_),
.a1(_038_),
.s(_003_),
.x(_084_)
);
sky130_fd_sc_hd__mux2_1 _438_ (
.a0(_043_),
.a1(prescale[8]),
.s(_004_),
.x(_044_)
);
sky130_fd_sc_hd__mux2_1 _439_ (
.a0(_044_),
.a1(_043_),
.s(_000_),
.x(_045_)
);
sky130_fd_sc_hd__mux2_1 _440_ (
.a0(_045_),
.a1(_042_),
.s(_003_),
.x(_085_)
);
sky130_fd_sc_hd__mux2_1 _441_ (
.a0(_047_),
.a1(prescale[9]),
.s(_004_),
.x(_048_)
);
sky130_fd_sc_hd__mux2_1 _442_ (
.a0(_048_),
.a1(_047_),
.s(_000_),
.x(_049_)
);
sky130_fd_sc_hd__mux2_1 _443_ (
.a0(_049_),
.a1(_046_),
.s(_003_),
.x(_086_)
);
sky130_fd_sc_hd__mux2_1 _444_ (
.a0(_051_),
.a1(prescale[10]),
.s(_004_),
.x(_052_)
);
sky130_fd_sc_hd__mux2_1 _445_ (
.a0(_052_),
.a1(_051_),
.s(_000_),
.x(_053_)
);
sky130_fd_sc_hd__mux2_1 _446_ (
.a0(_053_),
.a1(_050_),
.s(_003_),
.x(_087_)
);
sky130_fd_sc_hd__mux2_1 _447_ (
.a0(_055_),
.a1(prescale[11]),
.s(_004_),
.x(_056_)
);
sky130_fd_sc_hd__mux2_1 _448_ (
.a0(_056_),
.a1(_055_),
.s(_000_),
.x(_057_)
);
sky130_fd_sc_hd__mux2_1 _449_ (
.a0(_057_),
.a1(_054_),
.s(_003_),
.x(_088_)
);
sky130_fd_sc_hd__mux2_1 _450_ (
.a0(_059_),
.a1(prescale[12]),
.s(_004_),
.x(_060_)
);
sky130_fd_sc_hd__mux2_1 _451_ (
.a0(_060_),
.a1(_059_),
.s(_000_),
.x(_061_)
);
sky130_fd_sc_hd__mux2_1 _452_ (
.a0(_061_),
.a1(_058_),
.s(_003_),
.x(_089_)
);
sky130_fd_sc_hd__mux2_1 _453_ (
.a0(_063_),
.a1(prescale[13]),
.s(_004_),
.x(_064_)
);
sky130_fd_sc_hd__mux2_1 _454_ (
.a0(_064_),
.a1(_063_),
.s(_000_),
.x(_065_)
);
sky130_fd_sc_hd__mux2_1 _455_ (
.a0(_065_),
.a1(_062_),
.s(_003_),
.x(_090_)
);
sky130_fd_sc_hd__mux2_1 _456_ (
.a0(_067_),
.a1(prescale[14]),
.s(_004_),
.x(_068_)
);
sky130_fd_sc_hd__mux2_1 _457_ (
.a0(_068_),
.a1(_067_),
.s(_000_),
.x(_069_)
);
sky130_fd_sc_hd__mux2_1 _458_ (
.a0(_069_),
.a1(_066_),
.s(_003_),
.x(_091_)
);
sky130_fd_sc_hd__mux2_1 _459_ (
.a0(_071_),
.a1(prescale[15]),
.s(_004_),
.x(_072_)
);
sky130_fd_sc_hd__mux2_1 _460_ (
.a0(_072_),
.a1(_071_),
.s(_000_),
.x(_073_)
);
sky130_fd_sc_hd__mux2_1 _461_ (
.a0(_073_),
.a1(_070_),
.s(_003_),
.x(_092_)
);
sky130_fd_sc_hd__mux2_1 _462_ (
.a0(_005_),
.a1(_002_),
.s(_001_),
.x(_082_)
);
sky130_fd_sc_hd__dfxtp_2 _463_ (
.clk(clk),
.d(_102_),
.q(\data_reg[0] )
);
sky130_fd_sc_hd__dfxtp_2 _464_ (
.clk(clk),
.d(_103_),
.q(\data_reg[1] )
);
sky130_fd_sc_hd__dfxtp_2 _465_ (
.clk(clk),
.d(_104_),
.q(\data_reg[2] )
);
sky130_fd_sc_hd__dfxtp_2 _466_ (
.clk(clk),
.d(_105_),
.q(\data_reg[3] )
);
sky130_fd_sc_hd__dfxtp_2 _467_ (
.clk(clk),
.d(_106_),
.q(\data_reg[4] )
);
sky130_fd_sc_hd__dfxtp_2 _468_ (
.clk(clk),
.d(_107_),
.q(\data_reg[5] )
);
sky130_fd_sc_hd__dfxtp_2 _469_ (
.clk(clk),
.d(_108_),
.q(\data_reg[6] )
);
sky130_fd_sc_hd__dfxtp_2 _470_ (
.clk(clk),
.d(_109_),
.q(\data_reg[7] )
);
sky130_fd_sc_hd__dfxtp_2 _471_ (
.clk(clk),
.d(_110_),
.q(\data_reg[8] )
);
sky130_fd_sc_hd__dfxtp_2 _472_ (
.clk(clk),
.d(_111_),
.q(busy)
);
sky130_fd_sc_hd__dfxtp_2 _473_ (
.clk(clk),
.d(_112_),
.q(\bit_cnt[0] )
);
sky130_fd_sc_hd__dfxtp_2 _474_ (
.clk(clk),
.d(_113_),
.q(\bit_cnt[1] )
);
sky130_fd_sc_hd__dfxtp_2 _475_ (
.clk(clk),
.d(_114_),
.q(\bit_cnt[2] )
);
sky130_fd_sc_hd__dfxtp_2 _476_ (
.clk(clk),
.d(_115_),
.q(\bit_cnt[3] )
);
sky130_fd_sc_hd__dfxtp_2 _477_ (
.clk(clk),
.d(_116_),
.q(txd)
);
sky130_fd_sc_hd__dfxtp_2 _478_ (
.clk(clk),
.d(_117_),
.q(\prescale_reg[0] )
);
sky130_fd_sc_hd__dfxtp_2 _479_ (
.clk(clk),
.d(_118_),
.q(\prescale_reg[1] )
);
sky130_fd_sc_hd__dfxtp_2 _480_ (
.clk(clk),
.d(_119_),
.q(\prescale_reg[2] )
);
sky130_fd_sc_hd__dfxtp_2 _481_ (
.clk(clk),
.d(_120_),
.q(\prescale_reg[3] )
);
sky130_fd_sc_hd__dfxtp_2 _482_ (
.clk(clk),
.d(_121_),
.q(\prescale_reg[4] )
);
sky130_fd_sc_hd__dfxtp_2 _483_ (
.clk(clk),
.d(_122_),
.q(\prescale_reg[5] )
);
sky130_fd_sc_hd__dfxtp_2 _484_ (
.clk(clk),
.d(_123_),
.q(\prescale_reg[6] )
);
sky130_fd_sc_hd__dfxtp_2 _485_ (
.clk(clk),
.d(_124_),
.q(\prescale_reg[7] )
);
sky130_fd_sc_hd__dfxtp_2 _486_ (
.clk(clk),
.d(_125_),
.q(\prescale_reg[8] )
);
sky130_fd_sc_hd__dfxtp_2 _487_ (
.clk(clk),
.d(_126_),
.q(\prescale_reg[9] )
);
sky130_fd_sc_hd__dfxtp_2 _488_ (
.clk(clk),
.d(_127_),
.q(\prescale_reg[10] )
);
sky130_fd_sc_hd__dfxtp_2 _489_ (
.clk(clk),
.d(_128_),
.q(\prescale_reg[11] )
);
sky130_fd_sc_hd__dfxtp_2 _490_ (
.clk(clk),
.d(_129_),
.q(\prescale_reg[12] )
);
sky130_fd_sc_hd__dfxtp_2 _491_ (
.clk(clk),
.d(_130_),
.q(\prescale_reg[13] )
);
sky130_fd_sc_hd__dfxtp_2 _492_ (
.clk(clk),
.d(_131_),
.q(\prescale_reg[14] )
);
sky130_fd_sc_hd__dfxtp_2 _493_ (
.clk(clk),
.d(_132_),
.q(\prescale_reg[15] )
);
sky130_fd_sc_hd__dfxtp_2 _494_ (
.clk(clk),
.d(_133_),
.q(\prescale_reg[16] )
);
sky130_fd_sc_hd__dfxtp_2 _495_ (
.clk(clk),
.d(_134_),
.q(\prescale_reg[17] )
);
sky130_fd_sc_hd__dfxtp_2 _496_ (
.clk(clk),
.d(_135_),
.q(\prescale_reg[18] )
);
sky130_fd_sc_hd__dfxtp_2 _497_ (
.clk(clk),
.d(_136_),
.q(s_axis_tready)
);
endmodule
module config(clk, rx, comactive, receiveled, s_clk, s_data, selfwritedata, selfwritestrobe, configwritedata, configwritestrobe, frameaddressregister, longframestrobe, rowselect);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire bitbangactive;
wire \bitbangwritedata[0] ;
wire \bitbangwritedata[10] ;
wire \bitbangwritedata[11] ;
wire \bitbangwritedata[12] ;
wire \bitbangwritedata[13] ;
wire \bitbangwritedata[14] ;
wire \bitbangwritedata[15] ;
wire \bitbangwritedata[16] ;
wire \bitbangwritedata[17] ;
wire \bitbangwritedata[18] ;
wire \bitbangwritedata[19] ;
wire \bitbangwritedata[1] ;
wire \bitbangwritedata[20] ;
wire \bitbangwritedata[21] ;
wire \bitbangwritedata[22] ;
wire \bitbangwritedata[23] ;
wire \bitbangwritedata[24] ;
wire \bitbangwritedata[25] ;
wire \bitbangwritedata[26] ;
wire \bitbangwritedata[27] ;
wire \bitbangwritedata[28] ;
wire \bitbangwritedata[29] ;
wire \bitbangwritedata[2] ;
wire \bitbangwritedata[30] ;
wire \bitbangwritedata[31] ;
wire \bitbangwritedata[3] ;
wire \bitbangwritedata[4] ;
wire \bitbangwritedata[5] ;
wire \bitbangwritedata[6] ;
wire \bitbangwritedata[7] ;
wire \bitbangwritedata[8] ;
wire \bitbangwritedata[9] ;
wire bitbangwritestrobe;
input clk;
output comactive;
wire \command[0] ;
wire \command[1] ;
wire \command[2] ;
wire \command[3] ;
wire \command[4] ;
wire \command[5] ;
wire \command[6] ;
wire \command[7] ;
output [31:0] configwritedata;
output configwritestrobe;
output [31:0] frameaddressregister;
output longframestrobe;
output receiveled;
wire reset;
output [4:0] rowselect;
input rx;
input [31:0] selfwritedata;
input selfwritestrobe;
wire uart_led;
wire \uart_writedata[0] ;
wire \uart_writedata[10] ;
wire \uart_writedata[11] ;
wire \uart_writedata[12] ;
wire \uart_writedata[13] ;
wire \uart_writedata[14] ;
wire \uart_writedata[15] ;
wire \uart_writedata[16] ;
wire \uart_writedata[17] ;
wire \uart_writedata[18] ;
wire \uart_writedata[19] ;
wire \uart_writedata[1] ;
wire \uart_writedata[20] ;
wire \uart_writedata[21] ;
wire \uart_writedata[22] ;
wire \uart_writedata[23] ;
wire \uart_writedata[24] ;
wire \uart_writedata[25] ;
wire \uart_writedata[26] ;
wire \uart_writedata[27] ;
wire \uart_writedata[28] ;
wire \uart_writedata[29] ;
wire \uart_writedata[2] ;
wire \uart_writedata[30] ;
wire \uart_writedata[31] ;
wire \uart_writedata[3] ;
wire \uart_writedata[4] ;
wire \uart_writedata[5] ;
wire \uart_writedata[6] ;
wire \uart_writedata[7] ;
wire \uart_writedata[8] ;
wire \uart_writedata[9] ;
wire uart_writestrobe;
input s_clk;
input s_data;
sky130_fd_sc_hd__or2_2 _034_ (
.a(bitbangactive),
.b(comactive),
.x(_033_)
);
sky130_fd_sc_hd__buf_1 _035_ (
.a(_033_),
.x(reset)
);
sky130_fd_sc_hd__a2bb2oi_2 _036_ (
.a1_n(uart_led),
.a2_n(bitbangwritestrobe),
.b1(uart_led),
.b2(bitbangwritestrobe),
.y(receiveled)
);
sky130_fd_sc_hd__mux2_1 _037_ (
.a0(selfwritedata[0]),
.a1(\bitbangwritedata[0] ),
.s(bitbangactive),
.x(_000_)
);
sky130_fd_sc_hd__mux2_1 _038_ (
.a0(_000_),
.a1(\uart_writedata[0] ),
.s(comactive),
.x(configwritedata[0])
);
sky130_fd_sc_hd__mux2_1 _039_ (
.a0(selfwritedata[1]),
.a1(\bitbangwritedata[1] ),
.s(bitbangactive),
.x(_001_)
);
sky130_fd_sc_hd__mux2_1 _040_ (
.a0(_001_),
.a1(\uart_writedata[1] ),
.s(comactive),
.x(configwritedata[1])
);
sky130_fd_sc_hd__mux2_1 _041_ (
.a0(selfwritedata[2]),
.a1(\bitbangwritedata[2] ),
.s(bitbangactive),
.x(_002_)
);
sky130_fd_sc_hd__mux2_1 _042_ (
.a0(_002_),
.a1(\uart_writedata[2] ),
.s(comactive),
.x(configwritedata[2])
);
sky130_fd_sc_hd__mux2_1 _043_ (
.a0(selfwritedata[3]),
.a1(\bitbangwritedata[3] ),
.s(bitbangactive),
.x(_003_)
);
sky130_fd_sc_hd__mux2_1 _044_ (
.a0(_003_),
.a1(\uart_writedata[3] ),
.s(comactive),
.x(configwritedata[3])
);
sky130_fd_sc_hd__mux2_1 _045_ (
.a0(selfwritedata[4]),
.a1(\bitbangwritedata[4] ),
.s(bitbangactive),
.x(_004_)
);
sky130_fd_sc_hd__mux2_1 _046_ (
.a0(_004_),
.a1(\uart_writedata[4] ),
.s(comactive),
.x(configwritedata[4])
);
sky130_fd_sc_hd__mux2_1 _047_ (
.a0(selfwritedata[5]),
.a1(\bitbangwritedata[5] ),
.s(bitbangactive),
.x(_005_)
);
sky130_fd_sc_hd__mux2_1 _048_ (
.a0(_005_),
.a1(\uart_writedata[5] ),
.s(comactive),
.x(configwritedata[5])
);
sky130_fd_sc_hd__mux2_1 _049_ (
.a0(selfwritedata[6]),
.a1(\bitbangwritedata[6] ),
.s(bitbangactive),
.x(_006_)
);
sky130_fd_sc_hd__mux2_1 _050_ (
.a0(_006_),
.a1(\uart_writedata[6] ),
.s(comactive),
.x(configwritedata[6])
);
sky130_fd_sc_hd__mux2_1 _051_ (
.a0(selfwritedata[7]),
.a1(\bitbangwritedata[7] ),
.s(bitbangactive),
.x(_007_)
);
sky130_fd_sc_hd__mux2_1 _052_ (
.a0(_007_),
.a1(\uart_writedata[7] ),
.s(comactive),
.x(configwritedata[7])
);
sky130_fd_sc_hd__mux2_1 _053_ (
.a0(selfwritedata[8]),
.a1(\bitbangwritedata[8] ),
.s(bitbangactive),
.x(_008_)
);
sky130_fd_sc_hd__mux2_1 _054_ (
.a0(_008_),
.a1(\uart_writedata[8] ),
.s(comactive),
.x(configwritedata[8])
);
sky130_fd_sc_hd__mux2_1 _055_ (
.a0(selfwritedata[9]),
.a1(\bitbangwritedata[9] ),
.s(bitbangactive),
.x(_009_)
);
sky130_fd_sc_hd__mux2_1 _056_ (
.a0(_009_),
.a1(\uart_writedata[9] ),
.s(comactive),
.x(configwritedata[9])
);
sky130_fd_sc_hd__mux2_1 _057_ (
.a0(selfwritedata[10]),
.a1(\bitbangwritedata[10] ),
.s(bitbangactive),
.x(_010_)
);
sky130_fd_sc_hd__mux2_1 _058_ (
.a0(_010_),
.a1(\uart_writedata[10] ),
.s(comactive),
.x(configwritedata[10])
);
sky130_fd_sc_hd__mux2_1 _059_ (
.a0(selfwritedata[11]),
.a1(\bitbangwritedata[11] ),
.s(bitbangactive),
.x(_011_)
);
sky130_fd_sc_hd__mux2_1 _060_ (
.a0(_011_),
.a1(\uart_writedata[11] ),
.s(comactive),
.x(configwritedata[11])
);
sky130_fd_sc_hd__mux2_1 _061_ (
.a0(selfwritedata[12]),
.a1(\bitbangwritedata[12] ),
.s(bitbangactive),
.x(_012_)
);
sky130_fd_sc_hd__mux2_1 _062_ (
.a0(_012_),
.a1(\uart_writedata[12] ),
.s(comactive),
.x(configwritedata[12])
);
sky130_fd_sc_hd__mux2_1 _063_ (
.a0(selfwritedata[13]),
.a1(\bitbangwritedata[13] ),
.s(bitbangactive),
.x(_013_)
);
sky130_fd_sc_hd__mux2_1 _064_ (
.a0(_013_),
.a1(\uart_writedata[13] ),
.s(comactive),
.x(configwritedata[13])
);
sky130_fd_sc_hd__mux2_1 _065_ (
.a0(selfwritedata[14]),
.a1(\bitbangwritedata[14] ),
.s(bitbangactive),
.x(_014_)
);
sky130_fd_sc_hd__mux2_1 _066_ (
.a0(_014_),
.a1(\uart_writedata[14] ),
.s(comactive),
.x(configwritedata[14])
);
sky130_fd_sc_hd__mux2_1 _067_ (
.a0(selfwritedata[15]),
.a1(\bitbangwritedata[15] ),
.s(bitbangactive),
.x(_015_)
);
sky130_fd_sc_hd__mux2_1 _068_ (
.a0(_015_),
.a1(\uart_writedata[15] ),
.s(comactive),
.x(configwritedata[15])
);
sky130_fd_sc_hd__mux2_1 _069_ (
.a0(selfwritedata[16]),
.a1(\bitbangwritedata[16] ),
.s(bitbangactive),
.x(_016_)
);
sky130_fd_sc_hd__mux2_1 _070_ (
.a0(_016_),
.a1(\uart_writedata[16] ),
.s(comactive),
.x(configwritedata[16])
);
sky130_fd_sc_hd__mux2_1 _071_ (
.a0(selfwritedata[17]),
.a1(\bitbangwritedata[17] ),
.s(bitbangactive),
.x(_017_)
);
sky130_fd_sc_hd__mux2_1 _072_ (
.a0(_017_),
.a1(\uart_writedata[17] ),
.s(comactive),
.x(configwritedata[17])
);
sky130_fd_sc_hd__mux2_1 _073_ (
.a0(selfwritedata[18]),
.a1(\bitbangwritedata[18] ),
.s(bitbangactive),
.x(_018_)
);
sky130_fd_sc_hd__mux2_1 _074_ (
.a0(_018_),
.a1(\uart_writedata[18] ),
.s(comactive),
.x(configwritedata[18])
);
sky130_fd_sc_hd__mux2_1 _075_ (
.a0(selfwritedata[19]),
.a1(\bitbangwritedata[19] ),
.s(bitbangactive),
.x(_019_)
);
sky130_fd_sc_hd__mux2_1 _076_ (
.a0(_019_),
.a1(\uart_writedata[19] ),
.s(comactive),
.x(configwritedata[19])
);
sky130_fd_sc_hd__mux2_1 _077_ (
.a0(selfwritedata[20]),
.a1(\bitbangwritedata[20] ),
.s(bitbangactive),
.x(_020_)
);
sky130_fd_sc_hd__mux2_1 _078_ (
.a0(_020_),
.a1(\uart_writedata[20] ),
.s(comactive),
.x(configwritedata[20])
);
sky130_fd_sc_hd__mux2_1 _079_ (
.a0(selfwritedata[21]),
.a1(\bitbangwritedata[21] ),
.s(bitbangactive),
.x(_021_)
);
sky130_fd_sc_hd__mux2_1 _080_ (
.a0(_021_),
.a1(\uart_writedata[21] ),
.s(comactive),
.x(configwritedata[21])
);
sky130_fd_sc_hd__mux2_1 _081_ (
.a0(selfwritedata[22]),
.a1(\bitbangwritedata[22] ),
.s(bitbangactive),
.x(_022_)
);
sky130_fd_sc_hd__mux2_1 _082_ (
.a0(_022_),
.a1(\uart_writedata[22] ),
.s(comactive),
.x(configwritedata[22])
);
sky130_fd_sc_hd__mux2_1 _083_ (
.a0(selfwritedata[23]),
.a1(\bitbangwritedata[23] ),
.s(bitbangactive),
.x(_023_)
);
sky130_fd_sc_hd__mux2_1 _084_ (
.a0(_023_),
.a1(\uart_writedata[23] ),
.s(comactive),
.x(configwritedata[23])
);
sky130_fd_sc_hd__mux2_1 _085_ (
.a0(selfwritedata[24]),
.a1(\bitbangwritedata[24] ),
.s(bitbangactive),
.x(_024_)
);
sky130_fd_sc_hd__mux2_1 _086_ (
.a0(_024_),
.a1(\uart_writedata[24] ),
.s(comactive),
.x(configwritedata[24])
);
sky130_fd_sc_hd__mux2_1 _087_ (
.a0(selfwritedata[25]),
.a1(\bitbangwritedata[25] ),
.s(bitbangactive),
.x(_025_)
);
sky130_fd_sc_hd__mux2_1 _088_ (
.a0(_025_),
.a1(\uart_writedata[25] ),
.s(comactive),
.x(configwritedata[25])
);
sky130_fd_sc_hd__mux2_1 _089_ (
.a0(selfwritedata[26]),
.a1(\bitbangwritedata[26] ),
.s(bitbangactive),
.x(_026_)
);
sky130_fd_sc_hd__mux2_1 _090_ (
.a0(_026_),
.a1(\uart_writedata[26] ),
.s(comactive),
.x(configwritedata[26])
);
sky130_fd_sc_hd__mux2_1 _091_ (
.a0(selfwritedata[27]),
.a1(\bitbangwritedata[27] ),
.s(bitbangactive),
.x(_027_)
);
sky130_fd_sc_hd__mux2_1 _092_ (
.a0(_027_),
.a1(\uart_writedata[27] ),
.s(comactive),
.x(configwritedata[27])
);
sky130_fd_sc_hd__mux2_1 _093_ (
.a0(selfwritedata[28]),
.a1(\bitbangwritedata[28] ),
.s(bitbangactive),
.x(_028_)
);
sky130_fd_sc_hd__mux2_1 _094_ (
.a0(_028_),
.a1(\uart_writedata[28] ),
.s(comactive),
.x(configwritedata[28])
);
sky130_fd_sc_hd__mux2_1 _095_ (
.a0(selfwritedata[29]),
.a1(\bitbangwritedata[29] ),
.s(bitbangactive),
.x(_029_)
);
sky130_fd_sc_hd__mux2_1 _096_ (
.a0(_029_),
.a1(\uart_writedata[29] ),
.s(comactive),
.x(configwritedata[29])
);
sky130_fd_sc_hd__mux2_1 _097_ (
.a0(selfwritedata[30]),
.a1(\bitbangwritedata[30] ),
.s(bitbangactive),
.x(_030_)
);
sky130_fd_sc_hd__mux2_1 _098_ (
.a0(_030_),
.a1(\uart_writedata[30] ),
.s(comactive),
.x(configwritedata[30])
);
sky130_fd_sc_hd__mux2_1 _099_ (
.a0(selfwritedata[31]),
.a1(\bitbangwritedata[31] ),
.s(bitbangactive),
.x(_031_)
);
sky130_fd_sc_hd__mux2_1 _100_ (
.a0(_031_),
.a1(\uart_writedata[31] ),
.s(comactive),
.x(configwritedata[31])
);
sky130_fd_sc_hd__mux2_1 _101_ (
.a0(selfwritestrobe),
.a1(bitbangwritestrobe),
.s(bitbangactive),
.x(_032_)
);
sky130_fd_sc_hd__mux2_1 _102_ (
.a0(_032_),
.a1(uart_writestrobe),
.s(comactive),
.x(configwritestrobe)
);
configfsm configfsm_inst (
.clk(clk),
.frameaddressregister(frameaddressregister),
.longframestrobe(longframestrobe),
.reset(reset),
.rowselect(rowselect),
.writedata(configwritedata),
.writestrobe(configwritestrobe)
);
config_uart inst_config_uart (
.clk(clk),
.comactive(comactive),
.command({ \command[7] , \command[6] , \command[5] , \command[4] , \command[3] , \command[2] , \command[1] , \command[0] }),
.receiveled(uart_led),
.rx(rx),
.writedata({ \uart_writedata[31] , \uart_writedata[30] , \uart_writedata[29] , \uart_writedata[28] , \uart_writedata[27] , \uart_writedata[26] , \uart_writedata[25] , \uart_writedata[24] , \uart_writedata[23] , \uart_writedata[22] , \uart_writedata[21] , \uart_writedata[20] , \uart_writedata[19] , \uart_writedata[18] , \uart_writedata[17] , \uart_writedata[16] , \uart_writedata[15] , \uart_writedata[14] , \uart_writedata[13] , \uart_writedata[12] , \uart_writedata[11] , \uart_writedata[10] , \uart_writedata[9] , \uart_writedata[8] , \uart_writedata[7] , \uart_writedata[6] , \uart_writedata[5] , \uart_writedata[4] , \uart_writedata[3] , \uart_writedata[2] , \uart_writedata[1] , \uart_writedata[0] }),
.writestrobe(uart_writestrobe)
);
bitbang inst_bitbang (
.active(bitbangactive),
.clk(clk),
.data({ \bitbangwritedata[31] , \bitbangwritedata[30] , \bitbangwritedata[29] , \bitbangwritedata[28] , \bitbangwritedata[27] , \bitbangwritedata[26] , \bitbangwritedata[25] , \bitbangwritedata[24] , \bitbangwritedata[23] , \bitbangwritedata[22] , \bitbangwritedata[21] , \bitbangwritedata[20] , \bitbangwritedata[19] , \bitbangwritedata[18] , \bitbangwritedata[17] , \bitbangwritedata[16] , \bitbangwritedata[15] , \bitbangwritedata[14] , \bitbangwritedata[13] , \bitbangwritedata[12] , \bitbangwritedata[11] , \bitbangwritedata[10] , \bitbangwritedata[9] , \bitbangwritedata[8] , \bitbangwritedata[7] , \bitbangwritedata[6] , \bitbangwritedata[5] , \bitbangwritedata[4] , \bitbangwritedata[3] , \bitbangwritedata[2] , \bitbangwritedata[1] , \bitbangwritedata[0] }),
.s_clk(s_clk),
.s_data(s_data),
.strobe(bitbangwritestrobe)
);
endmodule
module configfsm(clk, writedata, writestrobe, reset, frameaddressregister, longframestrobe, rowselect);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
input clk;
output [31:0] frameaddressregister;
wire \frameshiftstate[0] ;
wire \frameshiftstate[1] ;
wire \frameshiftstate[2] ;
wire \frameshiftstate[3] ;
wire \frameshiftstate[4] ;
wire framestrobe;
output longframestrobe;
input reset;
output [4:0] rowselect;
input [31:0] writedata;
input writestrobe;
wire oldframestrobe;
wire old_reset;
wire \state[0] ;
wire \state[1] ;
sky130_fd_sc_hd__inv_2 _118_ (
.a(writestrobe),
.y(_043_)
);
sky130_fd_sc_hd__buf_1 _119_ (
.a(_043_),
.x(_044_)
);
sky130_fd_sc_hd__buf_1 _120_ (
.a(_044_),
.x(_045_)
);
sky130_fd_sc_hd__inv_2 _121_ (
.a(\state[0] ),
.y(_046_)
);
sky130_fd_sc_hd__or2_2 _122_ (
.a(\state[1] ),
.b(_046_),
.x(_047_)
);
sky130_fd_sc_hd__inv_2 _123_ (
.a(\state[1] ),
.y(_048_)
);
sky130_fd_sc_hd__or4bb_2 _124_ (
.a(writedata[10]),
.b(writedata[8]),
.c_n(writedata[9]),
.d_n(writedata[7]),
.x(_049_)
);
sky130_fd_sc_hd__nand4_2 _125_ (
.a(writedata[14]),
.b(writedata[13]),
.c(writedata[12]),
.d(writedata[11]),
.y(_050_)
);
sky130_fd_sc_hd__or4bb_2 _126_ (
.a(writedata[2]),
.b(writedata[1]),
.c_n(writedata[0]),
.d_n(writedata[20]),
.x(_051_)
);
sky130_fd_sc_hd__or4bb_2 _127_ (
.a(writedata[6]),
.b(writedata[3]),
.c_n(writedata[4]),
.d_n(writedata[5]),
.x(_052_)
);
sky130_fd_sc_hd__or4_2 _128_ (
.a(_049_),
.b(_050_),
.c(_051_),
.d(_052_),
.x(_053_)
);
sky130_fd_sc_hd__or4bb_2 _129_ (
.a(writedata[24]),
.b(writedata[26]),
.c_n(writedata[25]),
.d_n(writedata[27]),
.x(_054_)
);
sky130_fd_sc_hd__nand4_2 _130_ (
.a(writedata[31]),
.b(writedata[30]),
.c(writedata[29]),
.d(writedata[28]),
.y(_055_)
);
sky130_fd_sc_hd__or4b_2 _131_ (
.a(writedata[18]),
.b(writedata[17]),
.c(writedata[16]),
.d_n(writedata[15]),
.x(_056_)
);
sky130_fd_sc_hd__or4bb_2 _132_ (
.a(writedata[19]),
.b(writedata[22]),
.c_n(writedata[21]),
.d_n(writedata[23]),
.x(_057_)
);
sky130_fd_sc_hd__or4_2 _133_ (
.a(_054_),
.b(_055_),
.c(_056_),
.d(_057_),
.x(_058_)
);
sky130_fd_sc_hd__or2_2 _134_ (
.a(_053_),
.b(_058_),
.x(_059_)
);
sky130_fd_sc_hd__inv_2 _135_ (
.a(\frameshiftstate[0] ),
.y(_060_)
);
sky130_fd_sc_hd__or2_2 _136_ (
.a(\frameshiftstate[3] ),
.b(\frameshiftstate[2] ),
.x(_061_)
);
sky130_fd_sc_hd__or4_2 _137_ (
.a(\frameshiftstate[4] ),
.b(\frameshiftstate[1] ),
.c(_060_),
.d(_061_),
.x(_062_)
);
sky130_fd_sc_hd__nor2_2 _138_ (
.a(\state[0] ),
.b(_062_),
.y(_063_)
);
sky130_fd_sc_hd__o21ai_2 _139_ (
.a1(_048_),
.a2(_063_),
.b1(writestrobe),
.y(_064_)
);
sky130_fd_sc_hd__a31oi_2 _140_ (
.a1(_048_),
.a2(_046_),
.a3(_059_),
.b1(_064_),
.y(_065_)
);
sky130_fd_sc_hd__or2b_2 _141_ (
.a(old_reset),
.b_n(reset),
.x(_066_)
);
sky130_fd_sc_hd__buf_1 _142_ (
.a(_066_),
.x(_067_)
);
sky130_fd_sc_hd__o221a_2 _143_ (
.a1(_045_),
.a2(_047_),
.b1(\state[0] ),
.b2(_065_),
.c1(_067_),
.x(_041_)
);
sky130_fd_sc_hd__inv_2 _144_ (
.a(_066_),
.y(_068_)
);
sky130_fd_sc_hd__inv_2 _145_ (
.a(\frameshiftstate[4] ),
.y(_069_)
);
sky130_fd_sc_hd__or2_2 _146_ (
.a(_048_),
.b(\state[0] ),
.x(_070_)
);
sky130_fd_sc_hd__inv_2 _147_ (
.a(_070_),
.y(_071_)
);
sky130_fd_sc_hd__buf_1 _148_ (
.a(_071_),
.x(_001_)
);
sky130_fd_sc_hd__or3_2 _149_ (
.a(\frameshiftstate[1] ),
.b(\frameshiftstate[0] ),
.c(_061_),
.x(_072_)
);
sky130_fd_sc_hd__inv_2 _150_ (
.a(_047_),
.y(_002_)
);
sky130_fd_sc_hd__inv_2 _151_ (
.a(_003_),
.y(_073_)
);
sky130_fd_sc_hd__a31o_2 _152_ (
.a1(writestrobe),
.a2(_002_),
.a3(writedata[20]),
.b1(_073_),
.x(_074_)
);
sky130_fd_sc_hd__a21oi_2 _153_ (
.a1(_044_),
.a2(_071_),
.b1(_074_),
.y(_075_)
);
sky130_fd_sc_hd__inv_2 _154_ (
.a(_075_),
.y(_076_)
);
sky130_fd_sc_hd__a21oi_2 _155_ (
.a1(_001_),
.a2(_072_),
.b1(_076_),
.y(_077_)
);
sky130_fd_sc_hd__buf_1 _156_ (
.a(_076_),
.x(_078_)
);
sky130_fd_sc_hd__or3_2 _157_ (
.a(\frameshiftstate[4] ),
.b(_070_),
.c(_072_),
.x(_079_)
);
sky130_fd_sc_hd__o22a_2 _158_ (
.a1(_069_),
.a2(_077_),
.b1(_078_),
.b2(_079_),
.x(_080_)
);
sky130_fd_sc_hd__nor2_2 _159_ (
.a(_068_),
.b(_080_),
.y(_040_)
);
sky130_fd_sc_hd__o41a_2 _160_ (
.a1(\frameshiftstate[1] ),
.a2(\frameshiftstate[0] ),
.a3(\frameshiftstate[2] ),
.a4(_078_),
.b1(\frameshiftstate[3] ),
.x(_081_)
);
sky130_fd_sc_hd__o21a_2 _161_ (
.a1(_077_),
.a2(_081_),
.b1(_067_),
.x(_039_)
);
sky130_fd_sc_hd__o31a_2 _162_ (
.a1(\frameshiftstate[1] ),
.a2(\frameshiftstate[0] ),
.a3(\frameshiftstate[2] ),
.b1(_001_),
.x(_082_)
);
sky130_fd_sc_hd__or3_2 _163_ (
.a(\frameshiftstate[1] ),
.b(\frameshiftstate[0] ),
.c(_076_),
.x(_083_)
);
sky130_fd_sc_hd__a2bb2oi_2 _164_ (
.a1_n(_078_),
.a2_n(_082_),
.b1(\frameshiftstate[2] ),
.b2(_083_),
.y(_084_)
);
sky130_fd_sc_hd__nor2_2 _165_ (
.a(_068_),
.b(_084_),
.y(_038_)
);
sky130_fd_sc_hd__or2_2 _166_ (
.a(_071_),
.b(_074_),
.x(_085_)
);
sky130_fd_sc_hd__o21ai_2 _167_ (
.a1(\frameshiftstate[0] ),
.a2(_078_),
.b1(\frameshiftstate[1] ),
.y(_086_)
);
sky130_fd_sc_hd__a31oi_2 _168_ (
.a1(_083_),
.a2(_085_),
.a3(_086_),
.b1(_068_),
.y(_037_)
);
sky130_fd_sc_hd__o22a_2 _169_ (
.a1(\frameshiftstate[0] ),
.a2(_076_),
.b1(_060_),
.b2(_075_),
.x(_087_)
);
sky130_fd_sc_hd__and3b_2 _170_ (
.a_n(_087_),
.b(_085_),
.c(_067_),
.x(_088_)
);
sky130_fd_sc_hd__buf_1 _171_ (
.a(_088_),
.x(_036_)
);
sky130_fd_sc_hd__and4b_2 _172_ (
.a_n(_062_),
.b(_066_),
.c(_001_),
.d(writestrobe),
.x(_089_)
);
sky130_fd_sc_hd__buf_1 _173_ (
.a(_089_),
.x(_035_)
);
sky130_fd_sc_hd__or4_2 _174_ (
.a(_043_),
.b(_068_),
.c(writedata[20]),
.d(_047_),
.x(_090_)
);
sky130_fd_sc_hd__buf_1 _175_ (
.a(_090_),
.x(_091_)
);
sky130_fd_sc_hd__buf_1 _176_ (
.a(_091_),
.x(_092_)
);
sky130_fd_sc_hd__buf_1 _177_ (
.a(_092_),
.x(_093_)
);
sky130_fd_sc_hd__inv_2 _178_ (
.a(_090_),
.y(_094_)
);
sky130_fd_sc_hd__buf_1 _179_ (
.a(_094_),
.x(_095_)
);
sky130_fd_sc_hd__buf_1 _180_ (
.a(_095_),
.x(_096_)
);
sky130_fd_sc_hd__a22o_2 _181_ (
.a1(frameaddressregister[31]),
.a2(_093_),
.b1(writedata[31]),
.b2(_096_),
.x(_034_)
);
sky130_fd_sc_hd__a22o_2 _182_ (
.a1(frameaddressregister[30]),
.a2(_093_),
.b1(writedata[30]),
.b2(_096_),
.x(_033_)
);
sky130_fd_sc_hd__a22o_2 _183_ (
.a1(frameaddressregister[29]),
.a2(_093_),
.b1(writedata[29]),
.b2(_096_),
.x(_032_)
);
sky130_fd_sc_hd__a22o_2 _184_ (
.a1(frameaddressregister[28]),
.a2(_093_),
.b1(writedata[28]),
.b2(_096_),
.x(_031_)
);
sky130_fd_sc_hd__buf_1 _185_ (
.a(_091_),
.x(_097_)
);
sky130_fd_sc_hd__buf_1 _186_ (
.a(_097_),
.x(_098_)
);
sky130_fd_sc_hd__buf_1 _187_ (
.a(_094_),
.x(_099_)
);
sky130_fd_sc_hd__buf_1 _188_ (
.a(_099_),
.x(_100_)
);
sky130_fd_sc_hd__a22o_2 _189_ (
.a1(frameaddressregister[27]),
.a2(_098_),
.b1(writedata[27]),
.b2(_100_),
.x(_030_)
);
sky130_fd_sc_hd__a22o_2 _190_ (
.a1(frameaddressregister[26]),
.a2(_098_),
.b1(writedata[26]),
.b2(_100_),
.x(_029_)
);
sky130_fd_sc_hd__a22o_2 _191_ (
.a1(frameaddressregister[25]),
.a2(_098_),
.b1(writedata[25]),
.b2(_100_),
.x(_028_)
);
sky130_fd_sc_hd__a22o_2 _192_ (
.a1(frameaddressregister[24]),
.a2(_098_),
.b1(writedata[24]),
.b2(_100_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _193_ (
.a(_097_),
.x(_101_)
);
sky130_fd_sc_hd__buf_1 _194_ (
.a(_099_),
.x(_102_)
);
sky130_fd_sc_hd__a22o_2 _195_ (
.a1(frameaddressregister[23]),
.a2(_101_),
.b1(writedata[23]),
.b2(_102_),
.x(_026_)
);
sky130_fd_sc_hd__a22o_2 _196_ (
.a1(frameaddressregister[22]),
.a2(_101_),
.b1(writedata[22]),
.b2(_102_),
.x(_025_)
);
sky130_fd_sc_hd__a22o_2 _197_ (
.a1(frameaddressregister[21]),
.a2(_101_),
.b1(writedata[21]),
.b2(_102_),
.x(_024_)
);
sky130_fd_sc_hd__a22o_2 _198_ (
.a1(frameaddressregister[19]),
.a2(_101_),
.b1(writedata[19]),
.b2(_102_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _199_ (
.a(_097_),
.x(_103_)
);
sky130_fd_sc_hd__buf_1 _200_ (
.a(_099_),
.x(_104_)
);
sky130_fd_sc_hd__a22o_2 _201_ (
.a1(frameaddressregister[18]),
.a2(_103_),
.b1(writedata[18]),
.b2(_104_),
.x(_022_)
);
sky130_fd_sc_hd__a22o_2 _202_ (
.a1(frameaddressregister[17]),
.a2(_103_),
.b1(writedata[17]),
.b2(_104_),
.x(_021_)
);
sky130_fd_sc_hd__a22o_2 _203_ (
.a1(frameaddressregister[16]),
.a2(_103_),
.b1(writedata[16]),
.b2(_104_),
.x(_020_)
);
sky130_fd_sc_hd__a22o_2 _204_ (
.a1(frameaddressregister[15]),
.a2(_103_),
.b1(writedata[15]),
.b2(_104_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _205_ (
.a(_097_),
.x(_105_)
);
sky130_fd_sc_hd__buf_1 _206_ (
.a(_099_),
.x(_106_)
);
sky130_fd_sc_hd__a22o_2 _207_ (
.a1(frameaddressregister[14]),
.a2(_105_),
.b1(writedata[14]),
.b2(_106_),
.x(_018_)
);
sky130_fd_sc_hd__a22o_2 _208_ (
.a1(frameaddressregister[13]),
.a2(_105_),
.b1(writedata[13]),
.b2(_106_),
.x(_017_)
);
sky130_fd_sc_hd__a22o_2 _209_ (
.a1(frameaddressregister[12]),
.a2(_105_),
.b1(writedata[12]),
.b2(_106_),
.x(_016_)
);
sky130_fd_sc_hd__a22o_2 _210_ (
.a1(frameaddressregister[11]),
.a2(_105_),
.b1(writedata[11]),
.b2(_106_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _211_ (
.a(_091_),
.x(_107_)
);
sky130_fd_sc_hd__buf_1 _212_ (
.a(_094_),
.x(_108_)
);
sky130_fd_sc_hd__a22o_2 _213_ (
.a1(frameaddressregister[10]),
.a2(_107_),
.b1(writedata[10]),
.b2(_108_),
.x(_014_)
);
sky130_fd_sc_hd__a22o_2 _214_ (
.a1(frameaddressregister[9]),
.a2(_107_),
.b1(writedata[9]),
.b2(_108_),
.x(_013_)
);
sky130_fd_sc_hd__a22o_2 _215_ (
.a1(frameaddressregister[8]),
.a2(_107_),
.b1(writedata[8]),
.b2(_108_),
.x(_012_)
);
sky130_fd_sc_hd__a22o_2 _216_ (
.a1(frameaddressregister[7]),
.a2(_107_),
.b1(writedata[7]),
.b2(_108_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _217_ (
.a(_091_),
.x(_109_)
);
sky130_fd_sc_hd__buf_1 _218_ (
.a(_094_),
.x(_110_)
);
sky130_fd_sc_hd__a22o_2 _219_ (
.a1(frameaddressregister[6]),
.a2(_109_),
.b1(writedata[6]),
.b2(_110_),
.x(_010_)
);
sky130_fd_sc_hd__a22o_2 _220_ (
.a1(frameaddressregister[5]),
.a2(_109_),
.b1(writedata[5]),
.b2(_110_),
.x(_009_)
);
sky130_fd_sc_hd__a22o_2 _221_ (
.a1(frameaddressregister[4]),
.a2(_109_),
.b1(writedata[4]),
.b2(_110_),
.x(_008_)
);
sky130_fd_sc_hd__a22o_2 _222_ (
.a1(frameaddressregister[3]),
.a2(_109_),
.b1(writedata[3]),
.b2(_110_),
.x(_007_)
);
sky130_fd_sc_hd__a22o_2 _223_ (
.a1(frameaddressregister[2]),
.a2(_092_),
.b1(writedata[2]),
.b2(_095_),
.x(_006_)
);
sky130_fd_sc_hd__a22o_2 _224_ (
.a1(frameaddressregister[1]),
.a2(_092_),
.b1(writedata[1]),
.b2(_095_),
.x(_005_)
);
sky130_fd_sc_hd__a22o_2 _225_ (
.a1(frameaddressregister[0]),
.a2(_092_),
.b1(writedata[0]),
.b2(_095_),
.x(_004_)
);
sky130_fd_sc_hd__or2_2 _226_ (
.a(oldframestrobe),
.b(framestrobe),
.x(_111_)
);
sky130_fd_sc_hd__buf_1 _227_ (
.a(_111_),
.x(_000_)
);
sky130_fd_sc_hd__or2_2 _228_ (
.a(\frameshiftstate[0] ),
.b(_045_),
.x(_112_)
);
sky130_fd_sc_hd__buf_1 _229_ (
.a(_112_),
.x(rowselect[0])
);
sky130_fd_sc_hd__or2_2 _230_ (
.a(\frameshiftstate[1] ),
.b(_045_),
.x(_113_)
);
sky130_fd_sc_hd__buf_1 _231_ (
.a(_113_),
.x(rowselect[1])
);
sky130_fd_sc_hd__or2_2 _232_ (
.a(\frameshiftstate[2] ),
.b(_045_),
.x(_114_)
);
sky130_fd_sc_hd__buf_1 _233_ (
.a(_114_),
.x(rowselect[2])
);
sky130_fd_sc_hd__or2_2 _234_ (
.a(\frameshiftstate[3] ),
.b(_044_),
.x(_115_)
);
sky130_fd_sc_hd__buf_1 _235_ (
.a(_115_),
.x(rowselect[3])
);
sky130_fd_sc_hd__or2_2 _236_ (
.a(\frameshiftstate[4] ),
.b(_044_),
.x(_116_)
);
sky130_fd_sc_hd__buf_1 _237_ (
.a(_116_),
.x(rowselect[4])
);
sky130_fd_sc_hd__nor2_2 _238_ (
.a(\state[1] ),
.b(writedata[20]),
.y(_117_)
);
sky130_fd_sc_hd__o221a_2 _239_ (
.a1(_064_),
.a2(_117_),
.b1(\state[1] ),
.b2(_065_),
.c1(_067_),
.x(_042_)
);
sky130_fd_sc_hd__conb_1 _240_ (
.lo(frameaddressregister[20])
);
sky130_fd_sc_hd__mux2_1 _241_ (
.a0(_001_),
.a1(writestrobe),
.s(_002_),
.x(_003_)
);
sky130_fd_sc_hd__dfxtp_2 _242_ (
.clk(clk),
.d(_000_),
.q(longframestrobe)
);
sky130_fd_sc_hd__dfxtp_2 _243_ (
.clk(clk),
.d(framestrobe),
.q(oldframestrobe)
);
sky130_fd_sc_hd__dfxtp_2 _244_ (
.clk(clk),
.d(reset),
.q(old_reset)
);
sky130_fd_sc_hd__dfxtp_2 _245_ (
.clk(clk),
.d(_004_),
.q(frameaddressregister[0])
);
sky130_fd_sc_hd__dfxtp_2 _246_ (
.clk(clk),
.d(_005_),
.q(frameaddressregister[1])
);
sky130_fd_sc_hd__dfxtp_2 _247_ (
.clk(clk),
.d(_006_),
.q(frameaddressregister[2])
);
sky130_fd_sc_hd__dfxtp_2 _248_ (
.clk(clk),
.d(_007_),
.q(frameaddressregister[3])
);
sky130_fd_sc_hd__dfxtp_2 _249_ (
.clk(clk),
.d(_008_),
.q(frameaddressregister[4])
);
sky130_fd_sc_hd__dfxtp_2 _250_ (
.clk(clk),
.d(_009_),
.q(frameaddressregister[5])
);
sky130_fd_sc_hd__dfxtp_2 _251_ (
.clk(clk),
.d(_010_),
.q(frameaddressregister[6])
);
sky130_fd_sc_hd__dfxtp_2 _252_ (
.clk(clk),
.d(_011_),
.q(frameaddressregister[7])
);
sky130_fd_sc_hd__dfxtp_2 _253_ (
.clk(clk),
.d(_012_),
.q(frameaddressregister[8])
);
sky130_fd_sc_hd__dfxtp_2 _254_ (
.clk(clk),
.d(_013_),
.q(frameaddressregister[9])
);
sky130_fd_sc_hd__dfxtp_2 _255_ (
.clk(clk),
.d(_014_),
.q(frameaddressregister[10])
);
sky130_fd_sc_hd__dfxtp_2 _256_ (
.clk(clk),
.d(_015_),
.q(frameaddressregister[11])
);
sky130_fd_sc_hd__dfxtp_2 _257_ (
.clk(clk),
.d(_016_),
.q(frameaddressregister[12])
);
sky130_fd_sc_hd__dfxtp_2 _258_ (
.clk(clk),
.d(_017_),
.q(frameaddressregister[13])
);
sky130_fd_sc_hd__dfxtp_2 _259_ (
.clk(clk),
.d(_018_),
.q(frameaddressregister[14])
);
sky130_fd_sc_hd__dfxtp_2 _260_ (
.clk(clk),
.d(_019_),
.q(frameaddressregister[15])
);
sky130_fd_sc_hd__dfxtp_2 _261_ (
.clk(clk),
.d(_020_),
.q(frameaddressregister[16])
);
sky130_fd_sc_hd__dfxtp_2 _262_ (
.clk(clk),
.d(_021_),
.q(frameaddressregister[17])
);
sky130_fd_sc_hd__dfxtp_2 _263_ (
.clk(clk),
.d(_022_),
.q(frameaddressregister[18])
);
sky130_fd_sc_hd__dfxtp_2 _264_ (
.clk(clk),
.d(_023_),
.q(frameaddressregister[19])
);
sky130_fd_sc_hd__dfxtp_2 _265_ (
.clk(clk),
.d(_024_),
.q(frameaddressregister[21])
);
sky130_fd_sc_hd__dfxtp_2 _266_ (
.clk(clk),
.d(_025_),
.q(frameaddressregister[22])
);
sky130_fd_sc_hd__dfxtp_2 _267_ (
.clk(clk),
.d(_026_),
.q(frameaddressregister[23])
);
sky130_fd_sc_hd__dfxtp_2 _268_ (
.clk(clk),
.d(_027_),
.q(frameaddressregister[24])
);
sky130_fd_sc_hd__dfxtp_2 _269_ (
.clk(clk),
.d(_028_),
.q(frameaddressregister[25])
);
sky130_fd_sc_hd__dfxtp_2 _270_ (
.clk(clk),
.d(_029_),
.q(frameaddressregister[26])
);
sky130_fd_sc_hd__dfxtp_2 _271_ (
.clk(clk),
.d(_030_),
.q(frameaddressregister[27])
);
sky130_fd_sc_hd__dfxtp_2 _272_ (
.clk(clk),
.d(_031_),
.q(frameaddressregister[28])
);
sky130_fd_sc_hd__dfxtp_2 _273_ (
.clk(clk),
.d(_032_),
.q(frameaddressregister[29])
);
sky130_fd_sc_hd__dfxtp_2 _274_ (
.clk(clk),
.d(_033_),
.q(frameaddressregister[30])
);
sky130_fd_sc_hd__dfxtp_2 _275_ (
.clk(clk),
.d(_034_),
.q(frameaddressregister[31])
);
sky130_fd_sc_hd__dfxtp_2 _276_ (
.clk(clk),
.d(_035_),
.q(framestrobe)
);
sky130_fd_sc_hd__dfxtp_2 _277_ (
.clk(clk),
.d(_036_),
.q(\frameshiftstate[0] )
);
sky130_fd_sc_hd__dfxtp_2 _278_ (
.clk(clk),
.d(_037_),
.q(\frameshiftstate[1] )
);
sky130_fd_sc_hd__dfxtp_2 _279_ (
.clk(clk),
.d(_038_),
.q(\frameshiftstate[2] )
);
sky130_fd_sc_hd__dfxtp_2 _280_ (
.clk(clk),
.d(_039_),
.q(\frameshiftstate[3] )
);
sky130_fd_sc_hd__dfxtp_2 _281_ (
.clk(clk),
.d(_040_),
.q(\frameshiftstate[4] )
);
sky130_fd_sc_hd__dfxtp_2 _282_ (
.clk(clk),
.d(_041_),
.q(\state[0] )
);
sky130_fd_sc_hd__dfxtp_2 _283_ (
.clk(clk),
.d(_042_),
.q(\state[1] )
);
endmodule
module frame_data_reg_0(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__or3_2 _055_ (
.a(rowselect[3]),
.b(rowselect[2]),
.c(rowselect[4]),
.x(_032_)
);
sky130_fd_sc_hd__or3b_2 _056_ (
.a(rowselect[1]),
.b(_032_),
.c_n(rowselect[0]),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_data_reg_1(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__or3_2 _055_ (
.a(rowselect[3]),
.b(rowselect[2]),
.c(rowselect[4]),
.x(_032_)
);
sky130_fd_sc_hd__or3b_2 _056_ (
.a(rowselect[0]),
.b(_032_),
.c_n(rowselect[1]),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_data_reg_10(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__nand2_2 _055_ (
.a(rowselect[1]),
.b(rowselect[0]),
.y(_032_)
);
sky130_fd_sc_hd__or4b_2 _056_ (
.a(rowselect[2]),
.b(_032_),
.c(rowselect[4]),
.d_n(rowselect[3]),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_data_reg_11(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__nand2_2 _055_ (
.a(rowselect[3]),
.b(rowselect[2]),
.y(_032_)
);
sky130_fd_sc_hd__or4_2 _056_ (
.a(rowselect[1]),
.b(rowselect[0]),
.c(rowselect[4]),
.d(_032_),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_data_reg_12(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__nand2_2 _055_ (
.a(rowselect[3]),
.b(rowselect[2]),
.y(_032_)
);
sky130_fd_sc_hd__or4b_2 _056_ (
.a(rowselect[1]),
.b(_032_),
.c(rowselect[4]),
.d_n(rowselect[0]),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_data_reg_13(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__nand2_2 _055_ (
.a(rowselect[3]),
.b(rowselect[2]),
.y(_032_)
);
sky130_fd_sc_hd__or4b_2 _056_ (
.a(rowselect[0]),
.b(_032_),
.c(rowselect[4]),
.d_n(rowselect[1]),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_data_reg_2(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__nand2_2 _055_ (
.a(rowselect[1]),
.b(rowselect[0]),
.y(_032_)
);
sky130_fd_sc_hd__or4_2 _056_ (
.a(rowselect[3]),
.b(rowselect[2]),
.c(rowselect[4]),
.d(_032_),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_data_reg_3(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__or3b_2 _055_ (
.a(rowselect[3]),
.b(rowselect[4]),
.c_n(rowselect[2]),
.x(_032_)
);
sky130_fd_sc_hd__or3_2 _056_ (
.a(rowselect[1]),
.b(rowselect[0]),
.c(_032_),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_data_reg_4(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__or3b_2 _055_ (
.a(rowselect[3]),
.b(rowselect[4]),
.c_n(rowselect[2]),
.x(_032_)
);
sky130_fd_sc_hd__or3b_2 _056_ (
.a(rowselect[1]),
.b(_032_),
.c_n(rowselect[0]),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_data_reg_5(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__or3b_2 _055_ (
.a(rowselect[3]),
.b(rowselect[4]),
.c_n(rowselect[2]),
.x(_032_)
);
sky130_fd_sc_hd__or3b_2 _056_ (
.a(rowselect[0]),
.b(_032_),
.c_n(rowselect[1]),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[20]),
.a2(_036_),
.b1(framedata_i[20]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[19]),
.a2(_036_),
.b1(framedata_i[19]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[18]),
.a2(_036_),
.b1(framedata_i[18]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[17]),
.a2(_036_),
.b1(framedata_i[17]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[16]),
.a2(_039_),
.b1(framedata_i[16]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[15]),
.a2(_039_),
.b1(framedata_i[15]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[14]),
.a2(_039_),
.b1(framedata_i[14]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[13]),
.a2(_039_),
.b1(framedata_i[13]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[12]),
.a2(_041_),
.b1(framedata_i[12]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[11]),
.a2(_041_),
.b1(framedata_i[11]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[10]),
.a2(_041_),
.b1(framedata_i[10]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[9]),
.a2(_041_),
.b1(framedata_i[9]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[8]),
.a2(_043_),
.b1(framedata_i[8]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[7]),
.a2(_043_),
.b1(framedata_i[7]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[6]),
.a2(_043_),
.b1(framedata_i[6]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[5]),
.a2(_043_),
.b1(framedata_i[5]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[4]),
.a2(_046_),
.b1(framedata_i[4]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[3]),
.a2(_046_),
.b1(framedata_i[3]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[2]),
.a2(_046_),
.b1(framedata_i[2]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[1]),
.a2(_046_),
.b1(framedata_i[1]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[0]),
.a2(_049_),
.b1(framedata_i[0]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[31]),
.a2(_049_),
.b1(framedata_i[31]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[30]),
.a2(_049_),
.b1(framedata_i[30]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[29]),
.a2(_049_),
.b1(framedata_i[29]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[28]),
.a2(_051_),
.b1(framedata_i[28]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[27]),
.a2(_051_),
.b1(framedata_i[27]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[26]),
.a2(_051_),
.b1(framedata_i[26]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[25]),
.a2(_051_),
.b1(framedata_i[25]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[24]),
.a2(_053_),
.b1(framedata_i[24]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[23]),
.a2(_053_),
.b1(framedata_i[23]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[22]),
.a2(_053_),
.b1(framedata_i[22]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[21]),
.a2(_053_),
.b1(framedata_i[21]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[31])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[21])
);
endmodule
module frame_data_reg_6(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__nand2_2 _055_ (
.a(rowselect[1]),
.b(rowselect[0]),
.y(_032_)
);
sky130_fd_sc_hd__or4b_2 _056_ (
.a(rowselect[3]),
.b(_032_),
.c(rowselect[4]),
.d_n(rowselect[2]),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_data_reg_7(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__or3b_2 _055_ (
.a(rowselect[2]),
.b(rowselect[4]),
.c_n(rowselect[3]),
.x(_032_)
);
sky130_fd_sc_hd__or3_2 _056_ (
.a(rowselect[1]),
.b(rowselect[0]),
.c(_032_),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_data_reg_8(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__or3b_2 _055_ (
.a(rowselect[2]),
.b(rowselect[4]),
.c_n(rowselect[3]),
.x(_032_)
);
sky130_fd_sc_hd__or3b_2 _056_ (
.a(rowselect[1]),
.b(_032_),
.c_n(rowselect[0]),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_data_reg_9(framedata_i, framedata_o, rowselect, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
input clk;
input [31:0] framedata_i;
output [31:0] framedata_o;
input [4:0] rowselect;
sky130_fd_sc_hd__or3b_2 _055_ (
.a(rowselect[2]),
.b(rowselect[4]),
.c_n(rowselect[3]),
.x(_032_)
);
sky130_fd_sc_hd__or3b_2 _056_ (
.a(rowselect[0]),
.b(_032_),
.c_n(rowselect[1]),
.x(_033_)
);
sky130_fd_sc_hd__inv_2 _057_ (
.a(_033_),
.y(_034_)
);
sky130_fd_sc_hd__buf_1 _058_ (
.a(_034_),
.x(_035_)
);
sky130_fd_sc_hd__buf_1 _059_ (
.a(_035_),
.x(_036_)
);
sky130_fd_sc_hd__buf_1 _060_ (
.a(_033_),
.x(_037_)
);
sky130_fd_sc_hd__buf_1 _061_ (
.a(_037_),
.x(_038_)
);
sky130_fd_sc_hd__o22a_2 _062_ (
.a1(framedata_o[30]),
.a2(_036_),
.b1(framedata_i[30]),
.b2(_038_),
.x(_030_)
);
sky130_fd_sc_hd__o22a_2 _063_ (
.a1(framedata_o[29]),
.a2(_036_),
.b1(framedata_i[29]),
.b2(_038_),
.x(_029_)
);
sky130_fd_sc_hd__o22a_2 _064_ (
.a1(framedata_o[28]),
.a2(_036_),
.b1(framedata_i[28]),
.b2(_038_),
.x(_028_)
);
sky130_fd_sc_hd__o22a_2 _065_ (
.a1(framedata_o[27]),
.a2(_036_),
.b1(framedata_i[27]),
.b2(_038_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _066_ (
.a(_035_),
.x(_039_)
);
sky130_fd_sc_hd__buf_1 _067_ (
.a(_037_),
.x(_040_)
);
sky130_fd_sc_hd__o22a_2 _068_ (
.a1(framedata_o[26]),
.a2(_039_),
.b1(framedata_i[26]),
.b2(_040_),
.x(_026_)
);
sky130_fd_sc_hd__o22a_2 _069_ (
.a1(framedata_o[25]),
.a2(_039_),
.b1(framedata_i[25]),
.b2(_040_),
.x(_025_)
);
sky130_fd_sc_hd__o22a_2 _070_ (
.a1(framedata_o[24]),
.a2(_039_),
.b1(framedata_i[24]),
.b2(_040_),
.x(_024_)
);
sky130_fd_sc_hd__o22a_2 _071_ (
.a1(framedata_o[23]),
.a2(_039_),
.b1(framedata_i[23]),
.b2(_040_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _072_ (
.a(_035_),
.x(_041_)
);
sky130_fd_sc_hd__buf_1 _073_ (
.a(_037_),
.x(_042_)
);
sky130_fd_sc_hd__o22a_2 _074_ (
.a1(framedata_o[22]),
.a2(_041_),
.b1(framedata_i[22]),
.b2(_042_),
.x(_022_)
);
sky130_fd_sc_hd__o22a_2 _075_ (
.a1(framedata_o[21]),
.a2(_041_),
.b1(framedata_i[21]),
.b2(_042_),
.x(_021_)
);
sky130_fd_sc_hd__o22a_2 _076_ (
.a1(framedata_o[20]),
.a2(_041_),
.b1(framedata_i[20]),
.b2(_042_),
.x(_020_)
);
sky130_fd_sc_hd__o22a_2 _077_ (
.a1(framedata_o[19]),
.a2(_041_),
.b1(framedata_i[19]),
.b2(_042_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _078_ (
.a(_035_),
.x(_043_)
);
sky130_fd_sc_hd__buf_1 _079_ (
.a(_037_),
.x(_044_)
);
sky130_fd_sc_hd__o22a_2 _080_ (
.a1(framedata_o[18]),
.a2(_043_),
.b1(framedata_i[18]),
.b2(_044_),
.x(_018_)
);
sky130_fd_sc_hd__o22a_2 _081_ (
.a1(framedata_o[17]),
.a2(_043_),
.b1(framedata_i[17]),
.b2(_044_),
.x(_017_)
);
sky130_fd_sc_hd__o22a_2 _082_ (
.a1(framedata_o[16]),
.a2(_043_),
.b1(framedata_i[16]),
.b2(_044_),
.x(_016_)
);
sky130_fd_sc_hd__o22a_2 _083_ (
.a1(framedata_o[15]),
.a2(_043_),
.b1(framedata_i[15]),
.b2(_044_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _084_ (
.a(_034_),
.x(_045_)
);
sky130_fd_sc_hd__buf_1 _085_ (
.a(_045_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _086_ (
.a(_033_),
.x(_047_)
);
sky130_fd_sc_hd__buf_1 _087_ (
.a(_047_),
.x(_048_)
);
sky130_fd_sc_hd__o22a_2 _088_ (
.a1(framedata_o[14]),
.a2(_046_),
.b1(framedata_i[14]),
.b2(_048_),
.x(_014_)
);
sky130_fd_sc_hd__o22a_2 _089_ (
.a1(framedata_o[13]),
.a2(_046_),
.b1(framedata_i[13]),
.b2(_048_),
.x(_013_)
);
sky130_fd_sc_hd__o22a_2 _090_ (
.a1(framedata_o[12]),
.a2(_046_),
.b1(framedata_i[12]),
.b2(_048_),
.x(_012_)
);
sky130_fd_sc_hd__o22a_2 _091_ (
.a1(framedata_o[11]),
.a2(_046_),
.b1(framedata_i[11]),
.b2(_048_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _092_ (
.a(_045_),
.x(_049_)
);
sky130_fd_sc_hd__buf_1 _093_ (
.a(_047_),
.x(_050_)
);
sky130_fd_sc_hd__o22a_2 _094_ (
.a1(framedata_o[10]),
.a2(_049_),
.b1(framedata_i[10]),
.b2(_050_),
.x(_010_)
);
sky130_fd_sc_hd__o22a_2 _095_ (
.a1(framedata_o[9]),
.a2(_049_),
.b1(framedata_i[9]),
.b2(_050_),
.x(_009_)
);
sky130_fd_sc_hd__o22a_2 _096_ (
.a1(framedata_o[8]),
.a2(_049_),
.b1(framedata_i[8]),
.b2(_050_),
.x(_008_)
);
sky130_fd_sc_hd__o22a_2 _097_ (
.a1(framedata_o[7]),
.a2(_049_),
.b1(framedata_i[7]),
.b2(_050_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _098_ (
.a(_045_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _099_ (
.a(_047_),
.x(_052_)
);
sky130_fd_sc_hd__o22a_2 _100_ (
.a1(framedata_o[6]),
.a2(_051_),
.b1(framedata_i[6]),
.b2(_052_),
.x(_006_)
);
sky130_fd_sc_hd__o22a_2 _101_ (
.a1(framedata_o[5]),
.a2(_051_),
.b1(framedata_i[5]),
.b2(_052_),
.x(_005_)
);
sky130_fd_sc_hd__o22a_2 _102_ (
.a1(framedata_o[4]),
.a2(_051_),
.b1(framedata_i[4]),
.b2(_052_),
.x(_004_)
);
sky130_fd_sc_hd__o22a_2 _103_ (
.a1(framedata_o[3]),
.a2(_051_),
.b1(framedata_i[3]),
.b2(_052_),
.x(_003_)
);
sky130_fd_sc_hd__buf_1 _104_ (
.a(_045_),
.x(_053_)
);
sky130_fd_sc_hd__buf_1 _105_ (
.a(_047_),
.x(_054_)
);
sky130_fd_sc_hd__o22a_2 _106_ (
.a1(framedata_o[2]),
.a2(_053_),
.b1(framedata_i[2]),
.b2(_054_),
.x(_002_)
);
sky130_fd_sc_hd__o22a_2 _107_ (
.a1(framedata_o[1]),
.a2(_053_),
.b1(framedata_i[1]),
.b2(_054_),
.x(_001_)
);
sky130_fd_sc_hd__o22a_2 _108_ (
.a1(framedata_o[0]),
.a2(_053_),
.b1(framedata_i[0]),
.b2(_054_),
.x(_000_)
);
sky130_fd_sc_hd__o22a_2 _109_ (
.a1(framedata_o[31]),
.a2(_053_),
.b1(framedata_i[31]),
.b2(_054_),
.x(_031_)
);
sky130_fd_sc_hd__dfxtp_2 _110_ (
.clk(clk),
.d(_000_),
.q(framedata_o[0])
);
sky130_fd_sc_hd__dfxtp_2 _111_ (
.clk(clk),
.d(_001_),
.q(framedata_o[1])
);
sky130_fd_sc_hd__dfxtp_2 _112_ (
.clk(clk),
.d(_002_),
.q(framedata_o[2])
);
sky130_fd_sc_hd__dfxtp_2 _113_ (
.clk(clk),
.d(_003_),
.q(framedata_o[3])
);
sky130_fd_sc_hd__dfxtp_2 _114_ (
.clk(clk),
.d(_004_),
.q(framedata_o[4])
);
sky130_fd_sc_hd__dfxtp_2 _115_ (
.clk(clk),
.d(_005_),
.q(framedata_o[5])
);
sky130_fd_sc_hd__dfxtp_2 _116_ (
.clk(clk),
.d(_006_),
.q(framedata_o[6])
);
sky130_fd_sc_hd__dfxtp_2 _117_ (
.clk(clk),
.d(_007_),
.q(framedata_o[7])
);
sky130_fd_sc_hd__dfxtp_2 _118_ (
.clk(clk),
.d(_008_),
.q(framedata_o[8])
);
sky130_fd_sc_hd__dfxtp_2 _119_ (
.clk(clk),
.d(_009_),
.q(framedata_o[9])
);
sky130_fd_sc_hd__dfxtp_2 _120_ (
.clk(clk),
.d(_010_),
.q(framedata_o[10])
);
sky130_fd_sc_hd__dfxtp_2 _121_ (
.clk(clk),
.d(_011_),
.q(framedata_o[11])
);
sky130_fd_sc_hd__dfxtp_2 _122_ (
.clk(clk),
.d(_012_),
.q(framedata_o[12])
);
sky130_fd_sc_hd__dfxtp_2 _123_ (
.clk(clk),
.d(_013_),
.q(framedata_o[13])
);
sky130_fd_sc_hd__dfxtp_2 _124_ (
.clk(clk),
.d(_014_),
.q(framedata_o[14])
);
sky130_fd_sc_hd__dfxtp_2 _125_ (
.clk(clk),
.d(_015_),
.q(framedata_o[15])
);
sky130_fd_sc_hd__dfxtp_2 _126_ (
.clk(clk),
.d(_016_),
.q(framedata_o[16])
);
sky130_fd_sc_hd__dfxtp_2 _127_ (
.clk(clk),
.d(_017_),
.q(framedata_o[17])
);
sky130_fd_sc_hd__dfxtp_2 _128_ (
.clk(clk),
.d(_018_),
.q(framedata_o[18])
);
sky130_fd_sc_hd__dfxtp_2 _129_ (
.clk(clk),
.d(_019_),
.q(framedata_o[19])
);
sky130_fd_sc_hd__dfxtp_2 _130_ (
.clk(clk),
.d(_020_),
.q(framedata_o[20])
);
sky130_fd_sc_hd__dfxtp_2 _131_ (
.clk(clk),
.d(_021_),
.q(framedata_o[21])
);
sky130_fd_sc_hd__dfxtp_2 _132_ (
.clk(clk),
.d(_022_),
.q(framedata_o[22])
);
sky130_fd_sc_hd__dfxtp_2 _133_ (
.clk(clk),
.d(_023_),
.q(framedata_o[23])
);
sky130_fd_sc_hd__dfxtp_2 _134_ (
.clk(clk),
.d(_024_),
.q(framedata_o[24])
);
sky130_fd_sc_hd__dfxtp_2 _135_ (
.clk(clk),
.d(_025_),
.q(framedata_o[25])
);
sky130_fd_sc_hd__dfxtp_2 _136_ (
.clk(clk),
.d(_026_),
.q(framedata_o[26])
);
sky130_fd_sc_hd__dfxtp_2 _137_ (
.clk(clk),
.d(_027_),
.q(framedata_o[27])
);
sky130_fd_sc_hd__dfxtp_2 _138_ (
.clk(clk),
.d(_028_),
.q(framedata_o[28])
);
sky130_fd_sc_hd__dfxtp_2 _139_ (
.clk(clk),
.d(_029_),
.q(framedata_o[29])
);
sky130_fd_sc_hd__dfxtp_2 _140_ (
.clk(clk),
.d(_030_),
.q(framedata_o[30])
);
sky130_fd_sc_hd__dfxtp_2 _141_ (
.clk(clk),
.d(_031_),
.q(framedata_o[31])
);
endmodule
module frame_select_0(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__or4b_2 _28_ (
.a(frameselect[3]),
.b(frameselect[2]),
.c(frameselect[4]),
.d_n(framestrobe),
.x(_00_)
);
sky130_fd_sc_hd__or3_2 _29_ (
.a(frameselect[1]),
.b(frameselect[0]),
.c(_00_),
.x(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_1(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__or4b_2 _28_ (
.a(frameselect[3]),
.b(frameselect[2]),
.c(frameselect[4]),
.d_n(framestrobe),
.x(_00_)
);
sky130_fd_sc_hd__or3b_2 _29_ (
.a(frameselect[1]),
.b(_00_),
.c_n(frameselect[0]),
.x(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_10(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__or4bb_2 _28_ (
.a(frameselect[2]),
.b(frameselect[4]),
.c_n(frameselect[3]),
.d_n(framestrobe),
.x(_00_)
);
sky130_fd_sc_hd__or3b_2 _29_ (
.a(frameselect[0]),
.b(_00_),
.c_n(frameselect[1]),
.x(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_11(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__or4bb_2 _28_ (
.a(frameselect[2]),
.b(frameselect[4]),
.c_n(frameselect[3]),
.d_n(framestrobe),
.x(_00_)
);
sky130_fd_sc_hd__nand3b_2 _29_ (
.a_n(_00_),
.b(frameselect[0]),
.c(frameselect[1]),
.y(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_12(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__nand4b_2 _28_ (
.a_n(frameselect[4]),
.b(frameselect[2]),
.c(frameselect[3]),
.d(framestrobe),
.y(_00_)
);
sky130_fd_sc_hd__or3_2 _29_ (
.a(frameselect[1]),
.b(frameselect[0]),
.c(_00_),
.x(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_13(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__nand4b_2 _28_ (
.a_n(frameselect[4]),
.b(frameselect[2]),
.c(frameselect[3]),
.d(framestrobe),
.y(_00_)
);
sky130_fd_sc_hd__or3b_2 _29_ (
.a(frameselect[1]),
.b(_00_),
.c_n(frameselect[0]),
.x(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_14(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__nand4b_2 _28_ (
.a_n(frameselect[4]),
.b(frameselect[2]),
.c(frameselect[3]),
.d(framestrobe),
.y(_00_)
);
sky130_fd_sc_hd__or3b_2 _29_ (
.a(frameselect[0]),
.b(_00_),
.c_n(frameselect[1]),
.x(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_2(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__or4b_2 _28_ (
.a(frameselect[3]),
.b(frameselect[2]),
.c(frameselect[4]),
.d_n(framestrobe),
.x(_00_)
);
sky130_fd_sc_hd__or3b_2 _29_ (
.a(frameselect[0]),
.b(_00_),
.c_n(frameselect[1]),
.x(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_3(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__or4b_2 _28_ (
.a(frameselect[3]),
.b(frameselect[2]),
.c(frameselect[4]),
.d_n(framestrobe),
.x(_00_)
);
sky130_fd_sc_hd__nand3b_2 _29_ (
.a_n(_00_),
.b(frameselect[0]),
.c(frameselect[1]),
.y(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_4(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__or4bb_2 _28_ (
.a(frameselect[3]),
.b(frameselect[4]),
.c_n(frameselect[2]),
.d_n(framestrobe),
.x(_00_)
);
sky130_fd_sc_hd__or3_2 _29_ (
.a(frameselect[1]),
.b(frameselect[0]),
.c(_00_),
.x(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_5(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__or4bb_2 _28_ (
.a(frameselect[3]),
.b(frameselect[4]),
.c_n(frameselect[2]),
.d_n(framestrobe),
.x(_00_)
);
sky130_fd_sc_hd__or3b_2 _29_ (
.a(frameselect[1]),
.b(_00_),
.c_n(frameselect[0]),
.x(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_6(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__or4bb_2 _28_ (
.a(frameselect[3]),
.b(frameselect[4]),
.c_n(frameselect[2]),
.d_n(framestrobe),
.x(_00_)
);
sky130_fd_sc_hd__or3b_2 _29_ (
.a(frameselect[0]),
.b(_00_),
.c_n(frameselect[1]),
.x(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_7(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__or4bb_2 _28_ (
.a(frameselect[3]),
.b(frameselect[4]),
.c_n(frameselect[2]),
.d_n(framestrobe),
.x(_00_)
);
sky130_fd_sc_hd__nand3b_2 _29_ (
.a_n(_00_),
.b(frameselect[0]),
.c(frameselect[1]),
.y(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_8(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__or4bb_2 _28_ (
.a(frameselect[2]),
.b(frameselect[4]),
.c_n(frameselect[3]),
.d_n(framestrobe),
.x(_00_)
);
sky130_fd_sc_hd__or3_2 _29_ (
.a(frameselect[1]),
.b(frameselect[0]),
.c(_00_),
.x(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module frame_select_9(framestrobe_i, framestrobe_o, frameselect, framestrobe);
wire _00_;
wire _01_;
wire _02_;
wire _03_;
wire _04_;
wire _05_;
wire _06_;
wire _07_;
wire _08_;
wire _09_;
wire _10_;
wire _11_;
wire _12_;
wire _13_;
wire _14_;
wire _15_;
wire _16_;
wire _17_;
wire _18_;
wire _19_;
wire _20_;
wire _21_;
wire _22_;
wire _23_;
wire _24_;
wire _25_;
wire _26_;
wire _27_;
input [4:0] frameselect;
input framestrobe;
input [19:0] framestrobe_i;
output [19:0] framestrobe_o;
sky130_fd_sc_hd__or4bb_2 _28_ (
.a(frameselect[2]),
.b(frameselect[4]),
.c_n(frameselect[3]),
.d_n(framestrobe),
.x(_00_)
);
sky130_fd_sc_hd__or3b_2 _29_ (
.a(frameselect[1]),
.b(_00_),
.c_n(frameselect[0]),
.x(_01_)
);
sky130_fd_sc_hd__buf_1 _30_ (
.a(_01_),
.x(_02_)
);
sky130_fd_sc_hd__buf_1 _31_ (
.a(_02_),
.x(_03_)
);
sky130_fd_sc_hd__and2b_2 _32_ (
.a_n(_03_),
.b(framestrobe_i[0]),
.x(_04_)
);
sky130_fd_sc_hd__buf_1 _33_ (
.a(_04_),
.x(framestrobe_o[0])
);
sky130_fd_sc_hd__and2b_2 _34_ (
.a_n(_03_),
.b(framestrobe_i[1]),
.x(_05_)
);
sky130_fd_sc_hd__buf_1 _35_ (
.a(_05_),
.x(framestrobe_o[1])
);
sky130_fd_sc_hd__and2b_2 _36_ (
.a_n(_03_),
.b(framestrobe_i[2]),
.x(_06_)
);
sky130_fd_sc_hd__buf_1 _37_ (
.a(_06_),
.x(framestrobe_o[2])
);
sky130_fd_sc_hd__and2b_2 _38_ (
.a_n(_03_),
.b(framestrobe_i[3]),
.x(_07_)
);
sky130_fd_sc_hd__buf_1 _39_ (
.a(_07_),
.x(framestrobe_o[3])
);
sky130_fd_sc_hd__buf_1 _40_ (
.a(_02_),
.x(_08_)
);
sky130_fd_sc_hd__and2b_2 _41_ (
.a_n(_08_),
.b(framestrobe_i[4]),
.x(_09_)
);
sky130_fd_sc_hd__buf_1 _42_ (
.a(_09_),
.x(framestrobe_o[4])
);
sky130_fd_sc_hd__and2b_2 _43_ (
.a_n(_08_),
.b(framestrobe_i[5]),
.x(_10_)
);
sky130_fd_sc_hd__buf_1 _44_ (
.a(_10_),
.x(framestrobe_o[5])
);
sky130_fd_sc_hd__and2b_2 _45_ (
.a_n(_08_),
.b(framestrobe_i[6]),
.x(_11_)
);
sky130_fd_sc_hd__buf_1 _46_ (
.a(_11_),
.x(framestrobe_o[6])
);
sky130_fd_sc_hd__and2b_2 _47_ (
.a_n(_08_),
.b(framestrobe_i[7]),
.x(_12_)
);
sky130_fd_sc_hd__buf_1 _48_ (
.a(_12_),
.x(framestrobe_o[7])
);
sky130_fd_sc_hd__buf_1 _49_ (
.a(_02_),
.x(_13_)
);
sky130_fd_sc_hd__and2b_2 _50_ (
.a_n(_13_),
.b(framestrobe_i[8]),
.x(_14_)
);
sky130_fd_sc_hd__buf_1 _51_ (
.a(_14_),
.x(framestrobe_o[8])
);
sky130_fd_sc_hd__and2b_2 _52_ (
.a_n(_13_),
.b(framestrobe_i[9]),
.x(_15_)
);
sky130_fd_sc_hd__buf_1 _53_ (
.a(_15_),
.x(framestrobe_o[9])
);
sky130_fd_sc_hd__and2b_2 _54_ (
.a_n(_13_),
.b(framestrobe_i[10]),
.x(_16_)
);
sky130_fd_sc_hd__buf_1 _55_ (
.a(_16_),
.x(framestrobe_o[10])
);
sky130_fd_sc_hd__and2b_2 _56_ (
.a_n(_13_),
.b(framestrobe_i[11]),
.x(_17_)
);
sky130_fd_sc_hd__buf_1 _57_ (
.a(_17_),
.x(framestrobe_o[11])
);
sky130_fd_sc_hd__buf_1 _58_ (
.a(_02_),
.x(_18_)
);
sky130_fd_sc_hd__and2b_2 _59_ (
.a_n(_18_),
.b(framestrobe_i[12]),
.x(_19_)
);
sky130_fd_sc_hd__buf_1 _60_ (
.a(_19_),
.x(framestrobe_o[12])
);
sky130_fd_sc_hd__and2b_2 _61_ (
.a_n(_18_),
.b(framestrobe_i[13]),
.x(_20_)
);
sky130_fd_sc_hd__buf_1 _62_ (
.a(_20_),
.x(framestrobe_o[13])
);
sky130_fd_sc_hd__and2b_2 _63_ (
.a_n(_18_),
.b(framestrobe_i[14]),
.x(_21_)
);
sky130_fd_sc_hd__buf_1 _64_ (
.a(_21_),
.x(framestrobe_o[14])
);
sky130_fd_sc_hd__and2b_2 _65_ (
.a_n(_18_),
.b(framestrobe_i[15]),
.x(_22_)
);
sky130_fd_sc_hd__buf_1 _66_ (
.a(_22_),
.x(framestrobe_o[15])
);
sky130_fd_sc_hd__buf_1 _67_ (
.a(_01_),
.x(_23_)
);
sky130_fd_sc_hd__and2b_2 _68_ (
.a_n(_23_),
.b(framestrobe_i[16]),
.x(_24_)
);
sky130_fd_sc_hd__buf_1 _69_ (
.a(_24_),
.x(framestrobe_o[16])
);
sky130_fd_sc_hd__and2b_2 _70_ (
.a_n(_23_),
.b(framestrobe_i[17]),
.x(_25_)
);
sky130_fd_sc_hd__buf_1 _71_ (
.a(_25_),
.x(framestrobe_o[17])
);
sky130_fd_sc_hd__and2b_2 _72_ (
.a_n(_23_),
.b(framestrobe_i[18]),
.x(_26_)
);
sky130_fd_sc_hd__buf_1 _73_ (
.a(_26_),
.x(framestrobe_o[18])
);
sky130_fd_sc_hd__and2b_2 _74_ (
.a_n(_23_),
.b(framestrobe_i[19]),
.x(_27_)
);
sky130_fd_sc_hd__buf_1 _75_ (
.a(_27_),
.x(framestrobe_o[19])
);
endmodule
module axi_uart(clk, rst, s_axis_tdata, s_axis_tvalid, s_axis_tready, m_axis_tdata, m_axis_tvalid, m_axis_tready, rxd, txd, tx_busy, rx_busy, rx_overrun_error, rx_frame_error, prescale);
input clk;
output [7:0] m_axis_tdata;
input m_axis_tready;
output m_axis_tvalid;
input [15:0] prescale;
input rst;
output rx_busy;
output rx_frame_error;
output rx_overrun_error;
input rxd;
input [7:0] s_axis_tdata;
output s_axis_tready;
input s_axis_tvalid;
output tx_busy;
output txd;
\$paramod\uart_rx\data_width=s32'00000000000000000000000000001000 uart_rx_inst (
.busy(rx_busy),
.clk(clk),
.frame_error(rx_frame_error),
.m_axis_tdata(m_axis_tdata),
.m_axis_tready(m_axis_tready),
.m_axis_tvalid(m_axis_tvalid),
.overrun_error(rx_overrun_error),
.prescale(prescale),
.rst(rst),
.rxd(rxd)
);
\$paramod\uart_tx\data_width=s32'00000000000000000000000000001000 uart_tx_inst (
.busy(tx_busy),
.clk(clk),
.prescale(prescale),
.rst(rst),
.s_axis_tdata(s_axis_tdata),
.s_axis_tready(s_axis_tready),
.s_axis_tvalid(s_axis_tvalid),
.txd(txd)
);
endmodule
module bitbang(s_clk, s_data, strobe, data, active, clk);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
output active;
input clk;
output [31:0] data;
wire local_strobe;
wire old_local_strobe;
input s_clk;
wire \s_clk_sample[0] ;
wire \s_clk_sample[1] ;
wire \s_clk_sample[2] ;
wire \s_clk_sample[3] ;
input s_data;
wire \s_data_sample[0] ;
wire \s_data_sample[1] ;
wire \s_data_sample[2] ;
wire \s_data_sample[3] ;
wire \serial_control[0] ;
wire \serial_control[10] ;
wire \serial_control[11] ;
wire \serial_control[12] ;
wire \serial_control[13] ;
wire \serial_control[14] ;
wire \serial_control[15] ;
wire \serial_control[1] ;
wire \serial_control[2] ;
wire \serial_control[3] ;
wire \serial_control[4] ;
wire \serial_control[5] ;
wire \serial_control[6] ;
wire \serial_control[7] ;
wire \serial_control[8] ;
wire \serial_control[9] ;
wire \serial_data[0] ;
wire \serial_data[10] ;
wire \serial_data[11] ;
wire \serial_data[12] ;
wire \serial_data[13] ;
wire \serial_data[14] ;
wire \serial_data[15] ;
wire \serial_data[16] ;
wire \serial_data[17] ;
wire \serial_data[18] ;
wire \serial_data[19] ;
wire \serial_data[1] ;
wire \serial_data[20] ;
wire \serial_data[21] ;
wire \serial_data[22] ;
wire \serial_data[23] ;
wire \serial_data[24] ;
wire \serial_data[25] ;
wire \serial_data[26] ;
wire \serial_data[27] ;
wire \serial_data[28] ;
wire \serial_data[29] ;
wire \serial_data[2] ;
wire \serial_data[30] ;
wire \serial_data[31] ;
wire \serial_data[3] ;
wire \serial_data[4] ;
wire \serial_data[5] ;
wire \serial_data[6] ;
wire \serial_data[7] ;
wire \serial_data[8] ;
wire \serial_data[9] ;
output strobe;
sky130_fd_sc_hd__inv_2 _145_ (
.a(\s_clk_sample[2] ),
.y(_083_)
);
sky130_fd_sc_hd__nand2_2 _146_ (
.a(_083_),
.b(\s_clk_sample[3] ),
.y(_084_)
);
sky130_fd_sc_hd__buf_1 _147_ (
.a(_084_),
.x(_085_)
);
sky130_fd_sc_hd__buf_1 _148_ (
.a(_085_),
.x(_086_)
);
sky130_fd_sc_hd__inv_2 _149_ (
.a(_084_),
.y(_087_)
);
sky130_fd_sc_hd__buf_1 _150_ (
.a(_087_),
.x(_088_)
);
sky130_fd_sc_hd__a22o_2 _151_ (
.a1(\serial_control[15] ),
.a2(_086_),
.b1(\serial_control[14] ),
.b2(_088_),
.x(_081_)
);
sky130_fd_sc_hd__a22o_2 _152_ (
.a1(\serial_control[14] ),
.a2(_086_),
.b1(\serial_control[13] ),
.b2(_088_),
.x(_080_)
);
sky130_fd_sc_hd__a22o_2 _153_ (
.a1(\serial_control[13] ),
.a2(_086_),
.b1(\serial_control[12] ),
.b2(_088_),
.x(_079_)
);
sky130_fd_sc_hd__a22o_2 _154_ (
.a1(\serial_control[12] ),
.a2(_086_),
.b1(\serial_control[11] ),
.b2(_088_),
.x(_078_)
);
sky130_fd_sc_hd__buf_1 _155_ (
.a(_085_),
.x(_089_)
);
sky130_fd_sc_hd__buf_1 _156_ (
.a(_087_),
.x(_090_)
);
sky130_fd_sc_hd__a22o_2 _157_ (
.a1(\serial_control[11] ),
.a2(_089_),
.b1(\serial_control[10] ),
.b2(_090_),
.x(_077_)
);
sky130_fd_sc_hd__a22o_2 _158_ (
.a1(\serial_control[10] ),
.a2(_089_),
.b1(\serial_control[9] ),
.b2(_090_),
.x(_076_)
);
sky130_fd_sc_hd__a22o_2 _159_ (
.a1(\serial_control[9] ),
.a2(_089_),
.b1(\serial_control[8] ),
.b2(_090_),
.x(_075_)
);
sky130_fd_sc_hd__a22o_2 _160_ (
.a1(\serial_control[8] ),
.a2(_089_),
.b1(\serial_control[7] ),
.b2(_090_),
.x(_074_)
);
sky130_fd_sc_hd__buf_1 _161_ (
.a(_085_),
.x(_091_)
);
sky130_fd_sc_hd__buf_1 _162_ (
.a(_087_),
.x(_092_)
);
sky130_fd_sc_hd__a22o_2 _163_ (
.a1(\serial_control[7] ),
.a2(_091_),
.b1(\serial_control[6] ),
.b2(_092_),
.x(_073_)
);
sky130_fd_sc_hd__a22o_2 _164_ (
.a1(\serial_control[6] ),
.a2(_091_),
.b1(\serial_control[5] ),
.b2(_092_),
.x(_072_)
);
sky130_fd_sc_hd__a22o_2 _165_ (
.a1(\serial_control[5] ),
.a2(_091_),
.b1(\serial_control[4] ),
.b2(_092_),
.x(_071_)
);
sky130_fd_sc_hd__a22o_2 _166_ (
.a1(\serial_control[4] ),
.a2(_091_),
.b1(\serial_control[3] ),
.b2(_092_),
.x(_070_)
);
sky130_fd_sc_hd__buf_1 _167_ (
.a(_085_),
.x(_093_)
);
sky130_fd_sc_hd__buf_1 _168_ (
.a(_087_),
.x(_094_)
);
sky130_fd_sc_hd__a22o_2 _169_ (
.a1(\serial_control[3] ),
.a2(_093_),
.b1(\serial_control[2] ),
.b2(_094_),
.x(_069_)
);
sky130_fd_sc_hd__a22o_2 _170_ (
.a1(\serial_control[2] ),
.a2(_093_),
.b1(\serial_control[1] ),
.b2(_094_),
.x(_068_)
);
sky130_fd_sc_hd__a22o_2 _171_ (
.a1(\serial_control[1] ),
.a2(_093_),
.b1(\serial_control[0] ),
.b2(_094_),
.x(_067_)
);
sky130_fd_sc_hd__a22o_2 _172_ (
.a1(\serial_control[0] ),
.a2(_093_),
.b1(\s_data_sample[3] ),
.b2(_094_),
.x(_066_)
);
sky130_fd_sc_hd__or2_2 _173_ (
.a(_083_),
.b(\s_clk_sample[3] ),
.x(_095_)
);
sky130_fd_sc_hd__buf_1 _174_ (
.a(_095_),
.x(_096_)
);
sky130_fd_sc_hd__buf_1 _175_ (
.a(_096_),
.x(_097_)
);
sky130_fd_sc_hd__inv_2 _176_ (
.a(_095_),
.y(_098_)
);
sky130_fd_sc_hd__buf_1 _177_ (
.a(_098_),
.x(_099_)
);
sky130_fd_sc_hd__buf_1 _178_ (
.a(_099_),
.x(_100_)
);
sky130_fd_sc_hd__a22o_2 _179_ (
.a1(\serial_data[31] ),
.a2(_097_),
.b1(\serial_data[30] ),
.b2(_100_),
.x(_065_)
);
sky130_fd_sc_hd__a22o_2 _180_ (
.a1(\serial_data[30] ),
.a2(_097_),
.b1(\serial_data[29] ),
.b2(_100_),
.x(_064_)
);
sky130_fd_sc_hd__a22o_2 _181_ (
.a1(\serial_data[29] ),
.a2(_097_),
.b1(\serial_data[28] ),
.b2(_100_),
.x(_063_)
);
sky130_fd_sc_hd__a22o_2 _182_ (
.a1(\serial_data[28] ),
.a2(_097_),
.b1(\serial_data[27] ),
.b2(_100_),
.x(_062_)
);
sky130_fd_sc_hd__buf_1 _183_ (
.a(_096_),
.x(_101_)
);
sky130_fd_sc_hd__buf_1 _184_ (
.a(_099_),
.x(_102_)
);
sky130_fd_sc_hd__a22o_2 _185_ (
.a1(\serial_data[27] ),
.a2(_101_),
.b1(\serial_data[26] ),
.b2(_102_),
.x(_061_)
);
sky130_fd_sc_hd__a22o_2 _186_ (
.a1(\serial_data[26] ),
.a2(_101_),
.b1(\serial_data[25] ),
.b2(_102_),
.x(_060_)
);
sky130_fd_sc_hd__a22o_2 _187_ (
.a1(\serial_data[25] ),
.a2(_101_),
.b1(\serial_data[24] ),
.b2(_102_),
.x(_059_)
);
sky130_fd_sc_hd__a22o_2 _188_ (
.a1(\serial_data[24] ),
.a2(_101_),
.b1(\serial_data[23] ),
.b2(_102_),
.x(_058_)
);
sky130_fd_sc_hd__buf_1 _189_ (
.a(_096_),
.x(_103_)
);
sky130_fd_sc_hd__buf_1 _190_ (
.a(_099_),
.x(_104_)
);
sky130_fd_sc_hd__a22o_2 _191_ (
.a1(\serial_data[23] ),
.a2(_103_),
.b1(\serial_data[22] ),
.b2(_104_),
.x(_057_)
);
sky130_fd_sc_hd__a22o_2 _192_ (
.a1(\serial_data[22] ),
.a2(_103_),
.b1(\serial_data[21] ),
.b2(_104_),
.x(_056_)
);
sky130_fd_sc_hd__a22o_2 _193_ (
.a1(\serial_data[21] ),
.a2(_103_),
.b1(\serial_data[20] ),
.b2(_104_),
.x(_055_)
);
sky130_fd_sc_hd__a22o_2 _194_ (
.a1(\serial_data[20] ),
.a2(_103_),
.b1(\serial_data[19] ),
.b2(_104_),
.x(_054_)
);
sky130_fd_sc_hd__buf_1 _195_ (
.a(_096_),
.x(_105_)
);
sky130_fd_sc_hd__buf_1 _196_ (
.a(_099_),
.x(_106_)
);
sky130_fd_sc_hd__a22o_2 _197_ (
.a1(\serial_data[19] ),
.a2(_105_),
.b1(\serial_data[18] ),
.b2(_106_),
.x(_053_)
);
sky130_fd_sc_hd__a22o_2 _198_ (
.a1(\serial_data[18] ),
.a2(_105_),
.b1(\serial_data[17] ),
.b2(_106_),
.x(_052_)
);
sky130_fd_sc_hd__a22o_2 _199_ (
.a1(\serial_data[17] ),
.a2(_105_),
.b1(\serial_data[16] ),
.b2(_106_),
.x(_051_)
);
sky130_fd_sc_hd__a22o_2 _200_ (
.a1(\serial_data[16] ),
.a2(_105_),
.b1(\serial_data[15] ),
.b2(_106_),
.x(_050_)
);
sky130_fd_sc_hd__buf_1 _201_ (
.a(_095_),
.x(_107_)
);
sky130_fd_sc_hd__buf_1 _202_ (
.a(_107_),
.x(_108_)
);
sky130_fd_sc_hd__buf_1 _203_ (
.a(_098_),
.x(_109_)
);
sky130_fd_sc_hd__buf_1 _204_ (
.a(_109_),
.x(_110_)
);
sky130_fd_sc_hd__a22o_2 _205_ (
.a1(\serial_data[15] ),
.a2(_108_),
.b1(\serial_data[14] ),
.b2(_110_),
.x(_049_)
);
sky130_fd_sc_hd__a22o_2 _206_ (
.a1(\serial_data[14] ),
.a2(_108_),
.b1(\serial_data[13] ),
.b2(_110_),
.x(_048_)
);
sky130_fd_sc_hd__a22o_2 _207_ (
.a1(\serial_data[13] ),
.a2(_108_),
.b1(\serial_data[12] ),
.b2(_110_),
.x(_047_)
);
sky130_fd_sc_hd__a22o_2 _208_ (
.a1(\serial_data[12] ),
.a2(_108_),
.b1(\serial_data[11] ),
.b2(_110_),
.x(_046_)
);
sky130_fd_sc_hd__buf_1 _209_ (
.a(_107_),
.x(_111_)
);
sky130_fd_sc_hd__buf_1 _210_ (
.a(_109_),
.x(_112_)
);
sky130_fd_sc_hd__a22o_2 _211_ (
.a1(\serial_data[11] ),
.a2(_111_),
.b1(\serial_data[10] ),
.b2(_112_),
.x(_045_)
);
sky130_fd_sc_hd__a22o_2 _212_ (
.a1(\serial_data[10] ),
.a2(_111_),
.b1(\serial_data[9] ),
.b2(_112_),
.x(_044_)
);
sky130_fd_sc_hd__a22o_2 _213_ (
.a1(\serial_data[9] ),
.a2(_111_),
.b1(\serial_data[8] ),
.b2(_112_),
.x(_043_)
);
sky130_fd_sc_hd__a22o_2 _214_ (
.a1(\serial_data[8] ),
.a2(_111_),
.b1(\serial_data[7] ),
.b2(_112_),
.x(_042_)
);
sky130_fd_sc_hd__buf_1 _215_ (
.a(_107_),
.x(_113_)
);
sky130_fd_sc_hd__buf_1 _216_ (
.a(_109_),
.x(_114_)
);
sky130_fd_sc_hd__a22o_2 _217_ (
.a1(\serial_data[7] ),
.a2(_113_),
.b1(\serial_data[6] ),
.b2(_114_),
.x(_041_)
);
sky130_fd_sc_hd__a22o_2 _218_ (
.a1(\serial_data[6] ),
.a2(_113_),
.b1(\serial_data[5] ),
.b2(_114_),
.x(_040_)
);
sky130_fd_sc_hd__a22o_2 _219_ (
.a1(\serial_data[5] ),
.a2(_113_),
.b1(\serial_data[4] ),
.b2(_114_),
.x(_039_)
);
sky130_fd_sc_hd__a22o_2 _220_ (
.a1(\serial_data[4] ),
.a2(_113_),
.b1(\serial_data[3] ),
.b2(_114_),
.x(_038_)
);
sky130_fd_sc_hd__buf_1 _221_ (
.a(_107_),
.x(_115_)
);
sky130_fd_sc_hd__buf_1 _222_ (
.a(_109_),
.x(_116_)
);
sky130_fd_sc_hd__a22o_2 _223_ (
.a1(\serial_data[3] ),
.a2(_115_),
.b1(\serial_data[2] ),
.b2(_116_),
.x(_037_)
);
sky130_fd_sc_hd__a22o_2 _224_ (
.a1(\serial_data[2] ),
.a2(_115_),
.b1(\serial_data[1] ),
.b2(_116_),
.x(_036_)
);
sky130_fd_sc_hd__a22o_2 _225_ (
.a1(\serial_data[1] ),
.a2(_115_),
.b1(\serial_data[0] ),
.b2(_116_),
.x(_035_)
);
sky130_fd_sc_hd__a22o_2 _226_ (
.a1(\serial_data[0] ),
.a2(_115_),
.b1(\s_data_sample[3] ),
.b2(_116_),
.x(_034_)
);
sky130_fd_sc_hd__nand4b_2 _227_ (
.a_n(\serial_control[6] ),
.b(\serial_control[7] ),
.c(\serial_control[5] ),
.d(\serial_control[4] ),
.y(_117_)
);
sky130_fd_sc_hd__or4b_2 _228_ (
.a(\serial_control[3] ),
.b(\serial_control[2] ),
.c(\serial_control[1] ),
.d_n(\serial_control[0] ),
.x(_118_)
);
sky130_fd_sc_hd__nand4_2 _229_ (
.a(\serial_control[15] ),
.b(\serial_control[14] ),
.c(\serial_control[13] ),
.d(\serial_control[12] ),
.y(_119_)
);
sky130_fd_sc_hd__or2b_2 _230_ (
.a(\serial_control[10] ),
.b_n(\serial_control[11] ),
.x(_120_)
);
sky130_fd_sc_hd__or4b_2 _231_ (
.a(_119_),
.b(\serial_control[8] ),
.c(_120_),
.d_n(\serial_control[9] ),
.x(_121_)
);
sky130_fd_sc_hd__or3_2 _232_ (
.a(_117_),
.b(_118_),
.c(_121_),
.x(_122_)
);
sky130_fd_sc_hd__buf_1 _233_ (
.a(_122_),
.x(_123_)
);
sky130_fd_sc_hd__buf_1 _234_ (
.a(_123_),
.x(_124_)
);
sky130_fd_sc_hd__inv_2 _235_ (
.a(_122_),
.y(_125_)
);
sky130_fd_sc_hd__buf_1 _236_ (
.a(_125_),
.x(_126_)
);
sky130_fd_sc_hd__buf_1 _237_ (
.a(_126_),
.x(_000_)
);
sky130_fd_sc_hd__a22o_2 _238_ (
.a1(data[31]),
.a2(_124_),
.b1(\serial_data[31] ),
.b2(_000_),
.x(_033_)
);
sky130_fd_sc_hd__a22o_2 _239_ (
.a1(data[30]),
.a2(_124_),
.b1(\serial_data[30] ),
.b2(_000_),
.x(_032_)
);
sky130_fd_sc_hd__a22o_2 _240_ (
.a1(data[29]),
.a2(_124_),
.b1(\serial_data[29] ),
.b2(_000_),
.x(_031_)
);
sky130_fd_sc_hd__buf_1 _241_ (
.a(_126_),
.x(_127_)
);
sky130_fd_sc_hd__a22o_2 _242_ (
.a1(data[28]),
.a2(_124_),
.b1(\serial_data[28] ),
.b2(_127_),
.x(_030_)
);
sky130_fd_sc_hd__buf_1 _243_ (
.a(_123_),
.x(_128_)
);
sky130_fd_sc_hd__a22o_2 _244_ (
.a1(data[27]),
.a2(_128_),
.b1(\serial_data[27] ),
.b2(_127_),
.x(_029_)
);
sky130_fd_sc_hd__a22o_2 _245_ (
.a1(data[26]),
.a2(_128_),
.b1(\serial_data[26] ),
.b2(_127_),
.x(_028_)
);
sky130_fd_sc_hd__a22o_2 _246_ (
.a1(data[25]),
.a2(_128_),
.b1(\serial_data[25] ),
.b2(_127_),
.x(_027_)
);
sky130_fd_sc_hd__buf_1 _247_ (
.a(_125_),
.x(_129_)
);
sky130_fd_sc_hd__buf_1 _248_ (
.a(_129_),
.x(_130_)
);
sky130_fd_sc_hd__a22o_2 _249_ (
.a1(data[24]),
.a2(_128_),
.b1(\serial_data[24] ),
.b2(_130_),
.x(_026_)
);
sky130_fd_sc_hd__buf_1 _250_ (
.a(_123_),
.x(_131_)
);
sky130_fd_sc_hd__a22o_2 _251_ (
.a1(data[23]),
.a2(_131_),
.b1(\serial_data[23] ),
.b2(_130_),
.x(_025_)
);
sky130_fd_sc_hd__a22o_2 _252_ (
.a1(data[22]),
.a2(_131_),
.b1(\serial_data[22] ),
.b2(_130_),
.x(_024_)
);
sky130_fd_sc_hd__a22o_2 _253_ (
.a1(data[21]),
.a2(_131_),
.b1(\serial_data[21] ),
.b2(_130_),
.x(_023_)
);
sky130_fd_sc_hd__buf_1 _254_ (
.a(_129_),
.x(_132_)
);
sky130_fd_sc_hd__a22o_2 _255_ (
.a1(data[20]),
.a2(_131_),
.b1(\serial_data[20] ),
.b2(_132_),
.x(_022_)
);
sky130_fd_sc_hd__buf_1 _256_ (
.a(_123_),
.x(_133_)
);
sky130_fd_sc_hd__a22o_2 _257_ (
.a1(data[19]),
.a2(_133_),
.b1(\serial_data[19] ),
.b2(_132_),
.x(_021_)
);
sky130_fd_sc_hd__a22o_2 _258_ (
.a1(data[18]),
.a2(_133_),
.b1(\serial_data[18] ),
.b2(_132_),
.x(_020_)
);
sky130_fd_sc_hd__a22o_2 _259_ (
.a1(data[17]),
.a2(_133_),
.b1(\serial_data[17] ),
.b2(_132_),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _260_ (
.a(_129_),
.x(_134_)
);
sky130_fd_sc_hd__a22o_2 _261_ (
.a1(data[16]),
.a2(_133_),
.b1(\serial_data[16] ),
.b2(_134_),
.x(_018_)
);
sky130_fd_sc_hd__buf_1 _262_ (
.a(_122_),
.x(_135_)
);
sky130_fd_sc_hd__buf_1 _263_ (
.a(_135_),
.x(_136_)
);
sky130_fd_sc_hd__a22o_2 _264_ (
.a1(data[15]),
.a2(_136_),
.b1(\serial_data[15] ),
.b2(_134_),
.x(_017_)
);
sky130_fd_sc_hd__a22o_2 _265_ (
.a1(data[14]),
.a2(_136_),
.b1(\serial_data[14] ),
.b2(_134_),
.x(_016_)
);
sky130_fd_sc_hd__a22o_2 _266_ (
.a1(data[13]),
.a2(_136_),
.b1(\serial_data[13] ),
.b2(_134_),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _267_ (
.a(_129_),
.x(_137_)
);
sky130_fd_sc_hd__a22o_2 _268_ (
.a1(data[12]),
.a2(_136_),
.b1(\serial_data[12] ),
.b2(_137_),
.x(_014_)
);
sky130_fd_sc_hd__buf_1 _269_ (
.a(_135_),
.x(_138_)
);
sky130_fd_sc_hd__a22o_2 _270_ (
.a1(data[11]),
.a2(_138_),
.b1(\serial_data[11] ),
.b2(_137_),
.x(_013_)
);
sky130_fd_sc_hd__a22o_2 _271_ (
.a1(data[10]),
.a2(_138_),
.b1(\serial_data[10] ),
.b2(_137_),
.x(_012_)
);
sky130_fd_sc_hd__a22o_2 _272_ (
.a1(data[9]),
.a2(_138_),
.b1(\serial_data[9] ),
.b2(_137_),
.x(_011_)
);
sky130_fd_sc_hd__buf_1 _273_ (
.a(_125_),
.x(_139_)
);
sky130_fd_sc_hd__a22o_2 _274_ (
.a1(data[8]),
.a2(_138_),
.b1(\serial_data[8] ),
.b2(_139_),
.x(_010_)
);
sky130_fd_sc_hd__buf_1 _275_ (
.a(_135_),
.x(_140_)
);
sky130_fd_sc_hd__a22o_2 _276_ (
.a1(data[7]),
.a2(_140_),
.b1(\serial_data[7] ),
.b2(_139_),
.x(_009_)
);
sky130_fd_sc_hd__a22o_2 _277_ (
.a1(data[6]),
.a2(_140_),
.b1(\serial_data[6] ),
.b2(_139_),
.x(_008_)
);
sky130_fd_sc_hd__a22o_2 _278_ (
.a1(data[5]),
.a2(_140_),
.b1(\serial_data[5] ),
.b2(_139_),
.x(_007_)
);
sky130_fd_sc_hd__buf_1 _279_ (
.a(_125_),
.x(_141_)
);
sky130_fd_sc_hd__a22o_2 _280_ (
.a1(data[4]),
.a2(_140_),
.b1(\serial_data[4] ),
.b2(_141_),
.x(_006_)
);
sky130_fd_sc_hd__buf_1 _281_ (
.a(_135_),
.x(_142_)
);
sky130_fd_sc_hd__a22o_2 _282_ (
.a1(data[3]),
.a2(_142_),
.b1(\serial_data[3] ),
.b2(_141_),
.x(_005_)
);
sky130_fd_sc_hd__a22o_2 _283_ (
.a1(data[2]),
.a2(_142_),
.b1(\serial_data[2] ),
.b2(_141_),
.x(_004_)
);
sky130_fd_sc_hd__a22o_2 _284_ (
.a1(data[1]),
.a2(_142_),
.b1(\serial_data[1] ),
.b2(_141_),
.x(_003_)
);
sky130_fd_sc_hd__a22o_2 _285_ (
.a1(data[0]),
.a2(_142_),
.b1(\serial_data[0] ),
.b2(_126_),
.x(_002_)
);
sky130_fd_sc_hd__and2b_2 _286_ (
.a_n(old_local_strobe),
.b(local_strobe),
.x(_143_)
);
sky130_fd_sc_hd__buf_1 _287_ (
.a(_143_),
.x(_001_)
);
sky130_fd_sc_hd__or4_2 _288_ (
.a(\serial_control[3] ),
.b(\serial_control[2] ),
.c(\serial_control[1] ),
.d(\serial_control[0] ),
.x(_144_)
);
sky130_fd_sc_hd__o32a_2 _289_ (
.a1(_117_),
.a2(_144_),
.a3(_121_),
.b1(active),
.b2(_126_),
.x(_082_)
);
sky130_fd_sc_hd__dfxtp_2 _290_ (
.clk(clk),
.d(_001_),
.q(strobe)
);
sky130_fd_sc_hd__dfxtp_2 _291_ (
.clk(clk),
.d(_000_),
.q(local_strobe)
);
sky130_fd_sc_hd__dfxtp_2 _292_ (
.clk(clk),
.d(local_strobe),
.q(old_local_strobe)
);
sky130_fd_sc_hd__dfxtp_2 _293_ (
.clk(clk),
.d(s_data),
.q(\s_data_sample[0] )
);
sky130_fd_sc_hd__dfxtp_2 _294_ (
.clk(clk),
.d(\s_data_sample[0] ),
.q(\s_data_sample[1] )
);
sky130_fd_sc_hd__dfxtp_2 _295_ (
.clk(clk),
.d(\s_data_sample[1] ),
.q(\s_data_sample[2] )
);
sky130_fd_sc_hd__dfxtp_2 _296_ (
.clk(clk),
.d(\s_data_sample[2] ),
.q(\s_data_sample[3] )
);
sky130_fd_sc_hd__dfxtp_2 _297_ (
.clk(clk),
.d(s_clk),
.q(\s_clk_sample[0] )
);
sky130_fd_sc_hd__dfxtp_2 _298_ (
.clk(clk),
.d(\s_clk_sample[0] ),
.q(\s_clk_sample[1] )
);
sky130_fd_sc_hd__dfxtp_2 _299_ (
.clk(clk),
.d(\s_clk_sample[1] ),
.q(\s_clk_sample[2] )
);
sky130_fd_sc_hd__dfxtp_2 _300_ (
.clk(clk),
.d(\s_clk_sample[2] ),
.q(\s_clk_sample[3] )
);
sky130_fd_sc_hd__dfxtp_2 _301_ (
.clk(clk),
.d(_002_),
.q(data[0])
);
sky130_fd_sc_hd__dfxtp_2 _302_ (
.clk(clk),
.d(_003_),
.q(data[1])
);
sky130_fd_sc_hd__dfxtp_2 _303_ (
.clk(clk),
.d(_004_),
.q(data[2])
);
sky130_fd_sc_hd__dfxtp_2 _304_ (
.clk(clk),
.d(_005_),
.q(data[3])
);
sky130_fd_sc_hd__dfxtp_2 _305_ (
.clk(clk),
.d(_006_),
.q(data[4])
);
sky130_fd_sc_hd__dfxtp_2 _306_ (
.clk(clk),
.d(_007_),
.q(data[5])
);
sky130_fd_sc_hd__dfxtp_2 _307_ (
.clk(clk),
.d(_008_),
.q(data[6])
);
sky130_fd_sc_hd__dfxtp_2 _308_ (
.clk(clk),
.d(_009_),
.q(data[7])
);
sky130_fd_sc_hd__dfxtp_2 _309_ (
.clk(clk),
.d(_010_),
.q(data[8])
);
sky130_fd_sc_hd__dfxtp_2 _310_ (
.clk(clk),
.d(_011_),
.q(data[9])
);
sky130_fd_sc_hd__dfxtp_2 _311_ (
.clk(clk),
.d(_012_),
.q(data[10])
);
sky130_fd_sc_hd__dfxtp_2 _312_ (
.clk(clk),
.d(_013_),
.q(data[11])
);
sky130_fd_sc_hd__dfxtp_2 _313_ (
.clk(clk),
.d(_014_),
.q(data[12])
);
sky130_fd_sc_hd__dfxtp_2 _314_ (
.clk(clk),
.d(_015_),
.q(data[13])
);
sky130_fd_sc_hd__dfxtp_2 _315_ (
.clk(clk),
.d(_016_),
.q(data[14])
);
sky130_fd_sc_hd__dfxtp_2 _316_ (
.clk(clk),
.d(_017_),
.q(data[15])
);
sky130_fd_sc_hd__dfxtp_2 _317_ (
.clk(clk),
.d(_018_),
.q(data[16])
);
sky130_fd_sc_hd__dfxtp_2 _318_ (
.clk(clk),
.d(_019_),
.q(data[17])
);
sky130_fd_sc_hd__dfxtp_2 _319_ (
.clk(clk),
.d(_020_),
.q(data[18])
);
sky130_fd_sc_hd__dfxtp_2 _320_ (
.clk(clk),
.d(_021_),
.q(data[19])
);
sky130_fd_sc_hd__dfxtp_2 _321_ (
.clk(clk),
.d(_022_),
.q(data[20])
);
sky130_fd_sc_hd__dfxtp_2 _322_ (
.clk(clk),
.d(_023_),
.q(data[21])
);
sky130_fd_sc_hd__dfxtp_2 _323_ (
.clk(clk),
.d(_024_),
.q(data[22])
);
sky130_fd_sc_hd__dfxtp_2 _324_ (
.clk(clk),
.d(_025_),
.q(data[23])
);
sky130_fd_sc_hd__dfxtp_2 _325_ (
.clk(clk),
.d(_026_),
.q(data[24])
);
sky130_fd_sc_hd__dfxtp_2 _326_ (
.clk(clk),
.d(_027_),
.q(data[25])
);
sky130_fd_sc_hd__dfxtp_2 _327_ (
.clk(clk),
.d(_028_),
.q(data[26])
);
sky130_fd_sc_hd__dfxtp_2 _328_ (
.clk(clk),
.d(_029_),
.q(data[27])
);
sky130_fd_sc_hd__dfxtp_2 _329_ (
.clk(clk),
.d(_030_),
.q(data[28])
);
sky130_fd_sc_hd__dfxtp_2 _330_ (
.clk(clk),
.d(_031_),
.q(data[29])
);
sky130_fd_sc_hd__dfxtp_2 _331_ (
.clk(clk),
.d(_032_),
.q(data[30])
);
sky130_fd_sc_hd__dfxtp_2 _332_ (
.clk(clk),
.d(_033_),
.q(data[31])
);
sky130_fd_sc_hd__dfxtp_2 _333_ (
.clk(clk),
.d(_034_),
.q(\serial_data[0] )
);
sky130_fd_sc_hd__dfxtp_2 _334_ (
.clk(clk),
.d(_035_),
.q(\serial_data[1] )
);
sky130_fd_sc_hd__dfxtp_2 _335_ (
.clk(clk),
.d(_036_),
.q(\serial_data[2] )
);
sky130_fd_sc_hd__dfxtp_2 _336_ (
.clk(clk),
.d(_037_),
.q(\serial_data[3] )
);
sky130_fd_sc_hd__dfxtp_2 _337_ (
.clk(clk),
.d(_038_),
.q(\serial_data[4] )
);
sky130_fd_sc_hd__dfxtp_2 _338_ (
.clk(clk),
.d(_039_),
.q(\serial_data[5] )
);
sky130_fd_sc_hd__dfxtp_2 _339_ (
.clk(clk),
.d(_040_),
.q(\serial_data[6] )
);
sky130_fd_sc_hd__dfxtp_2 _340_ (
.clk(clk),
.d(_041_),
.q(\serial_data[7] )
);
sky130_fd_sc_hd__dfxtp_2 _341_ (
.clk(clk),
.d(_042_),
.q(\serial_data[8] )
);
sky130_fd_sc_hd__dfxtp_2 _342_ (
.clk(clk),
.d(_043_),
.q(\serial_data[9] )
);
sky130_fd_sc_hd__dfxtp_2 _343_ (
.clk(clk),
.d(_044_),
.q(\serial_data[10] )
);
sky130_fd_sc_hd__dfxtp_2 _344_ (
.clk(clk),
.d(_045_),
.q(\serial_data[11] )
);
sky130_fd_sc_hd__dfxtp_2 _345_ (
.clk(clk),
.d(_046_),
.q(\serial_data[12] )
);
sky130_fd_sc_hd__dfxtp_2 _346_ (
.clk(clk),
.d(_047_),
.q(\serial_data[13] )
);
sky130_fd_sc_hd__dfxtp_2 _347_ (
.clk(clk),
.d(_048_),
.q(\serial_data[14] )
);
sky130_fd_sc_hd__dfxtp_2 _348_ (
.clk(clk),
.d(_049_),
.q(\serial_data[15] )
);
sky130_fd_sc_hd__dfxtp_2 _349_ (
.clk(clk),
.d(_050_),
.q(\serial_data[16] )
);
sky130_fd_sc_hd__dfxtp_2 _350_ (
.clk(clk),
.d(_051_),
.q(\serial_data[17] )
);
sky130_fd_sc_hd__dfxtp_2 _351_ (
.clk(clk),
.d(_052_),
.q(\serial_data[18] )
);
sky130_fd_sc_hd__dfxtp_2 _352_ (
.clk(clk),
.d(_053_),
.q(\serial_data[19] )
);
sky130_fd_sc_hd__dfxtp_2 _353_ (
.clk(clk),
.d(_054_),
.q(\serial_data[20] )
);
sky130_fd_sc_hd__dfxtp_2 _354_ (
.clk(clk),
.d(_055_),
.q(\serial_data[21] )
);
sky130_fd_sc_hd__dfxtp_2 _355_ (
.clk(clk),
.d(_056_),
.q(\serial_data[22] )
);
sky130_fd_sc_hd__dfxtp_2 _356_ (
.clk(clk),
.d(_057_),
.q(\serial_data[23] )
);
sky130_fd_sc_hd__dfxtp_2 _357_ (
.clk(clk),
.d(_058_),
.q(\serial_data[24] )
);
sky130_fd_sc_hd__dfxtp_2 _358_ (
.clk(clk),
.d(_059_),
.q(\serial_data[25] )
);
sky130_fd_sc_hd__dfxtp_2 _359_ (
.clk(clk),
.d(_060_),
.q(\serial_data[26] )
);
sky130_fd_sc_hd__dfxtp_2 _360_ (
.clk(clk),
.d(_061_),
.q(\serial_data[27] )
);
sky130_fd_sc_hd__dfxtp_2 _361_ (
.clk(clk),
.d(_062_),
.q(\serial_data[28] )
);
sky130_fd_sc_hd__dfxtp_2 _362_ (
.clk(clk),
.d(_063_),
.q(\serial_data[29] )
);
sky130_fd_sc_hd__dfxtp_2 _363_ (
.clk(clk),
.d(_064_),
.q(\serial_data[30] )
);
sky130_fd_sc_hd__dfxtp_2 _364_ (
.clk(clk),
.d(_065_),
.q(\serial_data[31] )
);
sky130_fd_sc_hd__dfxtp_2 _365_ (
.clk(clk),
.d(_066_),
.q(\serial_control[0] )
);
sky130_fd_sc_hd__dfxtp_2 _366_ (
.clk(clk),
.d(_067_),
.q(\serial_control[1] )
);
sky130_fd_sc_hd__dfxtp_2 _367_ (
.clk(clk),
.d(_068_),
.q(\serial_control[2] )
);
sky130_fd_sc_hd__dfxtp_2 _368_ (
.clk(clk),
.d(_069_),
.q(\serial_control[3] )
);
sky130_fd_sc_hd__dfxtp_2 _369_ (
.clk(clk),
.d(_070_),
.q(\serial_control[4] )
);
sky130_fd_sc_hd__dfxtp_2 _370_ (
.clk(clk),
.d(_071_),
.q(\serial_control[5] )
);
sky130_fd_sc_hd__dfxtp_2 _371_ (
.clk(clk),
.d(_072_),
.q(\serial_control[6] )
);
sky130_fd_sc_hd__dfxtp_2 _372_ (
.clk(clk),
.d(_073_),
.q(\serial_control[7] )
);
sky130_fd_sc_hd__dfxtp_2 _373_ (
.clk(clk),
.d(_074_),
.q(\serial_control[8] )
);
sky130_fd_sc_hd__dfxtp_2 _374_ (
.clk(clk),
.d(_075_),
.q(\serial_control[9] )
);
sky130_fd_sc_hd__dfxtp_2 _375_ (
.clk(clk),
.d(_076_),
.q(\serial_control[10] )
);
sky130_fd_sc_hd__dfxtp_2 _376_ (
.clk(clk),
.d(_077_),
.q(\serial_control[11] )
);
sky130_fd_sc_hd__dfxtp_2 _377_ (
.clk(clk),
.d(_078_),
.q(\serial_control[12] )
);
sky130_fd_sc_hd__dfxtp_2 _378_ (
.clk(clk),
.d(_079_),
.q(\serial_control[13] )
);
sky130_fd_sc_hd__dfxtp_2 _379_ (
.clk(clk),
.d(_080_),
.q(\serial_control[14] )
);
sky130_fd_sc_hd__dfxtp_2 _380_ (
.clk(clk),
.d(_081_),
.q(\serial_control[15] )
);
sky130_fd_sc_hd__dfxtp_2 _381_ (
.clk(clk),
.d(_082_),
.q(active)
);
endmodule
module config_uart(clk, rx, writedata, comactive, writestrobe, command, receiveled);
wire _0000_;
wire _0001_;
wire _0002_;
wire _0003_;
wire _0004_;
wire _0005_;
wire _0006_;
wire _0007_;
wire _0008_;
wire _0009_;
wire _0010_;
wire _0011_;
wire _0012_;
wire _0013_;
wire _0014_;
wire _0015_;
wire _0016_;
wire _0017_;
wire _0018_;
wire _0019_;
wire _0020_;
wire _0021_;
wire _0022_;
wire _0023_;
wire _0024_;
wire _0025_;
wire _0026_;
wire _0027_;
wire _0028_;
wire _0029_;
wire _0030_;
wire _0031_;
wire _0032_;
wire _0033_;
wire _0034_;
wire _0035_;
wire _0036_;
wire _0037_;
wire _0038_;
wire _0039_;
wire _0040_;
wire _0041_;
wire _0042_;
wire _0043_;
wire _0044_;
wire _0045_;
wire _0046_;
wire _0047_;
wire _0048_;
wire _0049_;
wire _0050_;
wire _0051_;
wire _0052_;
wire _0053_;
wire _0054_;
wire _0055_;
wire _0056_;
wire _0057_;
wire _0058_;
wire _0059_;
wire _0060_;
wire _0061_;
wire _0062_;
wire _0063_;
wire _0064_;
wire _0065_;
wire _0066_;
wire _0067_;
wire _0068_;
wire _0069_;
wire _0070_;
wire _0071_;
wire _0072_;
wire _0073_;
wire _0074_;
wire _0075_;
wire _0076_;
wire _0077_;
wire _0078_;
wire _0079_;
wire _0080_;
wire _0081_;
wire _0082_;
wire _0083_;
wire _0084_;
wire _0085_;
wire _0086_;
wire _0087_;
wire _0088_;
wire _0089_;
wire _0090_;
wire _0091_;
wire _0092_;
wire _0093_;
wire _0094_;
wire _0095_;
wire _0096_;
wire _0097_;
wire _0098_;
wire _0099_;
wire _0100_;
wire _0101_;
wire _0102_;
wire _0103_;
wire _0104_;
wire _0105_;
wire _0106_;
wire _0107_;
wire _0108_;
wire _0109_;
wire _0110_;
wire _0111_;
wire _0112_;
wire _0113_;
wire _0114_;
wire _0115_;
wire _0116_;
wire _0117_;
wire _0118_;
wire _0119_;
wire _0120_;
wire _0121_;
wire _0122_;
wire _0123_;
wire _0124_;
wire _0125_;
wire _0126_;
wire _0127_;
wire _0128_;
wire _0129_;
wire _0130_;
wire _0131_;
wire _0132_;
wire _0133_;
wire _0134_;
wire _0135_;
wire _0136_;
wire _0137_;
wire _0138_;
wire _0139_;
wire _0140_;
wire _0141_;
wire _0142_;
wire _0143_;
wire _0144_;
wire _0145_;
wire _0146_;
wire _0147_;
wire _0148_;
wire _0149_;
wire _0150_;
wire _0151_;
wire _0152_;
wire _0153_;
wire _0154_;
wire _0155_;
wire _0156_;
wire _0157_;
wire _0158_;
wire _0159_;
wire _0160_;
wire _0161_;
wire _0162_;
wire _0163_;
wire _0164_;
wire _0165_;
wire _0166_;
wire _0167_;
wire _0168_;
wire _0169_;
wire _0170_;
wire _0171_;
wire _0172_;
wire _0173_;
wire _0174_;
wire _0175_;
wire _0176_;
wire _0177_;
wire _0178_;
wire _0179_;
wire _0180_;
wire _0181_;
wire _0182_;
wire _0183_;
wire _0184_;
wire _0185_;
wire _0186_;
wire _0187_;
wire _0188_;
wire _0189_;
wire _0190_;
wire _0191_;
wire _0192_;
wire _0193_;
wire _0194_;
wire _0195_;
wire _0196_;
wire _0197_;
wire _0198_;
wire _0199_;
wire _0200_;
wire _0201_;
wire _0202_;
wire _0203_;
wire _0204_;
wire _0205_;
wire _0206_;
wire _0207_;
wire _0208_;
wire _0209_;
wire _0210_;
wire _0211_;
wire _0212_;
wire _0213_;
wire _0214_;
wire _0215_;
wire _0216_;
wire _0217_;
wire _0218_;
wire _0219_;
wire _0220_;
wire _0221_;
wire _0222_;
wire _0223_;
wire _0224_;
wire _0225_;
wire _0226_;
wire _0227_;
wire _0228_;
wire _0229_;
wire _0230_;
wire _0231_;
wire _0232_;
wire _0233_;
wire _0234_;
wire _0235_;
wire _0236_;
wire _0237_;
wire _0238_;
wire _0239_;
wire _0240_;
wire _0241_;
wire _0242_;
wire _0243_;
wire _0244_;
wire _0245_;
wire _0246_;
wire _0247_;
wire _0248_;
wire _0249_;
wire _0250_;
wire _0251_;
wire _0252_;
wire _0253_;
wire _0254_;
wire _0255_;
wire _0256_;
wire _0257_;
wire _0258_;
wire _0259_;
wire _0260_;
wire _0261_;
wire _0262_;
wire _0263_;
wire _0264_;
wire _0265_;
wire _0266_;
wire _0267_;
wire _0268_;
wire _0269_;
wire _0270_;
wire _0271_;
wire _0272_;
wire _0273_;
wire _0274_;
wire _0275_;
wire _0276_;
wire _0277_;
wire _0278_;
wire _0279_;
wire _0280_;
wire _0281_;
wire _0282_;
wire _0283_;
wire _0284_;
wire _0285_;
wire _0286_;
wire _0287_;
wire _0288_;
wire _0289_;
wire _0290_;
wire _0291_;
wire _0292_;
wire _0293_;
wire _0294_;
wire _0295_;
wire _0296_;
wire _0297_;
wire _0298_;
wire _0299_;
wire _0300_;
wire _0301_;
wire _0302_;
wire _0303_;
wire _0304_;
wire _0305_;
wire _0306_;
wire _0307_;
wire _0308_;
wire _0309_;
wire _0310_;
wire _0311_;
wire _0312_;
wire _0313_;
wire _0314_;
wire _0315_;
wire _0316_;
wire _0317_;
wire _0318_;
wire _0319_;
wire _0320_;
wire _0321_;
wire _0322_;
wire _0323_;
wire _0324_;
wire _0325_;
wire _0326_;
wire _0327_;
wire _0328_;
wire _0329_;
wire _0330_;
wire _0331_;
wire _0332_;
wire _0333_;
wire _0334_;
wire _0335_;
wire _0336_;
wire _0337_;
wire _0338_;
wire _0339_;
wire _0340_;
wire _0341_;
wire _0342_;
wire _0343_;
wire _0344_;
wire _0345_;
wire _0346_;
wire _0347_;
wire _0348_;
wire _0349_;
wire _0350_;
wire _0351_;
wire _0352_;
wire _0353_;
wire _0354_;
wire _0355_;
wire _0356_;
wire _0357_;
wire _0358_;
wire _0359_;
wire _0360_;
wire _0361_;
wire _0362_;
wire _0363_;
wire _0364_;
wire _0365_;
wire _0366_;
wire _0367_;
wire _0368_;
wire _0369_;
wire _0370_;
wire _0371_;
wire _0372_;
wire _0373_;
wire _0374_;
wire _0375_;
wire _0376_;
wire _0377_;
wire _0378_;
wire _0379_;
wire _0380_;
wire _0381_;
wire _0382_;
wire _0383_;
wire _0384_;
wire _0385_;
wire _0386_;
wire _0387_;
wire _0388_;
wire _0389_;
wire _0390_;
wire _0391_;
wire _0392_;
wire _0393_;
wire _0394_;
wire _0395_;
wire _0396_;
wire _0397_;
wire _0398_;
wire _0399_;
wire _0400_;
wire _0401_;
wire _0402_;
wire _0403_;
wire _0404_;
wire _0405_;
wire _0406_;
wire _0407_;
wire _0408_;
wire _0409_;
wire _0410_;
wire _0411_;
wire _0412_;
wire _0413_;
wire _0414_;
wire _0415_;
wire _0416_;
wire _0417_;
wire _0418_;
wire _0419_;
wire _0420_;
wire _0421_;
wire _0422_;
wire _0423_;
wire _0424_;
wire _0425_;
wire _0426_;
wire _0427_;
wire _0428_;
wire _0429_;
wire _0430_;
wire _0431_;
wire _0432_;
wire _0433_;
wire _0434_;
wire _0435_;
wire _0436_;
wire _0437_;
wire _0438_;
wire _0439_;
wire _0440_;
wire _0441_;
wire _0442_;
wire _0443_;
wire _0444_;
wire _0445_;
wire _0446_;
wire _0447_;
wire _0448_;
wire _0449_;
wire _0450_;
wire _0451_;
wire _0452_;
wire _0453_;
wire _0454_;
wire _0455_;
wire _0456_;
wire _0457_;
wire _0458_;
wire _0459_;
wire _0460_;
wire _0461_;
wire _0462_;
wire _0463_;
wire _0464_;
wire _0465_;
wire _0466_;
wire _0467_;
wire _0468_;
wire _0469_;
wire _0470_;
wire _0471_;
wire _0472_;
wire _0473_;
wire _0474_;
wire _0475_;
wire _0476_;
wire _0477_;
wire _0478_;
wire _0479_;
wire _0480_;
wire _0481_;
wire _0482_;
wire _0483_;
wire _0484_;
wire _0485_;
wire _0486_;
wire _0487_;
wire _0488_;
wire _0489_;
wire _0490_;
wire _0491_;
wire _0492_;
wire _0493_;
wire _0494_;
wire _0495_;
wire _0496_;
wire _0497_;
wire _0498_;
wire _0499_;
wire _0500_;
wire _0501_;
wire _0502_;
wire _0503_;
wire _0504_;
wire _0505_;
wire _0506_;
wire _0507_;
wire _0508_;
wire _0509_;
wire _0510_;
wire _0511_;
wire _0512_;
wire _0513_;
wire _0514_;
wire _0515_;
wire _0516_;
wire _0517_;
wire _0518_;
wire _0519_;
wire _0520_;
wire _0521_;
wire _0522_;
wire _0523_;
wire _0524_;
wire _0525_;
wire _0526_;
wire _0527_;
wire _0528_;
wire _0529_;
wire _0530_;
wire _0531_;
wire _0532_;
wire _0533_;
wire _0534_;
wire _0535_;
wire _0536_;
wire _0537_;
wire _0538_;
wire _0539_;
wire _0540_;
wire _0541_;
wire _0542_;
wire _0543_;
wire _0544_;
wire _0545_;
wire _0546_;
wire _0547_;
wire _0548_;
wire _0549_;
wire _0550_;
wire _0551_;
wire _0552_;
wire _0553_;
wire _0554_;
wire _0555_;
wire _0556_;
wire _0557_;
wire _0558_;
wire _0559_;
wire _0560_;
wire _0561_;
wire _0562_;
wire _0563_;
wire _0564_;
wire _0565_;
wire _0566_;
wire _0567_;
wire _0568_;
wire _0569_;
wire _0570_;
wire _0571_;
wire _0572_;
wire _0573_;
wire _0574_;
wire _0575_;
wire _0576_;
wire _0577_;
wire _0578_;
wire _0579_;
wire _0580_;
wire _0581_;
wire _0582_;
wire _0583_;
wire _0584_;
wire _0585_;
wire _0586_;
wire _0587_;
wire _0588_;
wire _0589_;
wire _0590_;
wire _0591_;
wire _0592_;
wire _0593_;
wire _0594_;
wire _0595_;
wire _0596_;
wire _0597_;
wire _0598_;
wire _0599_;
wire _0600_;
wire _0601_;
wire _0602_;
wire _0603_;
wire _0604_;
wire _0605_;
wire _0606_;
wire _0607_;
wire _0608_;
wire _0609_;
wire _0610_;
wire _0611_;
wire bytewritestrobe;
input clk;
wire \crcreg[0] ;
wire \crcreg[10] ;
wire \crcreg[11] ;
wire \crcreg[12] ;
wire \crcreg[13] ;
wire \crcreg[14] ;
wire \crcreg[15] ;
wire \crcreg[16] ;
wire \crcreg[17] ;
wire \crcreg[18] ;
wire \crcreg[19] ;
wire \crcreg[1] ;
wire \crcreg[2] ;
wire \crcreg[3] ;
wire \crcreg[4] ;
wire \crcreg[5] ;
wire \crcreg[6] ;
wire \crcreg[7] ;
wire \crcreg[8] ;
wire \crcreg[9] ;
output comactive;
wire \comcount[0] ;
wire \comcount[10] ;
wire \comcount[11] ;
wire \comcount[1] ;
wire \comcount[2] ;
wire \comcount[3] ;
wire \comcount[4] ;
wire \comcount[5] ;
wire \comcount[6] ;
wire \comcount[7] ;
wire \comcount[8] ;
wire \comcount[9] ;
wire \comstate[0] ;
wire \comstate[1] ;
wire \comstate[2] ;
wire \comstate[3] ;
wire comtick;
output [7:0] command;
wire \data_reg[0] ;
wire \data_reg[1] ;
wire \data_reg[2] ;
wire \data_reg[3] ;
wire \data_reg[4] ;
wire \data_reg[5] ;
wire \data_reg[6] ;
wire \data_reg[7] ;
wire \getwordstate[0] ;
wire \getwordstate[1] ;
wire \hexdata[0] ;
wire \hexdata[1] ;
wire \hexdata[2] ;
wire \hexdata[3] ;
wire \hexdata[4] ;
wire \hexdata[5] ;
wire \hexdata[6] ;
wire \hexdata[7] ;
wire hexwritestrobe;
wire \highreg[0] ;
wire \highreg[1] ;
wire \highreg[2] ;
wire \highreg[3] ;
wire \id_reg[0] ;
wire \id_reg[10] ;
wire \id_reg[11] ;
wire \id_reg[12] ;
wire \id_reg[13] ;
wire \id_reg[14] ;
wire \id_reg[15] ;
wire \id_reg[16] ;
wire \id_reg[17] ;
wire \id_reg[18] ;
wire \id_reg[19] ;
wire \id_reg[1] ;
wire \id_reg[20] ;
wire \id_reg[21] ;
wire \id_reg[22] ;
wire \id_reg[23] ;
wire \id_reg[2] ;
wire \id_reg[3] ;
wire \id_reg[4] ;
wire \id_reg[5] ;
wire \id_reg[6] ;
wire \id_reg[7] ;
wire \id_reg[8] ;
wire \id_reg[9] ;
wire localwritestrobe;
wire \presentstate[0] ;
wire \presentstate[1] ;
wire \presentstate[2] ;
output receiveled;
wire receivestate;
wire \receivedbyte[0] ;
wire \receivedbyte[1] ;
wire \receivedbyte[2] ;
wire \receivedbyte[3] ;
wire \receivedbyte[4] ;
wire \receivedbyte[5] ;
wire \receivedbyte[6] ;
wire \receivedbyte[7] ;
wire \receivedword[0] ;
wire \receivedword[1] ;
wire \receivedword[2] ;
wire \receivedword[3] ;
wire \receivedword[4] ;
wire \receivedword[5] ;
wire \receivedword[6] ;
wire \receivedword[7] ;
input rx;
wire rxlocal;
wire timetosend;
wire \timetosendcounter[0] ;
wire \timetosendcounter[10] ;
wire \timetosendcounter[11] ;
wire \timetosendcounter[12] ;
wire \timetosendcounter[13] ;
wire \timetosendcounter[14] ;
wire \timetosendcounter[1] ;
wire \timetosendcounter[2] ;
wire \timetosendcounter[3] ;
wire \timetosendcounter[4] ;
wire \timetosendcounter[5] ;
wire \timetosendcounter[6] ;
wire \timetosendcounter[7] ;
wire \timetosendcounter[8] ;
wire \timetosendcounter[9] ;
output [31:0] writedata;
output writestrobe;
wire \blink[0] ;
wire \blink[10] ;
wire \blink[11] ;
wire \blink[12] ;
wire \blink[13] ;
wire \blink[14] ;
wire \blink[15] ;
wire \blink[16] ;
wire \blink[17] ;
wire \blink[18] ;
wire \blink[19] ;
wire \blink[1] ;
wire \blink[20] ;
wire \blink[21] ;
wire \blink[22] ;
wire \blink[2] ;
wire \blink[3] ;
wire \blink[4] ;
wire \blink[5] ;
wire \blink[6] ;
wire \blink[7] ;
wire \blink[8] ;
wire \blink[9] ;
sky130_fd_sc_hd__or2_2 _0612_ (
.a(\presentstate[1] ),
.b(\presentstate[0] ),
.x(_0215_)
);
sky130_fd_sc_hd__nor2_2 _0613_ (
.a(\presentstate[2] ),
.b(_0215_),
.y(_0216_)
);
sky130_fd_sc_hd__inv_2 _0614_ (
.a(\comstate[1] ),
.y(_0217_)
);
sky130_fd_sc_hd__inv_2 _0615_ (
.a(\comstate[3] ),
.y(_0218_)
);
sky130_fd_sc_hd__or4_2 _0616_ (
.a(_0217_),
.b(\comstate[0] ),
.c(_0218_),
.d(\comstate[2] ),
.x(_0219_)
);
sky130_fd_sc_hd__inv_2 _0617_ (
.a(_0219_),
.y(_0220_)
);
sky130_fd_sc_hd__or2_2 _0618_ (
.a(_0216_),
.b(_0220_),
.x(_0221_)
);
sky130_fd_sc_hd__buf_1 _0619_ (
.a(_0221_),
.x(_0222_)
);
sky130_fd_sc_hd__buf_1 _0620_ (
.a(_0222_),
.x(_0223_)
);
sky130_fd_sc_hd__or2_2 _0621_ (
.a(\timetosendcounter[8] ),
.b(\timetosendcounter[5] ),
.x(_0224_)
);
sky130_fd_sc_hd__or3_2 _0622_ (
.a(\timetosendcounter[4] ),
.b(\timetosendcounter[1] ),
.c(\timetosendcounter[0] ),
.x(_0225_)
);
sky130_fd_sc_hd__or3_2 _0623_ (
.a(\timetosendcounter[3] ),
.b(\timetosendcounter[2] ),
.c(_0225_),
.x(_0226_)
);
sky130_fd_sc_hd__or4_2 _0624_ (
.a(\timetosendcounter[7] ),
.b(\timetosendcounter[6] ),
.c(_0224_),
.d(_0226_),
.x(_0227_)
);
sky130_fd_sc_hd__or3_2 _0625_ (
.a(\timetosendcounter[10] ),
.b(\timetosendcounter[9] ),
.c(_0227_),
.x(_0228_)
);
sky130_fd_sc_hd__or2_2 _0626_ (
.a(\timetosendcounter[11] ),
.b(_0228_),
.x(_0229_)
);
sky130_fd_sc_hd__or2_2 _0627_ (
.a(\timetosendcounter[12] ),
.b(_0229_),
.x(_0230_)
);
sky130_fd_sc_hd__or2_2 _0628_ (
.a(\timetosendcounter[13] ),
.b(_0230_),
.x(_0231_)
);
sky130_fd_sc_hd__inv_2 _0629_ (
.a(_0231_),
.y(_0232_)
);
sky130_fd_sc_hd__a22oi_2 _0630_ (
.a1(\timetosendcounter[14] ),
.a2(_0232_),
.b1(\timetosendcounter[13] ),
.b2(_0230_),
.y(_0233_)
);
sky130_fd_sc_hd__nor2_2 _0631_ (
.a(_0223_),
.b(_0233_),
.y(_0213_)
);
sky130_fd_sc_hd__inv_2 _0632_ (
.a(\timetosendcounter[12] ),
.y(_0234_)
);
sky130_fd_sc_hd__or2_2 _0633_ (
.a(\timetosendcounter[14] ),
.b(_0231_),
.x(_0235_)
);
sky130_fd_sc_hd__inv_2 _0634_ (
.a(_0235_),
.y(_0236_)
);
sky130_fd_sc_hd__nor2_2 _0635_ (
.a(_0229_),
.b(_0236_),
.y(_0237_)
);
sky130_fd_sc_hd__inv_2 _0636_ (
.a(_0221_),
.y(_0238_)
);
sky130_fd_sc_hd__buf_1 _0637_ (
.a(_0238_),
.x(_0239_)
);
sky130_fd_sc_hd__o221a_2 _0638_ (
.a1(_0234_),
.a2(_0229_),
.b1(\timetosendcounter[12] ),
.b2(_0237_),
.c1(_0239_),
.x(_0212_)
);
sky130_fd_sc_hd__a21oi_2 _0639_ (
.a1(\timetosendcounter[11] ),
.a2(_0228_),
.b1(_0237_),
.y(_0240_)
);
sky130_fd_sc_hd__nor2_2 _0640_ (
.a(_0223_),
.b(_0240_),
.y(_0211_)
);
sky130_fd_sc_hd__or2_2 _0641_ (
.a(_0227_),
.b(_0236_),
.x(_0241_)
);
sky130_fd_sc_hd__or2_2 _0642_ (
.a(\timetosendcounter[9] ),
.b(_0241_),
.x(_0242_)
);
sky130_fd_sc_hd__inv_2 _0643_ (
.a(_0242_),
.y(_0243_)
);
sky130_fd_sc_hd__inv_2 _0644_ (
.a(\timetosendcounter[10] ),
.y(_0244_)
);
sky130_fd_sc_hd__o221a_2 _0645_ (
.a1(\timetosendcounter[10] ),
.a2(_0243_),
.b1(_0244_),
.b2(_0242_),
.c1(_0239_),
.x(_0210_)
);
sky130_fd_sc_hd__and2_2 _0646_ (
.a(\timetosendcounter[9] ),
.b(_0241_),
.x(_0245_)
);
sky130_fd_sc_hd__buf_1 _0647_ (
.a(_0239_),
.x(_0246_)
);
sky130_fd_sc_hd__o21a_2 _0648_ (
.a1(_0243_),
.a2(_0245_),
.b1(_0246_),
.x(_0209_)
);
sky130_fd_sc_hd__inv_2 _0649_ (
.a(\timetosendcounter[8] ),
.y(_0247_)
);
sky130_fd_sc_hd__or2_2 _0650_ (
.a(_0226_),
.b(_0236_),
.x(_0248_)
);
sky130_fd_sc_hd__or2_2 _0651_ (
.a(\timetosendcounter[5] ),
.b(_0248_),
.x(_0249_)
);
sky130_fd_sc_hd__nor3_2 _0652_ (
.a(\timetosendcounter[7] ),
.b(\timetosendcounter[6] ),
.c(_0249_),
.y(_0250_)
);
sky130_fd_sc_hd__o211ai_2 _0653_ (
.a1(_0247_),
.a2(_0250_),
.b1(_0239_),
.c1(_0241_),
.y(_0208_)
);
sky130_fd_sc_hd__o21a_2 _0654_ (
.a1(\timetosendcounter[6] ),
.a2(_0249_),
.b1(\timetosendcounter[7] ),
.x(_0251_)
);
sky130_fd_sc_hd__or3_2 _0655_ (
.a(_0221_),
.b(_0250_),
.c(_0251_),
.x(_0252_)
);
sky130_fd_sc_hd__buf_1 _0656_ (
.a(_0252_),
.x(_0207_)
);
sky130_fd_sc_hd__inv_2 _0657_ (
.a(\timetosendcounter[6] ),
.y(_0253_)
);
sky130_fd_sc_hd__inv_2 _0658_ (
.a(_0249_),
.y(_0254_)
);
sky130_fd_sc_hd__o22a_2 _0659_ (
.a1(\timetosendcounter[6] ),
.a2(_0249_),
.b1(_0253_),
.b2(_0254_),
.x(_0255_)
);
sky130_fd_sc_hd__nor2_2 _0660_ (
.a(_0223_),
.b(_0255_),
.y(_0206_)
);
sky130_fd_sc_hd__and2_2 _0661_ (
.a(\timetosendcounter[5] ),
.b(_0248_),
.x(_0256_)
);
sky130_fd_sc_hd__o21a_2 _0662_ (
.a1(_0254_),
.a2(_0256_),
.b1(_0246_),
.x(_0205_)
);
sky130_fd_sc_hd__inv_2 _0663_ (
.a(_0248_),
.y(_0257_)
);
sky130_fd_sc_hd__or2_2 _0664_ (
.a(\timetosendcounter[0] ),
.b(_0236_),
.x(_0258_)
);
sky130_fd_sc_hd__or2_2 _0665_ (
.a(\timetosendcounter[1] ),
.b(_0258_),
.x(_0259_)
);
sky130_fd_sc_hd__buf_1 _0666_ (
.a(_0259_),
.x(_0260_)
);
sky130_fd_sc_hd__o31a_2 _0667_ (
.a1(\timetosendcounter[3] ),
.a2(\timetosendcounter[2] ),
.a3(_0260_),
.b1(\timetosendcounter[4] ),
.x(_0261_)
);
sky130_fd_sc_hd__o21a_2 _0668_ (
.a1(_0257_),
.a2(_0261_),
.b1(_0246_),
.x(_0204_)
);
sky130_fd_sc_hd__o21ai_2 _0669_ (
.a1(\timetosendcounter[2] ),
.a2(_0260_),
.b1(\timetosendcounter[3] ),
.y(_0262_)
);
sky130_fd_sc_hd__o311a_2 _0670_ (
.a1(\timetosendcounter[3] ),
.a2(\timetosendcounter[2] ),
.a3(_0260_),
.b1(_0238_),
.c1(_0262_),
.x(_0263_)
);
sky130_fd_sc_hd__inv_2 _0671_ (
.a(_0263_),
.y(_0203_)
);
sky130_fd_sc_hd__inv_2 _0672_ (
.a(\timetosendcounter[2] ),
.y(_0264_)
);
sky130_fd_sc_hd__inv_2 _0673_ (
.a(_0259_),
.y(_0265_)
);
sky130_fd_sc_hd__o22a_2 _0674_ (
.a1(\timetosendcounter[2] ),
.a2(_0260_),
.b1(_0264_),
.b2(_0265_),
.x(_0266_)
);
sky130_fd_sc_hd__nor2_2 _0675_ (
.a(_0222_),
.b(_0266_),
.y(_0202_)
);
sky130_fd_sc_hd__and2_2 _0676_ (
.a(\timetosendcounter[1] ),
.b(_0258_),
.x(_0267_)
);
sky130_fd_sc_hd__o21a_2 _0677_ (
.a1(_0265_),
.a2(_0267_),
.b1(_0246_),
.x(_0201_)
);
sky130_fd_sc_hd__nor2_2 _0678_ (
.a(_0222_),
.b(_0258_),
.y(_0200_)
);
sky130_fd_sc_hd__inv_2 _0679_ (
.a(\crcreg[18] ),
.y(_0268_)
);
sky130_fd_sc_hd__inv_2 _0680_ (
.a(\crcreg[11] ),
.y(_0269_)
);
sky130_fd_sc_hd__inv_2 _0681_ (
.a(\crcreg[7] ),
.y(_0270_)
);
sky130_fd_sc_hd__inv_2 _0682_ (
.a(_0037_),
.y(_0271_)
);
sky130_fd_sc_hd__o22a_2 _0683_ (
.a1(_0270_),
.a2(_0271_),
.b1(\crcreg[7] ),
.b2(_0037_),
.x(_0272_)
);
sky130_fd_sc_hd__inv_2 _0684_ (
.a(_0272_),
.y(_0273_)
);
sky130_fd_sc_hd__inv_2 _0685_ (
.a(\crcreg[6] ),
.y(_0274_)
);
sky130_fd_sc_hd__inv_2 _0686_ (
.a(_0036_),
.y(_0275_)
);
sky130_fd_sc_hd__a22o_2 _0687_ (
.a1(\crcreg[6] ),
.a2(_0036_),
.b1(_0274_),
.b2(_0275_),
.x(_0276_)
);
sky130_fd_sc_hd__or2_2 _0688_ (
.a(_0273_),
.b(_0276_),
.x(_0277_)
);
sky130_fd_sc_hd__inv_2 _0689_ (
.a(\crcreg[4] ),
.y(_0278_)
);
sky130_fd_sc_hd__inv_2 _0690_ (
.a(_0034_),
.y(_0279_)
);
sky130_fd_sc_hd__o22a_2 _0691_ (
.a1(_0278_),
.a2(_0279_),
.b1(\crcreg[4] ),
.b2(_0034_),
.x(_0280_)
);
sky130_fd_sc_hd__o2bb2a_2 _0692_ (
.a1_n(\crcreg[5] ),
.a2_n(_0035_),
.b1(\crcreg[5] ),
.b2(_0035_),
.x(_0281_)
);
sky130_fd_sc_hd__inv_2 _0693_ (
.a(_0033_),
.y(_0282_)
);
sky130_fd_sc_hd__nor2_2 _0694_ (
.a(\crcreg[3] ),
.b(_0282_),
.y(_0283_)
);
sky130_fd_sc_hd__a21oi_2 _0695_ (
.a1(\crcreg[3] ),
.a2(_0282_),
.b1(_0283_),
.y(_0284_)
);
sky130_fd_sc_hd__inv_2 _0696_ (
.a(\crcreg[2] ),
.y(_0285_)
);
sky130_fd_sc_hd__a2bb2o_2 _0697_ (
.a1_n(_0285_),
.a2_n(_0031_),
.b1(_0285_),
.b2(_0031_),
.x(_0286_)
);
sky130_fd_sc_hd__inv_2 _0698_ (
.a(_0286_),
.y(_0287_)
);
sky130_fd_sc_hd__inv_2 _0699_ (
.a(\crcreg[1] ),
.y(_0288_)
);
sky130_fd_sc_hd__inv_2 _0700_ (
.a(\crcreg[0] ),
.y(_0289_)
);
sky130_fd_sc_hd__a2bb2o_2 _0701_ (
.a1_n(_0288_),
.a2_n(_0029_),
.b1(_0288_),
.b2(_0029_),
.x(_0290_)
);
sky130_fd_sc_hd__or3_2 _0702_ (
.a(_0289_),
.b(_0027_),
.c(_0290_),
.x(_0291_)
);
sky130_fd_sc_hd__o21ai_2 _0703_ (
.a1(_0288_),
.a2(_0029_),
.b1(_0291_),
.y(_0292_)
);
sky130_fd_sc_hd__or3_2 _0704_ (
.a(_0285_),
.b(_0031_),
.c(_0283_),
.x(_0293_)
);
sky130_fd_sc_hd__a21bo_2 _0705_ (
.a1(\crcreg[3] ),
.a2(_0282_),
.b1_n(_0293_),
.x(_0294_)
);
sky130_fd_sc_hd__a31o_2 _0706_ (
.a1(_0284_),
.a2(_0287_),
.a3(_0292_),
.b1(_0294_),
.x(_0295_)
);
sky130_fd_sc_hd__and4b_2 _0707_ (
.a_n(_0277_),
.b(_0280_),
.c(_0281_),
.d(_0295_),
.x(_0296_)
);
sky130_fd_sc_hd__a22o_2 _0708_ (
.a1(\crcreg[5] ),
.a2(_0035_),
.b1(\crcreg[4] ),
.b2(_0034_),
.x(_0297_)
);
sky130_fd_sc_hd__o21ai_2 _0709_ (
.a1(\crcreg[5] ),
.a2(_0035_),
.b1(_0297_),
.y(_0298_)
);
sky130_fd_sc_hd__a211o_2 _0710_ (
.a1(_0270_),
.a2(_0271_),
.b1(_0274_),
.c1(_0275_),
.x(_0299_)
);
sky130_fd_sc_hd__o221ai_2 _0711_ (
.a1(_0270_),
.a2(_0271_),
.b1(_0277_),
.b2(_0298_),
.c1(_0299_),
.y(_0300_)
);
sky130_fd_sc_hd__o21ai_2 _0712_ (
.a1(_0296_),
.a2(_0300_),
.b1(_0003_),
.y(_0301_)
);
sky130_fd_sc_hd__inv_2 _0713_ (
.a(_0301_),
.y(_0302_)
);
sky130_fd_sc_hd__and3_2 _0714_ (
.a(\crcreg[9] ),
.b(\crcreg[8] ),
.c(_0302_),
.x(_0303_)
);
sky130_fd_sc_hd__nand2_2 _0715_ (
.a(\crcreg[10] ),
.b(_0303_),
.y(_0304_)
);
sky130_fd_sc_hd__or2_2 _0716_ (
.a(_0269_),
.b(_0304_),
.x(_0305_)
);
sky130_fd_sc_hd__inv_2 _0717_ (
.a(\crcreg[14] ),
.y(_0306_)
);
sky130_fd_sc_hd__inv_2 _0718_ (
.a(\crcreg[13] ),
.y(_0307_)
);
sky130_fd_sc_hd__inv_2 _0719_ (
.a(\crcreg[15] ),
.y(_0308_)
);
sky130_fd_sc_hd__inv_2 _0720_ (
.a(\crcreg[12] ),
.y(_0309_)
);
sky130_fd_sc_hd__or4_2 _0721_ (
.a(_0306_),
.b(_0307_),
.c(_0308_),
.d(_0309_),
.x(_0310_)
);
sky130_fd_sc_hd__or2_2 _0722_ (
.a(_0305_),
.b(_0310_),
.x(_0311_)
);
sky130_fd_sc_hd__inv_2 _0723_ (
.a(\crcreg[16] ),
.y(_0312_)
);
sky130_fd_sc_hd__or3b_2 _0724_ (
.a(_0311_),
.b(_0312_),
.c_n(\crcreg[17] ),
.x(_0313_)
);
sky130_fd_sc_hd__or2_2 _0725_ (
.a(_0268_),
.b(_0313_),
.x(_0314_)
);
sky130_fd_sc_hd__inv_2 _0726_ (
.a(_0314_),
.y(_0315_)
);
sky130_fd_sc_hd__inv_2 _0727_ (
.a(\crcreg[19] ),
.y(_0316_)
);
sky130_fd_sc_hd__inv_2 _0728_ (
.a(\presentstate[2] ),
.y(_0317_)
);
sky130_fd_sc_hd__buf_1 _0729_ (
.a(_0317_),
.x(_0318_)
);
sky130_fd_sc_hd__or2_2 _0730_ (
.a(_0318_),
.b(_0215_),
.x(_0319_)
);
sky130_fd_sc_hd__buf_1 _0731_ (
.a(_0319_),
.x(_0320_)
);
sky130_fd_sc_hd__buf_1 _0732_ (
.a(_0320_),
.x(_0321_)
);
sky130_fd_sc_hd__o221a_2 _0733_ (
.a1(\crcreg[19] ),
.a2(_0315_),
.b1(_0316_),
.b2(_0314_),
.c1(_0321_),
.x(_0199_)
);
sky130_fd_sc_hd__inv_2 _0734_ (
.a(_0320_),
.y(_0322_)
);
sky130_fd_sc_hd__buf_1 _0735_ (
.a(_0322_),
.x(_0323_)
);
sky130_fd_sc_hd__a211oi_2 _0736_ (
.a1(_0268_),
.a2(_0313_),
.b1(_0323_),
.c1(_0315_),
.y(_0198_)
);
sky130_fd_sc_hd__buf_1 _0737_ (
.a(_0320_),
.x(_0324_)
);
sky130_fd_sc_hd__inv_2 _0738_ (
.a(_0311_),
.y(_0325_)
);
sky130_fd_sc_hd__or3_2 _0739_ (
.a(_0312_),
.b(_0325_),
.c(\crcreg[17] ),
.x(_0326_)
);
sky130_fd_sc_hd__o2111a_2 _0740_ (
.a1(\crcreg[17] ),
.a2(\crcreg[16] ),
.b1(_0324_),
.c1(_0313_),
.d1(_0326_),
.x(_0197_)
);
sky130_fd_sc_hd__buf_1 _0741_ (
.a(_0322_),
.x(_0327_)
);
sky130_fd_sc_hd__o22a_2 _0742_ (
.a1(_0312_),
.a2(_0325_),
.b1(\crcreg[16] ),
.b2(_0311_),
.x(_0328_)
);
sky130_fd_sc_hd__nor2_2 _0743_ (
.a(_0327_),
.b(_0328_),
.y(_0196_)
);
sky130_fd_sc_hd__or2_2 _0744_ (
.a(_0309_),
.b(_0305_),
.x(_0329_)
);
sky130_fd_sc_hd__or2_2 _0745_ (
.a(_0307_),
.b(_0329_),
.x(_0330_)
);
sky130_fd_sc_hd__or2_2 _0746_ (
.a(_0306_),
.b(_0330_),
.x(_0331_)
);
sky130_fd_sc_hd__a211oi_2 _0747_ (
.a1(_0308_),
.a2(_0331_),
.b1(_0323_),
.c1(_0325_),
.y(_0195_)
);
sky130_fd_sc_hd__inv_2 _0748_ (
.a(_0330_),
.y(_0332_)
);
sky130_fd_sc_hd__o211a_2 _0749_ (
.a1(\crcreg[14] ),
.a2(_0332_),
.b1(_0331_),
.c1(_0321_),
.x(_0194_)
);
sky130_fd_sc_hd__a211oi_2 _0750_ (
.a1(_0307_),
.a2(_0329_),
.b1(_0323_),
.c1(_0332_),
.y(_0193_)
);
sky130_fd_sc_hd__inv_2 _0751_ (
.a(_0305_),
.y(_0333_)
);
sky130_fd_sc_hd__o211a_2 _0752_ (
.a1(\crcreg[12] ),
.a2(_0333_),
.b1(_0329_),
.c1(_0321_),
.x(_0192_)
);
sky130_fd_sc_hd__a211oi_2 _0753_ (
.a1(_0269_),
.a2(_0304_),
.b1(_0323_),
.c1(_0333_),
.y(_0191_)
);
sky130_fd_sc_hd__o211a_2 _0754_ (
.a1(\crcreg[10] ),
.a2(_0303_),
.b1(_0304_),
.c1(_0321_),
.x(_0190_)
);
sky130_fd_sc_hd__inv_2 _0755_ (
.a(\crcreg[9] ),
.y(_0334_)
);
sky130_fd_sc_hd__inv_2 _0756_ (
.a(\crcreg[8] ),
.y(_0335_)
);
sky130_fd_sc_hd__or2_2 _0757_ (
.a(_0335_),
.b(_0301_),
.x(_0336_)
);
sky130_fd_sc_hd__a211oi_2 _0758_ (
.a1(_0334_),
.a2(_0336_),
.b1(_0303_),
.c1(_0327_),
.y(_0189_)
);
sky130_fd_sc_hd__buf_1 _0759_ (
.a(_0320_),
.x(_0337_)
);
sky130_fd_sc_hd__o211a_2 _0760_ (
.a1(\crcreg[8] ),
.a2(_0302_),
.b1(_0337_),
.c1(_0336_),
.x(_0188_)
);
sky130_fd_sc_hd__inv_2 _0761_ (
.a(_0281_),
.y(_0338_)
);
sky130_fd_sc_hd__inv_2 _0762_ (
.a(_0280_),
.y(_0339_)
);
sky130_fd_sc_hd__inv_2 _0763_ (
.a(_0295_),
.y(_0340_)
);
sky130_fd_sc_hd__o31a_2 _0764_ (
.a1(_0338_),
.a2(_0339_),
.a3(_0340_),
.b1(_0298_),
.x(_0341_)
);
sky130_fd_sc_hd__or2_2 _0765_ (
.a(_0276_),
.b(_0341_),
.x(_0342_)
);
sky130_fd_sc_hd__o21ai_2 _0766_ (
.a1(_0274_),
.a2(_0275_),
.b1(_0342_),
.y(_0343_)
);
sky130_fd_sc_hd__inv_2 _0767_ (
.a(_0343_),
.y(_0344_)
);
sky130_fd_sc_hd__inv_2 _0768_ (
.a(_0003_),
.y(_0345_)
);
sky130_fd_sc_hd__buf_1 _0769_ (
.a(_0345_),
.x(_0346_)
);
sky130_fd_sc_hd__a221o_2 _0770_ (
.a1(_0272_),
.a2(_0344_),
.b1(_0273_),
.b2(_0343_),
.c1(_0346_),
.x(_0347_)
);
sky130_fd_sc_hd__o211a_2 _0771_ (
.a1(_0003_),
.a2(\crcreg[7] ),
.b1(_0337_),
.c1(_0347_),
.x(_0187_)
);
sky130_fd_sc_hd__inv_2 _0772_ (
.a(_0342_),
.y(_0348_)
);
sky130_fd_sc_hd__and2_2 _0773_ (
.a(_0276_),
.b(_0341_),
.x(_0349_)
);
sky130_fd_sc_hd__o32a_2 _0774_ (
.a1(_0346_),
.a2(_0348_),
.a3(_0349_),
.b1(_0003_),
.b2(_0274_),
.x(_0350_)
);
sky130_fd_sc_hd__nor2_2 _0775_ (
.a(_0327_),
.b(_0350_),
.y(_0186_)
);
sky130_fd_sc_hd__o22a_2 _0776_ (
.a1(_0278_),
.a2(_0279_),
.b1(_0340_),
.b2(_0339_),
.x(_0351_)
);
sky130_fd_sc_hd__inv_2 _0777_ (
.a(_0351_),
.y(_0352_)
);
sky130_fd_sc_hd__a221o_2 _0778_ (
.a1(_0281_),
.a2(_0351_),
.b1(_0338_),
.b2(_0352_),
.c1(_0346_),
.x(_0353_)
);
sky130_fd_sc_hd__o211a_2 _0779_ (
.a1(_0003_),
.a2(\crcreg[5] ),
.b1(_0324_),
.c1(_0353_),
.x(_0185_)
);
sky130_fd_sc_hd__buf_1 _0780_ (
.a(_0345_),
.x(_0354_)
);
sky130_fd_sc_hd__o22a_2 _0781_ (
.a1(_0340_),
.a2(_0339_),
.b1(_0295_),
.b2(_0280_),
.x(_0355_)
);
sky130_fd_sc_hd__o221a_2 _0782_ (
.a1(_0003_),
.a2(\crcreg[4] ),
.b1(_0354_),
.b2(_0355_),
.c1(_0337_),
.x(_0184_)
);
sky130_fd_sc_hd__inv_2 _0783_ (
.a(_0292_),
.y(_0356_)
);
sky130_fd_sc_hd__o22a_2 _0784_ (
.a1(_0285_),
.a2(_0031_),
.b1(_0356_),
.b2(_0286_),
.x(_0357_)
);
sky130_fd_sc_hd__o21ai_2 _0785_ (
.a1(_0284_),
.a2(_0357_),
.b1(_0003_),
.y(_0358_)
);
sky130_fd_sc_hd__a21o_2 _0786_ (
.a1(_0284_),
.a2(_0357_),
.b1(_0358_),
.x(_0359_)
);
sky130_fd_sc_hd__o211a_2 _0787_ (
.a1(_0003_),
.a2(\crcreg[3] ),
.b1(_0324_),
.c1(_0359_),
.x(_0183_)
);
sky130_fd_sc_hd__o22a_2 _0788_ (
.a1(_0356_),
.a2(_0286_),
.b1(_0292_),
.b2(_0287_),
.x(_0360_)
);
sky130_fd_sc_hd__o221a_2 _0789_ (
.a1(_0003_),
.a2(\crcreg[2] ),
.b1(_0354_),
.b2(_0360_),
.c1(_0337_),
.x(_0182_)
);
sky130_fd_sc_hd__inv_2 _0790_ (
.a(_0291_),
.y(_0361_)
);
sky130_fd_sc_hd__o21a_2 _0791_ (
.a1(_0289_),
.a2(_0027_),
.b1(_0290_),
.x(_0362_)
);
sky130_fd_sc_hd__o32a_2 _0792_ (
.a1(_0346_),
.a2(_0361_),
.a3(_0362_),
.b1(_0003_),
.b2(_0288_),
.x(_0363_)
);
sky130_fd_sc_hd__nor2_2 _0793_ (
.a(_0327_),
.b(_0363_),
.y(_0181_)
);
sky130_fd_sc_hd__o21ai_2 _0794_ (
.a1(_0354_),
.a2(_0027_),
.b1(_0289_),
.y(_0364_)
);
sky130_fd_sc_hd__o311a_2 _0795_ (
.a1(_0289_),
.a2(_0027_),
.a3(_0354_),
.b1(_0324_),
.c1(_0364_),
.x(_0180_)
);
sky130_fd_sc_hd__inv_2 _0796_ (
.a(\getwordstate[0] ),
.y(_0365_)
);
sky130_fd_sc_hd__inv_2 _0797_ (
.a(bytewritestrobe),
.y(_0366_)
);
sky130_fd_sc_hd__buf_1 _0798_ (
.a(_0366_),
.x(_0367_)
);
sky130_fd_sc_hd__inv_2 _0799_ (
.a(\getwordstate[1] ),
.y(_0368_)
);
sky130_fd_sc_hd__o21a_2 _0800_ (
.a1(_0365_),
.a2(_0367_),
.b1(_0368_),
.x(_0369_)
);
sky130_fd_sc_hd__inv_2 _0801_ (
.a(\presentstate[0] ),
.y(_0370_)
);
sky130_fd_sc_hd__or3_2 _0802_ (
.a(\presentstate[1] ),
.b(_0370_),
.c(_0317_),
.x(_0371_)
);
sky130_fd_sc_hd__buf_1 _0803_ (
.a(_0371_),
.x(_0372_)
);
sky130_fd_sc_hd__or3_2 _0804_ (
.a(_0368_),
.b(_0365_),
.c(_0366_),
.x(_0373_)
);
sky130_fd_sc_hd__and3b_2 _0805_ (
.a_n(_0369_),
.b(_0372_),
.c(_0373_),
.x(_0374_)
);
sky130_fd_sc_hd__buf_1 _0806_ (
.a(_0374_),
.x(_0179_)
);
sky130_fd_sc_hd__buf_1 _0807_ (
.a(_0372_),
.x(_0375_)
);
sky130_fd_sc_hd__buf_1 _0808_ (
.a(_0375_),
.x(_0376_)
);
sky130_fd_sc_hd__o221a_2 _0809_ (
.a1(_0365_),
.a2(_0367_),
.b1(\getwordstate[0] ),
.b2(bytewritestrobe),
.c1(_0376_),
.x(_0178_)
);
sky130_fd_sc_hd__inv_2 _0810_ (
.a(_0373_),
.y(_0377_)
);
sky130_fd_sc_hd__buf_1 _0811_ (
.a(_0377_),
.x(_0001_)
);
sky130_fd_sc_hd__buf_1 _0812_ (
.a(_0373_),
.x(_0378_)
);
sky130_fd_sc_hd__o221a_2 _0813_ (
.a1(writedata[7]),
.a2(_0001_),
.b1(\receivedbyte[7] ),
.b2(_0378_),
.c1(_0376_),
.x(_0177_)
);
sky130_fd_sc_hd__o221a_2 _0814_ (
.a1(writedata[6]),
.a2(_0001_),
.b1(\receivedbyte[6] ),
.b2(_0378_),
.c1(_0376_),
.x(_0176_)
);
sky130_fd_sc_hd__o221a_2 _0815_ (
.a1(writedata[5]),
.a2(_0001_),
.b1(\receivedbyte[5] ),
.b2(_0378_),
.c1(_0376_),
.x(_0175_)
);
sky130_fd_sc_hd__buf_1 _0816_ (
.a(_0377_),
.x(_0379_)
);
sky130_fd_sc_hd__buf_1 _0817_ (
.a(_0375_),
.x(_0380_)
);
sky130_fd_sc_hd__o221a_2 _0818_ (
.a1(writedata[4]),
.a2(_0379_),
.b1(\receivedbyte[4] ),
.b2(_0378_),
.c1(_0380_),
.x(_0174_)
);
sky130_fd_sc_hd__buf_1 _0819_ (
.a(_0373_),
.x(_0381_)
);
sky130_fd_sc_hd__o221a_2 _0820_ (
.a1(writedata[3]),
.a2(_0379_),
.b1(\receivedbyte[3] ),
.b2(_0381_),
.c1(_0380_),
.x(_0173_)
);
sky130_fd_sc_hd__o221a_2 _0821_ (
.a1(writedata[2]),
.a2(_0379_),
.b1(\receivedbyte[2] ),
.b2(_0381_),
.c1(_0380_),
.x(_0172_)
);
sky130_fd_sc_hd__o221a_2 _0822_ (
.a1(writedata[1]),
.a2(_0379_),
.b1(\receivedbyte[1] ),
.b2(_0381_),
.c1(_0380_),
.x(_0171_)
);
sky130_fd_sc_hd__buf_1 _0823_ (
.a(_0375_),
.x(_0382_)
);
sky130_fd_sc_hd__o221a_2 _0824_ (
.a1(writedata[0]),
.a2(_0377_),
.b1(\receivedbyte[0] ),
.b2(_0381_),
.c1(_0382_),
.x(_0170_)
);
sky130_fd_sc_hd__or3_2 _0825_ (
.a(\getwordstate[1] ),
.b(_0365_),
.c(_0367_),
.x(_0383_)
);
sky130_fd_sc_hd__inv_2 _0826_ (
.a(_0383_),
.y(_0384_)
);
sky130_fd_sc_hd__buf_1 _0827_ (
.a(_0384_),
.x(_0385_)
);
sky130_fd_sc_hd__buf_1 _0828_ (
.a(_0383_),
.x(_0386_)
);
sky130_fd_sc_hd__o221a_2 _0829_ (
.a1(writedata[23]),
.a2(_0385_),
.b1(\receivedbyte[7] ),
.b2(_0386_),
.c1(_0382_),
.x(_0169_)
);
sky130_fd_sc_hd__o221a_2 _0830_ (
.a1(writedata[22]),
.a2(_0385_),
.b1(\receivedbyte[6] ),
.b2(_0386_),
.c1(_0382_),
.x(_0168_)
);
sky130_fd_sc_hd__o221a_2 _0831_ (
.a1(writedata[21]),
.a2(_0385_),
.b1(\receivedbyte[5] ),
.b2(_0386_),
.c1(_0382_),
.x(_0167_)
);
sky130_fd_sc_hd__buf_1 _0832_ (
.a(_0372_),
.x(_0387_)
);
sky130_fd_sc_hd__buf_1 _0833_ (
.a(_0387_),
.x(_0388_)
);
sky130_fd_sc_hd__o221a_2 _0834_ (
.a1(writedata[20]),
.a2(_0385_),
.b1(\receivedbyte[4] ),
.b2(_0386_),
.c1(_0388_),
.x(_0166_)
);
sky130_fd_sc_hd__buf_1 _0835_ (
.a(_0384_),
.x(_0389_)
);
sky130_fd_sc_hd__buf_1 _0836_ (
.a(_0383_),
.x(_0390_)
);
sky130_fd_sc_hd__o221a_2 _0837_ (
.a1(writedata[19]),
.a2(_0389_),
.b1(\receivedbyte[3] ),
.b2(_0390_),
.c1(_0388_),
.x(_0165_)
);
sky130_fd_sc_hd__o221a_2 _0838_ (
.a1(writedata[18]),
.a2(_0389_),
.b1(\receivedbyte[2] ),
.b2(_0390_),
.c1(_0388_),
.x(_0164_)
);
sky130_fd_sc_hd__o221a_2 _0839_ (
.a1(writedata[17]),
.a2(_0389_),
.b1(\receivedbyte[1] ),
.b2(_0390_),
.c1(_0388_),
.x(_0163_)
);
sky130_fd_sc_hd__buf_1 _0840_ (
.a(_0387_),
.x(_0391_)
);
sky130_fd_sc_hd__o221a_2 _0841_ (
.a1(writedata[16]),
.a2(_0389_),
.b1(\receivedbyte[0] ),
.b2(_0390_),
.c1(_0391_),
.x(_0162_)
);
sky130_fd_sc_hd__or3_2 _0842_ (
.a(\getwordstate[1] ),
.b(\getwordstate[0] ),
.c(_0367_),
.x(_0392_)
);
sky130_fd_sc_hd__inv_2 _0843_ (
.a(_0392_),
.y(_0393_)
);
sky130_fd_sc_hd__buf_1 _0844_ (
.a(_0393_),
.x(_0394_)
);
sky130_fd_sc_hd__buf_1 _0845_ (
.a(_0392_),
.x(_0395_)
);
sky130_fd_sc_hd__o221a_2 _0846_ (
.a1(writedata[31]),
.a2(_0394_),
.b1(\receivedbyte[7] ),
.b2(_0395_),
.c1(_0391_),
.x(_0161_)
);
sky130_fd_sc_hd__o221a_2 _0847_ (
.a1(writedata[30]),
.a2(_0394_),
.b1(\receivedbyte[6] ),
.b2(_0395_),
.c1(_0391_),
.x(_0160_)
);
sky130_fd_sc_hd__o221a_2 _0848_ (
.a1(writedata[29]),
.a2(_0394_),
.b1(\receivedbyte[5] ),
.b2(_0395_),
.c1(_0391_),
.x(_0159_)
);
sky130_fd_sc_hd__buf_1 _0849_ (
.a(_0387_),
.x(_0396_)
);
sky130_fd_sc_hd__o221a_2 _0850_ (
.a1(writedata[28]),
.a2(_0394_),
.b1(\receivedbyte[4] ),
.b2(_0395_),
.c1(_0396_),
.x(_0158_)
);
sky130_fd_sc_hd__buf_1 _0851_ (
.a(_0393_),
.x(_0397_)
);
sky130_fd_sc_hd__buf_1 _0852_ (
.a(_0392_),
.x(_0398_)
);
sky130_fd_sc_hd__o221a_2 _0853_ (
.a1(writedata[27]),
.a2(_0397_),
.b1(\receivedbyte[3] ),
.b2(_0398_),
.c1(_0396_),
.x(_0157_)
);
sky130_fd_sc_hd__o221a_2 _0854_ (
.a1(writedata[26]),
.a2(_0397_),
.b1(\receivedbyte[2] ),
.b2(_0398_),
.c1(_0396_),
.x(_0156_)
);
sky130_fd_sc_hd__o221a_2 _0855_ (
.a1(writedata[25]),
.a2(_0397_),
.b1(\receivedbyte[1] ),
.b2(_0398_),
.c1(_0396_),
.x(_0155_)
);
sky130_fd_sc_hd__buf_1 _0856_ (
.a(_0387_),
.x(_0399_)
);
sky130_fd_sc_hd__o221a_2 _0857_ (
.a1(writedata[24]),
.a2(_0397_),
.b1(\receivedbyte[0] ),
.b2(_0398_),
.c1(_0399_),
.x(_0154_)
);
sky130_fd_sc_hd__or3_2 _0858_ (
.a(_0368_),
.b(\getwordstate[0] ),
.c(_0366_),
.x(_0400_)
);
sky130_fd_sc_hd__inv_2 _0859_ (
.a(_0400_),
.y(_0401_)
);
sky130_fd_sc_hd__buf_1 _0860_ (
.a(_0401_),
.x(_0402_)
);
sky130_fd_sc_hd__buf_1 _0861_ (
.a(_0400_),
.x(_0403_)
);
sky130_fd_sc_hd__o221a_2 _0862_ (
.a1(writedata[15]),
.a2(_0402_),
.b1(\receivedbyte[7] ),
.b2(_0403_),
.c1(_0399_),
.x(_0153_)
);
sky130_fd_sc_hd__o221a_2 _0863_ (
.a1(writedata[14]),
.a2(_0402_),
.b1(\receivedbyte[6] ),
.b2(_0403_),
.c1(_0399_),
.x(_0152_)
);
sky130_fd_sc_hd__o221a_2 _0864_ (
.a1(writedata[13]),
.a2(_0402_),
.b1(\receivedbyte[5] ),
.b2(_0403_),
.c1(_0399_),
.x(_0151_)
);
sky130_fd_sc_hd__buf_1 _0865_ (
.a(_0372_),
.x(_0404_)
);
sky130_fd_sc_hd__o221a_2 _0866_ (
.a1(writedata[12]),
.a2(_0402_),
.b1(\receivedbyte[4] ),
.b2(_0403_),
.c1(_0404_),
.x(_0150_)
);
sky130_fd_sc_hd__buf_1 _0867_ (
.a(_0401_),
.x(_0405_)
);
sky130_fd_sc_hd__buf_1 _0868_ (
.a(_0400_),
.x(_0406_)
);
sky130_fd_sc_hd__o221a_2 _0869_ (
.a1(writedata[11]),
.a2(_0405_),
.b1(\receivedbyte[3] ),
.b2(_0406_),
.c1(_0404_),
.x(_0149_)
);
sky130_fd_sc_hd__o221a_2 _0870_ (
.a1(writedata[10]),
.a2(_0405_),
.b1(\receivedbyte[2] ),
.b2(_0406_),
.c1(_0404_),
.x(_0148_)
);
sky130_fd_sc_hd__o221a_2 _0871_ (
.a1(writedata[9]),
.a2(_0405_),
.b1(\receivedbyte[1] ),
.b2(_0406_),
.c1(_0404_),
.x(_0147_)
);
sky130_fd_sc_hd__o221a_2 _0872_ (
.a1(writedata[8]),
.a2(_0405_),
.b1(\receivedbyte[0] ),
.b2(_0406_),
.c1(_0375_),
.x(_0146_)
);
sky130_fd_sc_hd__or4_2 _0873_ (
.a(\comstate[1] ),
.b(\comstate[0] ),
.c(\comstate[3] ),
.d(\comstate[2] ),
.x(_0407_)
);
sky130_fd_sc_hd__inv_2 _0874_ (
.a(_0407_),
.y(_0408_)
);
sky130_fd_sc_hd__buf_1 _0875_ (
.a(_0408_),
.x(_0004_)
);
sky130_fd_sc_hd__or3_2 _0876_ (
.a(\comcount[1] ),
.b(\comcount[0] ),
.c(\comcount[2] ),
.x(_0409_)
);
sky130_fd_sc_hd__or3_2 _0877_ (
.a(\comcount[3] ),
.b(_0409_),
.c(\comcount[4] ),
.x(_0410_)
);
sky130_fd_sc_hd__or3_2 _0878_ (
.a(\comcount[5] ),
.b(_0410_),
.c(\comcount[6] ),
.x(_0411_)
);
sky130_fd_sc_hd__or2_2 _0879_ (
.a(\comcount[7] ),
.b(_0411_),
.x(_0412_)
);
sky130_fd_sc_hd__or2_2 _0880_ (
.a(\comcount[8] ),
.b(_0412_),
.x(_0413_)
);
sky130_fd_sc_hd__or2_2 _0881_ (
.a(\comcount[9] ),
.b(_0413_),
.x(_0414_)
);
sky130_fd_sc_hd__buf_1 _0882_ (
.a(_0414_),
.x(_0415_)
);
sky130_fd_sc_hd__or4_2 _0883_ (
.a(\comcount[10] ),
.b(_0415_),
.c(\comcount[11] ),
.d(_0408_),
.x(_0416_)
);
sky130_fd_sc_hd__inv_2 _0884_ (
.a(_0416_),
.y(_0145_)
);
sky130_fd_sc_hd__inv_2 _0885_ (
.a(_0412_),
.y(_0417_)
);
sky130_fd_sc_hd__and2_2 _0886_ (
.a(\comcount[7] ),
.b(_0411_),
.x(_0418_)
);
sky130_fd_sc_hd__buf_1 _0887_ (
.a(_0407_),
.x(_0419_)
);
sky130_fd_sc_hd__o21a_2 _0888_ (
.a1(_0417_),
.a2(_0418_),
.b1(_0419_),
.x(_0144_)
);
sky130_fd_sc_hd__buf_1 _0889_ (
.a(_0410_),
.x(_0420_)
);
sky130_fd_sc_hd__o21ai_2 _0890_ (
.a1(\comcount[5] ),
.a2(_0420_),
.b1(\comcount[6] ),
.y(_0421_)
);
sky130_fd_sc_hd__a31oi_2 _0891_ (
.a1(_0419_),
.a2(_0411_),
.a3(_0421_),
.b1(_0145_),
.y(_0143_)
);
sky130_fd_sc_hd__a2bb2oi_2 _0892_ (
.a1_n(\comcount[5] ),
.a2_n(_0420_),
.b1(\comcount[5] ),
.b2(_0420_),
.y(_0422_)
);
sky130_fd_sc_hd__nor2_2 _0893_ (
.a(_0004_),
.b(_0422_),
.y(_0142_)
);
sky130_fd_sc_hd__o21ai_2 _0894_ (
.a1(\comcount[3] ),
.a2(_0409_),
.b1(\comcount[4] ),
.y(_0423_)
);
sky130_fd_sc_hd__a31oi_2 _0895_ (
.a1(_0419_),
.a2(_0420_),
.a3(_0423_),
.b1(_0145_),
.y(_0141_)
);
sky130_fd_sc_hd__inv_2 _0896_ (
.a(\comcount[3] ),
.y(_0424_)
);
sky130_fd_sc_hd__inv_2 _0897_ (
.a(_0409_),
.y(_0425_)
);
sky130_fd_sc_hd__o22a_2 _0898_ (
.a1(\comcount[3] ),
.a2(_0409_),
.b1(_0424_),
.b2(_0425_),
.x(_0426_)
);
sky130_fd_sc_hd__nor2_2 _0899_ (
.a(_0004_),
.b(_0426_),
.y(_0140_)
);
sky130_fd_sc_hd__inv_2 _0900_ (
.a(\comcount[0] ),
.y(_0427_)
);
sky130_fd_sc_hd__o21a_2 _0901_ (
.a1(_0427_),
.a2(_0004_),
.b1(_0416_),
.x(_0139_)
);
sky130_fd_sc_hd__inv_2 _0902_ (
.a(\presentstate[1] ),
.y(_0428_)
);
sky130_fd_sc_hd__or3_2 _0903_ (
.a(_0428_),
.b(\presentstate[0] ),
.c(_0317_),
.x(_0429_)
);
sky130_fd_sc_hd__inv_2 _0904_ (
.a(_0429_),
.y(comactive)
);
sky130_fd_sc_hd__buf_1 _0905_ (
.a(_0216_),
.x(_0430_)
);
sky130_fd_sc_hd__or4_2 _0906_ (
.a(\crcreg[3] ),
.b(\crcreg[2] ),
.c(\crcreg[1] ),
.d(\crcreg[0] ),
.x(_0431_)
);
sky130_fd_sc_hd__or4_2 _0907_ (
.a(\crcreg[7] ),
.b(\crcreg[6] ),
.c(\crcreg[5] ),
.d(\crcreg[4] ),
.x(_0432_)
);
sky130_fd_sc_hd__or2_2 _0908_ (
.a(\crcreg[19] ),
.b(_0268_),
.x(_0433_)
);
sky130_fd_sc_hd__or4_2 _0909_ (
.a(_0334_),
.b(_0335_),
.c(_0269_),
.d(\crcreg[10] ),
.x(_0434_)
);
sky130_fd_sc_hd__or4_2 _0910_ (
.a(\crcreg[17] ),
.b(\crcreg[16] ),
.c(_0433_),
.d(_0434_),
.x(_0435_)
);
sky130_fd_sc_hd__or4_2 _0911_ (
.a(_0431_),
.b(_0432_),
.c(_0310_),
.d(_0435_),
.x(_0436_)
);
sky130_fd_sc_hd__a31o_2 _0912_ (
.a1(\blink[22] ),
.a2(_0430_),
.a3(_0436_),
.b1(comactive),
.x(_0138_)
);
sky130_fd_sc_hd__nand2_2 _0913_ (
.a(comtick),
.b(_0220_),
.y(_0437_)
);
sky130_fd_sc_hd__buf_1 _0914_ (
.a(_0437_),
.x(_0438_)
);
sky130_fd_sc_hd__or2_2 _0915_ (
.a(_0429_),
.b(_0438_),
.x(_0439_)
);
sky130_fd_sc_hd__inv_2 _0916_ (
.a(_0439_),
.y(_0440_)
);
sky130_fd_sc_hd__buf_1 _0917_ (
.a(_0440_),
.x(_0137_)
);
sky130_fd_sc_hd__nor2_2 _0918_ (
.a(_0222_),
.b(_0235_),
.y(_0136_)
);
sky130_fd_sc_hd__inv_2 _0919_ (
.a(\receivedword[3] ),
.y(_0441_)
);
sky130_fd_sc_hd__nor2_2 _0920_ (
.a(\receivedword[2] ),
.b(\receivedword[1] ),
.y(_0442_)
);
sky130_fd_sc_hd__inv_2 _0921_ (
.a(\receivedword[5] ),
.y(_0443_)
);
sky130_fd_sc_hd__inv_2 _0922_ (
.a(\receivedword[4] ),
.y(_0444_)
);
sky130_fd_sc_hd__or4_2 _0923_ (
.a(\receivedword[7] ),
.b(\receivedword[6] ),
.c(_0443_),
.d(_0444_),
.x(_0445_)
);
sky130_fd_sc_hd__inv_2 _0924_ (
.a(_0445_),
.y(_0446_)
);
sky130_fd_sc_hd__o21ai_2 _0925_ (
.a1(_0441_),
.a2(_0442_),
.b1(_0446_),
.y(_0447_)
);
sky130_fd_sc_hd__inv_2 _0926_ (
.a(_0447_),
.y(_0448_)
);
sky130_fd_sc_hd__buf_1 _0927_ (
.a(_0441_),
.x(_0032_)
);
sky130_fd_sc_hd__inv_2 _0928_ (
.a(\receivedword[2] ),
.y(_0449_)
);
sky130_fd_sc_hd__buf_1 _0929_ (
.a(_0449_),
.x(_0030_)
);
sky130_fd_sc_hd__or3b_2 _0930_ (
.a(\receivedword[7] ),
.b(\receivedword[4] ),
.c_n(\receivedword[6] ),
.x(_0450_)
);
sky130_fd_sc_hd__inv_2 _0931_ (
.a(_0450_),
.y(_0451_)
);
sky130_fd_sc_hd__inv_4 _0932_ (
.a(\receivedword[1] ),
.y(_0028_)
);
sky130_fd_sc_hd__inv_4 _0933_ (
.a(\receivedword[0] ),
.y(_0026_)
);
sky130_fd_sc_hd__or2_2 _0934_ (
.a(_0028_),
.b(_0026_),
.x(_0452_)
);
sky130_fd_sc_hd__o21ai_2 _0935_ (
.a1(\receivedword[1] ),
.a2(\receivedword[0] ),
.b1(_0452_),
.y(_0453_)
);
sky130_fd_sc_hd__inv_2 _0936_ (
.a(_0453_),
.y(_0454_)
);
sky130_fd_sc_hd__nand2_2 _0937_ (
.a(_0449_),
.b(_0452_),
.y(_0455_)
);
sky130_fd_sc_hd__o2111a_2 _0938_ (
.a1(_0030_),
.a2(_0452_),
.b1(_0455_),
.c1(_0441_),
.d1(_0451_),
.x(_0456_)
);
sky130_fd_sc_hd__a41o_2 _0939_ (
.a1(_0032_),
.a2(_0030_),
.a3(_0451_),
.a4(_0454_),
.b1(_0456_),
.x(_0457_)
);
sky130_fd_sc_hd__inv_2 _0940_ (
.a(_0437_),
.y(_0458_)
);
sky130_fd_sc_hd__o21ai_2 _0941_ (
.a1(_0448_),
.a2(_0457_),
.b1(_0458_),
.y(_0459_)
);
sky130_fd_sc_hd__or2_2 _0942_ (
.a(receivestate),
.b(_0459_),
.x(_0460_)
);
sky130_fd_sc_hd__inv_2 _0943_ (
.a(_0460_),
.y(_0461_)
);
sky130_fd_sc_hd__buf_1 _0944_ (
.a(_0461_),
.x(_0135_)
);
sky130_fd_sc_hd__or2_2 _0945_ (
.a(_0429_),
.b(_0459_),
.x(_0462_)
);
sky130_fd_sc_hd__buf_1 _0946_ (
.a(_0462_),
.x(_0134_)
);
sky130_fd_sc_hd__o31a_2 _0947_ (
.a1(\comcount[10] ),
.a2(_0414_),
.a3(\comcount[11] ),
.b1(_0407_),
.x(_0463_)
);
sky130_fd_sc_hd__o211a_2 _0948_ (
.a1(\comcount[10] ),
.a2(_0415_),
.b1(\comcount[11] ),
.c1(_0463_),
.x(_0133_)
);
sky130_fd_sc_hd__inv_2 _0949_ (
.a(_0463_),
.y(_0464_)
);
sky130_fd_sc_hd__inv_2 _0950_ (
.a(\comcount[10] ),
.y(_0465_)
);
sky130_fd_sc_hd__inv_2 _0951_ (
.a(_0415_),
.y(_0466_)
);
sky130_fd_sc_hd__o22a_2 _0952_ (
.a1(\comcount[10] ),
.a2(_0415_),
.b1(_0465_),
.b2(_0466_),
.x(_0467_)
);
sky130_fd_sc_hd__nor2_2 _0953_ (
.a(_0464_),
.b(_0467_),
.y(_0132_)
);
sky130_fd_sc_hd__and2_2 _0954_ (
.a(\comcount[9] ),
.b(_0413_),
.x(_0468_)
);
sky130_fd_sc_hd__o21a_2 _0955_ (
.a1(_0466_),
.a2(_0468_),
.b1(_0463_),
.x(_0131_)
);
sky130_fd_sc_hd__nand2_2 _0956_ (
.a(\comcount[8] ),
.b(_0412_),
.y(_0469_)
);
sky130_fd_sc_hd__a21oi_2 _0957_ (
.a1(_0413_),
.a2(_0469_),
.b1(_0464_),
.y(_0130_)
);
sky130_fd_sc_hd__o21a_2 _0958_ (
.a1(\comcount[1] ),
.a2(\comcount[0] ),
.b1(\comcount[2] ),
.x(_0470_)
);
sky130_fd_sc_hd__or3_2 _0959_ (
.a(_0425_),
.b(_0470_),
.c(_0464_),
.x(_0471_)
);
sky130_fd_sc_hd__buf_1 _0960_ (
.a(_0471_),
.x(_0129_)
);
sky130_fd_sc_hd__inv_2 _0961_ (
.a(\comcount[1] ),
.y(_0472_)
);
sky130_fd_sc_hd__a221o_2 _0962_ (
.a1(_0472_),
.a2(_0427_),
.b1(\comcount[1] ),
.b2(\comcount[0] ),
.c1(_0464_),
.x(_0128_)
);
sky130_fd_sc_hd__buf_1 _0963_ (
.a(_0440_),
.x(_0473_)
);
sky130_fd_sc_hd__buf_1 _0964_ (
.a(_0473_),
.x(_0002_)
);
sky130_fd_sc_hd__buf_1 _0965_ (
.a(_0439_),
.x(_0474_)
);
sky130_fd_sc_hd__a22o_2 _0966_ (
.a1(\data_reg[7] ),
.a2(_0474_),
.b1(\receivedword[7] ),
.b2(_0137_),
.x(_0127_)
);
sky130_fd_sc_hd__a22o_2 _0967_ (
.a1(\data_reg[6] ),
.a2(_0474_),
.b1(\receivedword[6] ),
.b2(_0137_),
.x(_0126_)
);
sky130_fd_sc_hd__a22o_2 _0968_ (
.a1(\data_reg[5] ),
.a2(_0474_),
.b1(\receivedword[5] ),
.b2(_0137_),
.x(_0125_)
);
sky130_fd_sc_hd__buf_1 _0969_ (
.a(_0440_),
.x(_0475_)
);
sky130_fd_sc_hd__a22o_2 _0970_ (
.a1(\data_reg[4] ),
.a2(_0474_),
.b1(\receivedword[4] ),
.b2(_0475_),
.x(_0124_)
);
sky130_fd_sc_hd__buf_1 _0971_ (
.a(_0439_),
.x(_0476_)
);
sky130_fd_sc_hd__a22o_2 _0972_ (
.a1(\data_reg[3] ),
.a2(_0476_),
.b1(\receivedword[3] ),
.b2(_0475_),
.x(_0123_)
);
sky130_fd_sc_hd__a22o_2 _0973_ (
.a1(\data_reg[2] ),
.a2(_0476_),
.b1(\receivedword[2] ),
.b2(_0475_),
.x(_0122_)
);
sky130_fd_sc_hd__a22o_2 _0974_ (
.a1(\data_reg[1] ),
.a2(_0476_),
.b1(\receivedword[1] ),
.b2(_0475_),
.x(_0121_)
);
sky130_fd_sc_hd__a22o_2 _0975_ (
.a1(\data_reg[0] ),
.a2(_0476_),
.b1(\receivedword[0] ),
.b2(_0440_),
.x(_0120_)
);
sky130_fd_sc_hd__or2_2 _0976_ (
.a(_0319_),
.b(_0438_),
.x(_0477_)
);
sky130_fd_sc_hd__buf_1 _0977_ (
.a(_0477_),
.x(_0478_)
);
sky130_fd_sc_hd__inv_2 _0978_ (
.a(_0477_),
.y(_0479_)
);
sky130_fd_sc_hd__buf_1 _0979_ (
.a(_0479_),
.x(_0480_)
);
sky130_fd_sc_hd__a22o_2 _0980_ (
.a1(command[7]),
.a2(_0478_),
.b1(\receivedword[7] ),
.b2(_0480_),
.x(_0119_)
);
sky130_fd_sc_hd__a22o_2 _0981_ (
.a1(command[6]),
.a2(_0478_),
.b1(\receivedword[6] ),
.b2(_0480_),
.x(_0118_)
);
sky130_fd_sc_hd__a22o_2 _0982_ (
.a1(command[5]),
.a2(_0478_),
.b1(\receivedword[5] ),
.b2(_0480_),
.x(_0117_)
);
sky130_fd_sc_hd__a22o_2 _0983_ (
.a1(command[4]),
.a2(_0478_),
.b1(\receivedword[4] ),
.b2(_0480_),
.x(_0116_)
);
sky130_fd_sc_hd__buf_1 _0984_ (
.a(_0477_),
.x(_0481_)
);
sky130_fd_sc_hd__buf_1 _0985_ (
.a(_0479_),
.x(_0482_)
);
sky130_fd_sc_hd__a22o_2 _0986_ (
.a1(command[3]),
.a2(_0481_),
.b1(\receivedword[3] ),
.b2(_0482_),
.x(_0115_)
);
sky130_fd_sc_hd__a22o_2 _0987_ (
.a1(command[2]),
.a2(_0481_),
.b1(\receivedword[2] ),
.b2(_0482_),
.x(_0114_)
);
sky130_fd_sc_hd__a22o_2 _0988_ (
.a1(command[1]),
.a2(_0481_),
.b1(\receivedword[1] ),
.b2(_0482_),
.x(_0113_)
);
sky130_fd_sc_hd__a22o_2 _0989_ (
.a1(command[0]),
.a2(_0481_),
.b1(\receivedword[0] ),
.b2(_0482_),
.x(_0112_)
);
sky130_fd_sc_hd__buf_1 _0990_ (
.a(_0428_),
.x(_0483_)
);
sky130_fd_sc_hd__buf_1 _0991_ (
.a(_0370_),
.x(_0484_)
);
sky130_fd_sc_hd__or4_2 _0992_ (
.a(_0483_),
.b(_0484_),
.c(\presentstate[2] ),
.d(_0438_),
.x(_0485_)
);
sky130_fd_sc_hd__buf_1 _0993_ (
.a(_0485_),
.x(_0486_)
);
sky130_fd_sc_hd__inv_2 _0994_ (
.a(_0485_),
.y(_0487_)
);
sky130_fd_sc_hd__buf_1 _0995_ (
.a(_0487_),
.x(_0488_)
);
sky130_fd_sc_hd__a22o_2 _0996_ (
.a1(\id_reg[7] ),
.a2(_0486_),
.b1(\receivedword[7] ),
.b2(_0488_),
.x(_0111_)
);
sky130_fd_sc_hd__a22o_2 _0997_ (
.a1(\id_reg[6] ),
.a2(_0486_),
.b1(\receivedword[6] ),
.b2(_0488_),
.x(_0110_)
);
sky130_fd_sc_hd__a22o_2 _0998_ (
.a1(\id_reg[5] ),
.a2(_0486_),
.b1(\receivedword[5] ),
.b2(_0488_),
.x(_0109_)
);
sky130_fd_sc_hd__a22o_2 _0999_ (
.a1(\id_reg[4] ),
.a2(_0486_),
.b1(\receivedword[4] ),
.b2(_0488_),
.x(_0108_)
);
sky130_fd_sc_hd__buf_1 _1000_ (
.a(_0485_),
.x(_0489_)
);
sky130_fd_sc_hd__buf_1 _1001_ (
.a(_0487_),
.x(_0490_)
);
sky130_fd_sc_hd__a22o_2 _1002_ (
.a1(\id_reg[3] ),
.a2(_0489_),
.b1(\receivedword[3] ),
.b2(_0490_),
.x(_0107_)
);
sky130_fd_sc_hd__a22o_2 _1003_ (
.a1(\id_reg[2] ),
.a2(_0489_),
.b1(\receivedword[2] ),
.b2(_0490_),
.x(_0106_)
);
sky130_fd_sc_hd__a22o_2 _1004_ (
.a1(\id_reg[1] ),
.a2(_0489_),
.b1(\receivedword[1] ),
.b2(_0490_),
.x(_0105_)
);
sky130_fd_sc_hd__a22o_2 _1005_ (
.a1(\id_reg[0] ),
.a2(_0489_),
.b1(\receivedword[0] ),
.b2(_0490_),
.x(_0104_)
);
sky130_fd_sc_hd__or4_2 _1006_ (
.a(_0428_),
.b(\presentstate[0] ),
.c(\presentstate[2] ),
.d(_0438_),
.x(_0491_)
);
sky130_fd_sc_hd__buf_1 _1007_ (
.a(_0491_),
.x(_0492_)
);
sky130_fd_sc_hd__inv_2 _1008_ (
.a(_0491_),
.y(_0493_)
);
sky130_fd_sc_hd__buf_1 _1009_ (
.a(_0493_),
.x(_0494_)
);
sky130_fd_sc_hd__a22o_2 _1010_ (
.a1(\id_reg[15] ),
.a2(_0492_),
.b1(\receivedword[7] ),
.b2(_0494_),
.x(_0103_)
);
sky130_fd_sc_hd__a22o_2 _1011_ (
.a1(\id_reg[14] ),
.a2(_0492_),
.b1(\receivedword[6] ),
.b2(_0494_),
.x(_0102_)
);
sky130_fd_sc_hd__a22o_2 _1012_ (
.a1(\id_reg[13] ),
.a2(_0492_),
.b1(\receivedword[5] ),
.b2(_0494_),
.x(_0101_)
);
sky130_fd_sc_hd__a22o_2 _1013_ (
.a1(\id_reg[12] ),
.a2(_0492_),
.b1(\receivedword[4] ),
.b2(_0494_),
.x(_0100_)
);
sky130_fd_sc_hd__buf_1 _1014_ (
.a(_0491_),
.x(_0495_)
);
sky130_fd_sc_hd__buf_1 _1015_ (
.a(_0493_),
.x(_0496_)
);
sky130_fd_sc_hd__a22o_2 _1016_ (
.a1(\id_reg[11] ),
.a2(_0495_),
.b1(\receivedword[3] ),
.b2(_0496_),
.x(_0099_)
);
sky130_fd_sc_hd__a22o_2 _1017_ (
.a1(\id_reg[10] ),
.a2(_0495_),
.b1(\receivedword[2] ),
.b2(_0496_),
.x(_0098_)
);
sky130_fd_sc_hd__a22o_2 _1018_ (
.a1(\id_reg[9] ),
.a2(_0495_),
.b1(\receivedword[1] ),
.b2(_0496_),
.x(_0097_)
);
sky130_fd_sc_hd__a22o_2 _1019_ (
.a1(\id_reg[8] ),
.a2(_0495_),
.b1(\receivedword[0] ),
.b2(_0496_),
.x(_0096_)
);
sky130_fd_sc_hd__or4_2 _1020_ (
.a(\presentstate[1] ),
.b(_0370_),
.c(\presentstate[2] ),
.d(_0437_),
.x(_0497_)
);
sky130_fd_sc_hd__buf_1 _1021_ (
.a(_0497_),
.x(_0498_)
);
sky130_fd_sc_hd__inv_2 _1022_ (
.a(_0497_),
.y(_0499_)
);
sky130_fd_sc_hd__buf_1 _1023_ (
.a(_0499_),
.x(_0500_)
);
sky130_fd_sc_hd__a22o_2 _1024_ (
.a1(\id_reg[23] ),
.a2(_0498_),
.b1(\receivedword[7] ),
.b2(_0500_),
.x(_0095_)
);
sky130_fd_sc_hd__a22o_2 _1025_ (
.a1(\id_reg[22] ),
.a2(_0498_),
.b1(\receivedword[6] ),
.b2(_0500_),
.x(_0094_)
);
sky130_fd_sc_hd__a22o_2 _1026_ (
.a1(\id_reg[21] ),
.a2(_0498_),
.b1(\receivedword[5] ),
.b2(_0500_),
.x(_0093_)
);
sky130_fd_sc_hd__a22o_2 _1027_ (
.a1(\id_reg[20] ),
.a2(_0498_),
.b1(\receivedword[4] ),
.b2(_0500_),
.x(_0092_)
);
sky130_fd_sc_hd__buf_1 _1028_ (
.a(_0497_),
.x(_0501_)
);
sky130_fd_sc_hd__buf_1 _1029_ (
.a(_0499_),
.x(_0502_)
);
sky130_fd_sc_hd__a22o_2 _1030_ (
.a1(\id_reg[19] ),
.a2(_0501_),
.b1(\receivedword[3] ),
.b2(_0502_),
.x(_0091_)
);
sky130_fd_sc_hd__a22o_2 _1031_ (
.a1(\id_reg[18] ),
.a2(_0501_),
.b1(\receivedword[2] ),
.b2(_0502_),
.x(_0090_)
);
sky130_fd_sc_hd__a22o_2 _1032_ (
.a1(\id_reg[17] ),
.a2(_0501_),
.b1(\receivedword[1] ),
.b2(_0502_),
.x(_0089_)
);
sky130_fd_sc_hd__a22o_2 _1033_ (
.a1(\id_reg[16] ),
.a2(_0501_),
.b1(\receivedword[0] ),
.b2(_0502_),
.x(_0088_)
);
sky130_fd_sc_hd__buf_1 _1034_ (
.a(_0218_),
.x(_0503_)
);
sky130_fd_sc_hd__or4_2 _1035_ (
.a(_0503_),
.b(\comstate[2] ),
.c(\comstate[1] ),
.d(\comstate[0] ),
.x(_0504_)
);
sky130_fd_sc_hd__buf_1 _1036_ (
.a(_0504_),
.x(_0005_)
);
sky130_fd_sc_hd__inv_2 _1037_ (
.a(_0005_),
.y(_0505_)
);
sky130_fd_sc_hd__o21ba_2 _1038_ (
.a1(comtick),
.a2(_0005_),
.b1_n(_0021_),
.x(_0506_)
);
sky130_fd_sc_hd__inv_2 _1039_ (
.a(_0506_),
.y(_0507_)
);
sky130_fd_sc_hd__a32o_2 _1040_ (
.a1(rxlocal),
.a2(_0505_),
.a3(_0506_),
.b1(\receivedword[6] ),
.b2(_0507_),
.x(_0087_)
);
sky130_fd_sc_hd__nand2_2 _1041_ (
.a(rxlocal),
.b(_0408_),
.y(_0508_)
);
sky130_fd_sc_hd__buf_1 _1042_ (
.a(_0508_),
.x(_0509_)
);
sky130_fd_sc_hd__buf_1 _1043_ (
.a(_0509_),
.x(_0510_)
);
sky130_fd_sc_hd__buf_1 _1044_ (
.a(_0503_),
.x(_0511_)
);
sky130_fd_sc_hd__and4_2 _1045_ (
.a(\comstate[1] ),
.b(\comstate[0] ),
.c(_0511_),
.d(\comstate[2] ),
.x(_0512_)
);
sky130_fd_sc_hd__buf_1 _1046_ (
.a(_0512_),
.x(_0006_)
);
sky130_fd_sc_hd__buf_1 _1047_ (
.a(_0509_),
.x(_0513_)
);
sky130_fd_sc_hd__a21oi_2 _1048_ (
.a1(_0020_),
.a2(_0513_),
.b1(_0443_),
.y(_0514_)
);
sky130_fd_sc_hd__a41o_2 _1049_ (
.a1(_0020_),
.a2(_0510_),
.a3(rxlocal),
.a4(_0006_),
.b1(_0514_),
.x(_0086_)
);
sky130_fd_sc_hd__inv_2 _1050_ (
.a(\comstate[0] ),
.y(_0515_)
);
sky130_fd_sc_hd__buf_1 _1051_ (
.a(_0515_),
.x(_0516_)
);
sky130_fd_sc_hd__and4_2 _1052_ (
.a(\comstate[1] ),
.b(_0516_),
.c(_0503_),
.d(\comstate[2] ),
.x(_0517_)
);
sky130_fd_sc_hd__buf_1 _1053_ (
.a(_0517_),
.x(_0007_)
);
sky130_fd_sc_hd__a21oi_2 _1054_ (
.a1(_0019_),
.a2(_0513_),
.b1(_0444_),
.y(_0518_)
);
sky130_fd_sc_hd__a41o_2 _1055_ (
.a1(_0019_),
.a2(_0510_),
.a3(rxlocal),
.a4(_0007_),
.b1(_0518_),
.x(_0085_)
);
sky130_fd_sc_hd__buf_1 _1056_ (
.a(_0217_),
.x(_0519_)
);
sky130_fd_sc_hd__and4_2 _1057_ (
.a(_0503_),
.b(\comstate[2] ),
.c(_0519_),
.d(\comstate[0] ),
.x(_0520_)
);
sky130_fd_sc_hd__buf_1 _1058_ (
.a(_0520_),
.x(_0008_)
);
sky130_fd_sc_hd__buf_1 _1059_ (
.a(_0509_),
.x(_0521_)
);
sky130_fd_sc_hd__a21oi_2 _1060_ (
.a1(_0018_),
.a2(_0521_),
.b1(_0032_),
.y(_0522_)
);
sky130_fd_sc_hd__a41o_2 _1061_ (
.a1(_0018_),
.a2(_0510_),
.a3(rxlocal),
.a4(_0008_),
.b1(_0522_),
.x(_0084_)
);
sky130_fd_sc_hd__buf_1 _1062_ (
.a(_0519_),
.x(_0523_)
);
sky130_fd_sc_hd__and4_2 _1063_ (
.a(_0523_),
.b(_0516_),
.c(_0511_),
.d(\comstate[2] ),
.x(_0524_)
);
sky130_fd_sc_hd__buf_1 _1064_ (
.a(_0524_),
.x(_0009_)
);
sky130_fd_sc_hd__a21oi_2 _1065_ (
.a1(_0017_),
.a2(_0521_),
.b1(_0030_),
.y(_0525_)
);
sky130_fd_sc_hd__a41o_2 _1066_ (
.a1(_0017_),
.a2(_0510_),
.a3(rxlocal),
.a4(_0009_),
.b1(_0525_),
.x(_0083_)
);
sky130_fd_sc_hd__or4_2 _1067_ (
.a(\comstate[3] ),
.b(\comstate[2] ),
.c(_0217_),
.d(_0515_),
.x(_0526_)
);
sky130_fd_sc_hd__inv_2 _1068_ (
.a(_0526_),
.y(_0010_)
);
sky130_fd_sc_hd__a21oi_2 _1069_ (
.a1(_0016_),
.a2(_0521_),
.b1(_0028_),
.y(_0527_)
);
sky130_fd_sc_hd__a41o_2 _1070_ (
.a1(_0016_),
.a2(_0513_),
.a3(rxlocal),
.a4(_0010_),
.b1(_0527_),
.x(_0082_)
);
sky130_fd_sc_hd__inv_2 _1071_ (
.a(\comstate[2] ),
.y(_0528_)
);
sky130_fd_sc_hd__and4_2 _1072_ (
.a(\comstate[1] ),
.b(_0515_),
.c(_0218_),
.d(_0528_),
.x(_0529_)
);
sky130_fd_sc_hd__buf_1 _1073_ (
.a(_0529_),
.x(_0011_)
);
sky130_fd_sc_hd__a21oi_2 _1074_ (
.a1(_0015_),
.a2(_0521_),
.b1(_0026_),
.y(_0530_)
);
sky130_fd_sc_hd__a41o_2 _1075_ (
.a1(_0015_),
.a2(_0513_),
.a3(rxlocal),
.a4(_0011_),
.b1(_0530_),
.x(_0081_)
);
sky130_fd_sc_hd__buf_1 _1076_ (
.a(_0528_),
.x(_0531_)
);
sky130_fd_sc_hd__and4_2 _1077_ (
.a(\comstate[3] ),
.b(_0531_),
.c(_0519_),
.d(\comstate[0] ),
.x(_0532_)
);
sky130_fd_sc_hd__buf_1 _1078_ (
.a(_0532_),
.x(_0012_)
);
sky130_fd_sc_hd__nand2_2 _1079_ (
.a(_0014_),
.b(_0509_),
.y(_0533_)
);
sky130_fd_sc_hd__inv_2 _1080_ (
.a(_0533_),
.y(_0534_)
);
sky130_fd_sc_hd__a32o_2 _1081_ (
.a1(rxlocal),
.a2(_0012_),
.a3(_0534_),
.b1(\receivedword[7] ),
.b2(_0533_),
.x(_0080_)
);
sky130_fd_sc_hd__a21o_2 _1082_ (
.a1(\presentstate[2] ),
.a2(_0215_),
.b1(timetosend),
.x(_0535_)
);
sky130_fd_sc_hd__o21ai_2 _1083_ (
.a1(rxlocal),
.a2(_0419_),
.b1(_0430_),
.y(_0536_)
);
sky130_fd_sc_hd__a211o_2 _1084_ (
.a1(_0484_),
.a2(timetosend),
.b1(_0318_),
.c1(_0483_),
.x(_0537_)
);
sky130_fd_sc_hd__o311a_2 _1085_ (
.a1(_0430_),
.a2(_0458_),
.a3(_0535_),
.b1(_0536_),
.c1(_0537_),
.x(_0538_)
);
sky130_fd_sc_hd__inv_2 _1086_ (
.a(_0538_),
.y(_0539_)
);
sky130_fd_sc_hd__o21a_2 _1087_ (
.a1(_0483_),
.a2(_0484_),
.b1(_0318_),
.x(_0540_)
);
sky130_fd_sc_hd__inv_2 _1088_ (
.a(command[0]),
.y(_0541_)
);
sky130_fd_sc_hd__o2bb2a_2 _1089_ (
.a1_n(command[1]),
.a2_n(_0541_),
.b1(command[1]),
.b2(_0541_),
.x(_0542_)
);
sky130_fd_sc_hd__or4_2 _1090_ (
.a(\id_reg[22] ),
.b(\id_reg[21] ),
.c(\id_reg[20] ),
.d(\id_reg[19] ),
.x(_0543_)
);
sky130_fd_sc_hd__or4_2 _1091_ (
.a(\id_reg[18] ),
.b(\id_reg[17] ),
.c(\id_reg[16] ),
.d(_0543_),
.x(_0544_)
);
sky130_fd_sc_hd__or4b_2 _1092_ (
.a(command[4]),
.b(command[3]),
.c(command[2]),
.d_n(\id_reg[7] ),
.x(_0545_)
);
sky130_fd_sc_hd__nand4_2 _1093_ (
.a(\id_reg[2] ),
.b(\id_reg[1] ),
.c(\id_reg[0] ),
.d(\id_reg[15] ),
.y(_0546_)
);
sky130_fd_sc_hd__nand4_2 _1094_ (
.a(\id_reg[6] ),
.b(\id_reg[5] ),
.c(\id_reg[4] ),
.d(\id_reg[3] ),
.y(_0547_)
);
sky130_fd_sc_hd__or4b_2 _1095_ (
.a(\id_reg[10] ),
.b(\id_reg[23] ),
.c(\id_reg[8] ),
.d_n(\id_reg[9] ),
.x(_0548_)
);
sky130_fd_sc_hd__or4bb_2 _1096_ (
.a(\id_reg[14] ),
.b(\id_reg[12] ),
.c_n(\id_reg[13] ),
.d_n(\id_reg[11] ),
.x(_0549_)
);
sky130_fd_sc_hd__or4_2 _1097_ (
.a(_0546_),
.b(_0547_),
.c(_0548_),
.d(_0549_),
.x(_0550_)
);
sky130_fd_sc_hd__or4_2 _1098_ (
.a(command[6]),
.b(command[5]),
.c(_0545_),
.d(_0550_),
.x(_0551_)
);
sky130_fd_sc_hd__or4_2 _1099_ (
.a(_0371_),
.b(_0542_),
.c(_0544_),
.d(_0551_),
.x(_0552_)
);
sky130_fd_sc_hd__o21a_2 _1100_ (
.a1(_0535_),
.a2(_0540_),
.b1(_0552_),
.x(_0553_)
);
sky130_fd_sc_hd__o22ai_2 _1101_ (
.a1(_0318_),
.a2(_0538_),
.b1(_0539_),
.b2(_0553_),
.y(_0079_)
);
sky130_fd_sc_hd__inv_2 _1102_ (
.a(timetosend),
.y(_0554_)
);
sky130_fd_sc_hd__inv_2 _1103_ (
.a(_0552_),
.y(_0555_)
);
sky130_fd_sc_hd__a31oi_2 _1104_ (
.a1(_0554_),
.a2(_0215_),
.a3(_0540_),
.b1(_0555_),
.y(_0556_)
);
sky130_fd_sc_hd__o22ai_2 _1105_ (
.a1(_0483_),
.a2(_0538_),
.b1(_0539_),
.b2(_0556_),
.y(_0078_)
);
sky130_fd_sc_hd__o21a_2 _1106_ (
.a1(_0554_),
.a2(_0430_),
.b1(_0484_),
.x(_0557_)
);
sky130_fd_sc_hd__o22a_2 _1107_ (
.a1(_0539_),
.a2(_0557_),
.b1(\presentstate[0] ),
.b2(_0538_),
.x(_0077_)
);
sky130_fd_sc_hd__buf_1 _1108_ (
.a(_0460_),
.x(_0558_)
);
sky130_fd_sc_hd__a22o_2 _1109_ (
.a1(\hexdata[7] ),
.a2(_0558_),
.b1(\highreg[3] ),
.b2(_0135_),
.x(_0076_)
);
sky130_fd_sc_hd__a22o_2 _1110_ (
.a1(\hexdata[6] ),
.a2(_0558_),
.b1(\highreg[2] ),
.b2(_0135_),
.x(_0075_)
);
sky130_fd_sc_hd__buf_1 _1111_ (
.a(_0460_),
.x(_0559_)
);
sky130_fd_sc_hd__a22o_2 _1112_ (
.a1(\hexdata[5] ),
.a2(_0559_),
.b1(\highreg[1] ),
.b2(_0461_),
.x(_0074_)
);
sky130_fd_sc_hd__a22o_2 _1113_ (
.a1(\hexdata[4] ),
.a2(_0559_),
.b1(\highreg[0] ),
.b2(_0461_),
.x(_0073_)
);
sky130_fd_sc_hd__buf_1 _1114_ (
.a(_0559_),
.x(_0560_)
);
sky130_fd_sc_hd__a31oi_2 _1115_ (
.a1(\receivedword[3] ),
.a2(_0442_),
.a3(_0446_),
.b1(_0457_),
.y(_0025_)
);
sky130_fd_sc_hd__a2bb2o_2 _1116_ (
.a1_n(_0560_),
.a2_n(_0025_),
.b1(\hexdata[3] ),
.b2(_0560_),
.x(_0072_)
);
sky130_fd_sc_hd__a31oi_2 _1117_ (
.a1(_0032_),
.a2(\receivedword[2] ),
.a3(_0446_),
.b1(_0456_),
.y(_0024_)
);
sky130_fd_sc_hd__a2bb2o_2 _1118_ (
.a1_n(_0560_),
.a2_n(_0024_),
.b1(\hexdata[2] ),
.b2(_0560_),
.x(_0071_)
);
sky130_fd_sc_hd__o22a_2 _1119_ (
.a1(_0453_),
.a2(_0450_),
.b1(_0028_),
.b2(_0445_),
.x(_0561_)
);
sky130_fd_sc_hd__or2_2 _1120_ (
.a(\receivedword[3] ),
.b(_0561_),
.x(_0562_)
);
sky130_fd_sc_hd__buf_1 _1121_ (
.a(_0562_),
.x(_0023_)
);
sky130_fd_sc_hd__a2bb2o_2 _1122_ (
.a1_n(_0558_),
.a2_n(_0023_),
.b1(\hexdata[1] ),
.b2(_0558_),
.x(_0070_)
);
sky130_fd_sc_hd__or2_2 _1123_ (
.a(\receivedword[0] ),
.b(_0442_),
.x(_0563_)
);
sky130_fd_sc_hd__o32a_2 _1124_ (
.a1(\receivedword[3] ),
.a2(_0450_),
.a3(_0563_),
.b1(_0026_),
.b2(_0447_),
.x(_0564_)
);
sky130_fd_sc_hd__buf_1 _1125_ (
.a(_0564_),
.x(_0022_)
);
sky130_fd_sc_hd__inv_2 _1126_ (
.a(_0022_),
.y(_0565_)
);
sky130_fd_sc_hd__a22o_2 _1127_ (
.a1(\hexdata[0] ),
.a2(_0559_),
.b1(_0135_),
.b2(_0565_),
.x(_0069_)
);
sky130_fd_sc_hd__or2b_2 _1128_ (
.a(_0459_),
.b_n(receivestate),
.x(_0566_)
);
sky130_fd_sc_hd__buf_1 _1129_ (
.a(_0566_),
.x(_0567_)
);
sky130_fd_sc_hd__a2bb2o_2 _1130_ (
.a1_n(_0025_),
.a2_n(_0567_),
.b1(\highreg[3] ),
.b2(_0567_),
.x(_0068_)
);
sky130_fd_sc_hd__a2bb2o_2 _1131_ (
.a1_n(_0024_),
.a2_n(_0567_),
.b1(\highreg[2] ),
.b2(_0567_),
.x(_0067_)
);
sky130_fd_sc_hd__buf_1 _1132_ (
.a(_0566_),
.x(_0568_)
);
sky130_fd_sc_hd__a2bb2o_2 _1133_ (
.a1_n(_0023_),
.a2_n(_0568_),
.b1(\highreg[1] ),
.b2(_0568_),
.x(_0066_)
);
sky130_fd_sc_hd__a2bb2o_2 _1134_ (
.a1_n(_0022_),
.a2_n(_0568_),
.b1(\highreg[0] ),
.b2(_0568_),
.x(_0065_)
);
sky130_fd_sc_hd__o21a_2 _1135_ (
.a1(_0519_),
.a2(_0516_),
.b1(_0531_),
.x(_0569_)
);
sky130_fd_sc_hd__o21a_2 _1136_ (
.a1(\comstate[3] ),
.a2(_0528_),
.b1(_0219_),
.x(_0570_)
);
sky130_fd_sc_hd__a31o_2 _1137_ (
.a1(_0217_),
.a2(\comstate[0] ),
.a3(_0528_),
.b1(_0011_),
.x(_0571_)
);
sky130_fd_sc_hd__inv_2 _1138_ (
.a(_0571_),
.y(_0572_)
);
sky130_fd_sc_hd__a41o_2 _1139_ (
.a1(_0005_),
.a2(_0526_),
.a3(_0570_),
.a4(_0572_),
.b1(comtick),
.x(_0573_)
);
sky130_fd_sc_hd__nand2_2 _1140_ (
.a(_0508_),
.b(_0573_),
.y(_0574_)
);
sky130_fd_sc_hd__o21bai_2 _1141_ (
.a1(_0511_),
.a2(_0569_),
.b1_n(_0574_),
.y(_0575_)
);
sky130_fd_sc_hd__buf_1 _1142_ (
.a(_0575_),
.x(_0576_)
);
sky130_fd_sc_hd__a31oi_2 _1143_ (
.a1(_0523_),
.a2(\comstate[0] ),
.a3(_0531_),
.b1(_0576_),
.y(_0577_)
);
sky130_fd_sc_hd__nor2_2 _1144_ (
.a(_0505_),
.b(_0006_),
.y(_0578_)
);
sky130_fd_sc_hd__o22ai_2 _1145_ (
.a1(_0511_),
.a2(_0577_),
.b1(_0576_),
.b2(_0578_),
.y(_0064_)
);
sky130_fd_sc_hd__nor3_2 _1146_ (
.a(_0523_),
.b(_0516_),
.c(_0576_),
.y(_0579_)
);
sky130_fd_sc_hd__o22ai_2 _1147_ (
.a1(_0531_),
.a2(_0579_),
.b1(_0526_),
.b2(_0574_),
.y(_0063_)
);
sky130_fd_sc_hd__nor3_2 _1148_ (
.a(_0007_),
.b(_0008_),
.c(_0571_),
.y(_0580_)
);
sky130_fd_sc_hd__mux2_2 _1149_ (
.a0(_0580_),
.a1(_0523_),
.s(_0575_),
.x(_0581_)
);
sky130_fd_sc_hd__inv_2 _1150_ (
.a(_0581_),
.y(_0062_)
);
sky130_fd_sc_hd__nor2_2 _1151_ (
.a(\comstate[0] ),
.b(_0220_),
.y(_0582_)
);
sky130_fd_sc_hd__mux2_2 _1152_ (
.a0(_0582_),
.a1(\comstate[0] ),
.s(_0576_),
.x(_0583_)
);
sky130_fd_sc_hd__buf_1 _1153_ (
.a(_0583_),
.x(_0061_)
);
sky130_fd_sc_hd__inv_2 _1154_ (
.a(\blink[0] ),
.y(_0038_)
);
sky130_fd_sc_hd__nor2_2 _1155_ (
.a(receivestate),
.b(_0134_),
.y(_0013_)
);
sky130_fd_sc_hd__or2_2 _1156_ (
.a(\blink[0] ),
.b(\blink[1] ),
.x(_0584_)
);
sky130_fd_sc_hd__a21bo_2 _1157_ (
.a1(\blink[0] ),
.a2(\blink[1] ),
.b1_n(_0584_),
.x(_0049_)
);
sky130_fd_sc_hd__or2_2 _1158_ (
.a(\blink[2] ),
.b(_0584_),
.x(_0585_)
);
sky130_fd_sc_hd__a21bo_2 _1159_ (
.a1(\blink[2] ),
.a2(_0584_),
.b1_n(_0585_),
.x(_0053_)
);
sky130_fd_sc_hd__or2_2 _1160_ (
.a(\blink[3] ),
.b(_0585_),
.x(_0586_)
);
sky130_fd_sc_hd__a21bo_2 _1161_ (
.a1(\blink[3] ),
.a2(_0585_),
.b1_n(_0586_),
.x(_0054_)
);
sky130_fd_sc_hd__or2_2 _1162_ (
.a(\blink[4] ),
.b(_0586_),
.x(_0587_)
);
sky130_fd_sc_hd__a21bo_2 _1163_ (
.a1(\blink[4] ),
.a2(_0586_),
.b1_n(_0587_),
.x(_0055_)
);
sky130_fd_sc_hd__or3_2 _1164_ (
.a(\blink[4] ),
.b(\blink[5] ),
.c(_0586_),
.x(_0588_)
);
sky130_fd_sc_hd__a21bo_2 _1165_ (
.a1(\blink[5] ),
.a2(_0587_),
.b1_n(_0588_),
.x(_0056_)
);
sky130_fd_sc_hd__or2_2 _1166_ (
.a(\blink[6] ),
.b(_0588_),
.x(_0589_)
);
sky130_fd_sc_hd__a21bo_2 _1167_ (
.a1(\blink[6] ),
.a2(_0588_),
.b1_n(_0589_),
.x(_0057_)
);
sky130_fd_sc_hd__or2_2 _1168_ (
.a(\blink[7] ),
.b(_0589_),
.x(_0590_)
);
sky130_fd_sc_hd__buf_1 _1169_ (
.a(_0590_),
.x(_0591_)
);
sky130_fd_sc_hd__a21bo_2 _1170_ (
.a1(\blink[7] ),
.a2(_0589_),
.b1_n(_0591_),
.x(_0058_)
);
sky130_fd_sc_hd__nor2_2 _1171_ (
.a(\blink[8] ),
.b(_0591_),
.y(_0592_)
);
sky130_fd_sc_hd__a21o_2 _1172_ (
.a1(\blink[8] ),
.a2(_0591_),
.b1(_0592_),
.x(_0059_)
);
sky130_fd_sc_hd__inv_2 _1173_ (
.a(\blink[9] ),
.y(_0593_)
);
sky130_fd_sc_hd__or3_2 _1174_ (
.a(\blink[8] ),
.b(\blink[9] ),
.c(_0591_),
.x(_0594_)
);
sky130_fd_sc_hd__o21ai_2 _1175_ (
.a1(_0593_),
.a2(_0592_),
.b1(_0594_),
.y(_0060_)
);
sky130_fd_sc_hd__or2_2 _1176_ (
.a(\blink[10] ),
.b(_0594_),
.x(_0595_)
);
sky130_fd_sc_hd__a21bo_2 _1177_ (
.a1(\blink[10] ),
.a2(_0594_),
.b1_n(_0595_),
.x(_0039_)
);
sky130_fd_sc_hd__or2_2 _1178_ (
.a(\blink[10] ),
.b(\blink[11] ),
.x(_0596_)
);
sky130_fd_sc_hd__or4_2 _1179_ (
.a(\blink[8] ),
.b(\blink[9] ),
.c(_0596_),
.d(_0590_),
.x(_0597_)
);
sky130_fd_sc_hd__a21bo_2 _1180_ (
.a1(\blink[11] ),
.a2(_0595_),
.b1_n(_0597_),
.x(_0040_)
);
sky130_fd_sc_hd__or2_2 _1181_ (
.a(\blink[12] ),
.b(_0597_),
.x(_0598_)
);
sky130_fd_sc_hd__a21bo_2 _1182_ (
.a1(\blink[12] ),
.a2(_0597_),
.b1_n(_0598_),
.x(_0041_)
);
sky130_fd_sc_hd__or3_2 _1183_ (
.a(\blink[12] ),
.b(\blink[13] ),
.c(_0597_),
.x(_0599_)
);
sky130_fd_sc_hd__a21bo_2 _1184_ (
.a1(\blink[13] ),
.a2(_0598_),
.b1_n(_0599_),
.x(_0042_)
);
sky130_fd_sc_hd__or2_2 _1185_ (
.a(\blink[14] ),
.b(_0599_),
.x(_0600_)
);
sky130_fd_sc_hd__a21bo_2 _1186_ (
.a1(\blink[14] ),
.a2(_0599_),
.b1_n(_0600_),
.x(_0043_)
);
sky130_fd_sc_hd__or3_2 _1187_ (
.a(\blink[14] ),
.b(\blink[15] ),
.c(_0599_),
.x(_0601_)
);
sky130_fd_sc_hd__a21bo_2 _1188_ (
.a1(\blink[15] ),
.a2(_0600_),
.b1_n(_0601_),
.x(_0044_)
);
sky130_fd_sc_hd__or2_2 _1189_ (
.a(\blink[16] ),
.b(_0601_),
.x(_0602_)
);
sky130_fd_sc_hd__a21bo_2 _1190_ (
.a1(\blink[16] ),
.a2(_0601_),
.b1_n(_0602_),
.x(_0045_)
);
sky130_fd_sc_hd__or3_2 _1191_ (
.a(\blink[16] ),
.b(\blink[17] ),
.c(_0601_),
.x(_0603_)
);
sky130_fd_sc_hd__a21bo_2 _1192_ (
.a1(\blink[17] ),
.a2(_0602_),
.b1_n(_0603_),
.x(_0046_)
);
sky130_fd_sc_hd__or2_2 _1193_ (
.a(\blink[18] ),
.b(_0603_),
.x(_0604_)
);
sky130_fd_sc_hd__a21bo_2 _1194_ (
.a1(\blink[18] ),
.a2(_0603_),
.b1_n(_0604_),
.x(_0047_)
);
sky130_fd_sc_hd__or2_2 _1195_ (
.a(\blink[19] ),
.b(_0604_),
.x(_0605_)
);
sky130_fd_sc_hd__buf_1 _1196_ (
.a(_0605_),
.x(_0606_)
);
sky130_fd_sc_hd__a21bo_2 _1197_ (
.a1(\blink[19] ),
.a2(_0604_),
.b1_n(_0606_),
.x(_0048_)
);
sky130_fd_sc_hd__a2bb2o_2 _1198_ (
.a1_n(\blink[20] ),
.a2_n(_0606_),
.b1(\blink[20] ),
.b2(_0606_),
.x(_0050_)
);
sky130_fd_sc_hd__or3_2 _1199_ (
.a(\blink[20] ),
.b(\blink[21] ),
.c(_0605_),
.x(_0607_)
);
sky130_fd_sc_hd__inv_2 _1200_ (
.a(_0607_),
.y(_0608_)
);
sky130_fd_sc_hd__o21a_2 _1201_ (
.a1(\blink[20] ),
.a2(_0606_),
.b1(\blink[21] ),
.x(_0609_)
);
sky130_fd_sc_hd__or2_2 _1202_ (
.a(_0608_),
.b(_0609_),
.x(_0610_)
);
sky130_fd_sc_hd__buf_1 _1203_ (
.a(_0610_),
.x(_0051_)
);
sky130_fd_sc_hd__inv_2 _1204_ (
.a(\blink[22] ),
.y(_0611_)
);
sky130_fd_sc_hd__o22a_2 _1205_ (
.a1(\blink[22] ),
.a2(_0608_),
.b1(_0611_),
.b2(_0607_),
.x(_0052_)
);
sky130_fd_sc_hd__a21o_2 _1206_ (
.a1(\timetosendcounter[14] ),
.a2(_0231_),
.b1(_0223_),
.x(_0214_)
);
sky130_fd_sc_hd__mux2_1 _1207_ (
.a0(\data_reg[0] ),
.a1(\hexdata[0] ),
.s(command[7]),
.x(\receivedbyte[0] )
);
sky130_fd_sc_hd__mux2_1 _1208_ (
.a0(\data_reg[1] ),
.a1(\hexdata[1] ),
.s(command[7]),
.x(\receivedbyte[1] )
);
sky130_fd_sc_hd__mux2_1 _1209_ (
.a0(\data_reg[2] ),
.a1(\hexdata[2] ),
.s(command[7]),
.x(\receivedbyte[2] )
);
sky130_fd_sc_hd__mux2_1 _1210_ (
.a0(\data_reg[3] ),
.a1(\hexdata[3] ),
.s(command[7]),
.x(\receivedbyte[3] )
);
sky130_fd_sc_hd__mux2_1 _1211_ (
.a0(\data_reg[4] ),
.a1(\hexdata[4] ),
.s(command[7]),
.x(\receivedbyte[4] )
);
sky130_fd_sc_hd__mux2_1 _1212_ (
.a0(\data_reg[5] ),
.a1(\hexdata[5] ),
.s(command[7]),
.x(\receivedbyte[5] )
);
sky130_fd_sc_hd__mux2_1 _1213_ (
.a0(\data_reg[6] ),
.a1(\hexdata[6] ),
.s(command[7]),
.x(\receivedbyte[6] )
);
sky130_fd_sc_hd__mux2_1 _1214_ (
.a0(\data_reg[7] ),
.a1(\hexdata[7] ),
.s(command[7]),
.x(\receivedbyte[7] )
);
sky130_fd_sc_hd__mux2_1 _1215_ (
.a0(localwritestrobe),
.a1(hexwritestrobe),
.s(command[7]),
.x(_0000_)
);
sky130_fd_sc_hd__mux2_1 _1216_ (
.a0(_0002_),
.a1(_0013_),
.s(command[7]),
.x(_0003_)
);
sky130_fd_sc_hd__mux2_1 _1217_ (
.a0(\receivedword[5] ),
.a1(\highreg[1] ),
.s(command[7]),
.x(_0035_)
);
sky130_fd_sc_hd__mux2_1 _1218_ (
.a0(\receivedword[6] ),
.a1(\highreg[2] ),
.s(command[7]),
.x(_0036_)
);
sky130_fd_sc_hd__mux2_1 _1219_ (
.a0(\receivedword[7] ),
.a1(\highreg[3] ),
.s(command[7]),
.x(_0037_)
);
sky130_fd_sc_hd__mux2_1 _1220_ (
.a0(_0028_),
.a1(_0023_),
.s(command[7]),
.x(_0029_)
);
sky130_fd_sc_hd__mux2_1 _1221_ (
.a0(_0030_),
.a1(_0024_),
.s(command[7]),
.x(_0031_)
);
sky130_fd_sc_hd__mux2_1 _1222_ (
.a0(\receivedword[4] ),
.a1(\highreg[0] ),
.s(command[7]),
.x(_0034_)
);
sky130_fd_sc_hd__mux2_1 _1223_ (
.a0(_0032_),
.a1(_0025_),
.s(command[7]),
.x(_0033_)
);
sky130_fd_sc_hd__mux2_1 _1224_ (
.a0(_0026_),
.a1(_0022_),
.s(command[7]),
.x(_0027_)
);
sky130_fd_sc_hd__mux2_1 _1225_ (
.a0(_0004_),
.a1(comtick),
.s(_0010_),
.x(_0016_)
);
sky130_fd_sc_hd__mux2_1 _1226_ (
.a0(_0004_),
.a1(comtick),
.s(_0008_),
.x(_0018_)
);
sky130_fd_sc_hd__mux2_1 _1227_ (
.a0(_0004_),
.a1(comtick),
.s(_0006_),
.x(_0020_)
);
sky130_fd_sc_hd__mux2_1 _1228_ (
.a0(_0004_),
.a1(comtick),
.s(_0011_),
.x(_0015_)
);
sky130_fd_sc_hd__mux2_1 _1229_ (
.a0(_0004_),
.a1(comtick),
.s(_0009_),
.x(_0017_)
);
sky130_fd_sc_hd__mux2_1 _1230_ (
.a0(_0004_),
.a1(comtick),
.s(_0007_),
.x(_0019_)
);
sky130_fd_sc_hd__mux2_1 _1231_ (
.a0(_0005_),
.a1(rxlocal),
.s(_0004_),
.x(_0021_)
);
sky130_fd_sc_hd__mux2_1 _1232_ (
.a0(_0004_),
.a1(comtick),
.s(_0012_),
.x(_0014_)
);
sky130_fd_sc_hd__dfxtp_2 _1233_ (
.clk(clk),
.d(_0001_),
.q(writestrobe)
);
sky130_fd_sc_hd__dfxtp_2 _1234_ (
.clk(clk),
.d(_0000_),
.q(bytewritestrobe)
);
sky130_fd_sc_hd__dfxtp_2 _1235_ (
.clk(clk),
.d(_0038_),
.q(\blink[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1236_ (
.clk(clk),
.d(_0049_),
.q(\blink[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1237_ (
.clk(clk),
.d(_0053_),
.q(\blink[2] )
);
sky130_fd_sc_hd__dfxtp_2 _1238_ (
.clk(clk),
.d(_0054_),
.q(\blink[3] )
);
sky130_fd_sc_hd__dfxtp_2 _1239_ (
.clk(clk),
.d(_0055_),
.q(\blink[4] )
);
sky130_fd_sc_hd__dfxtp_2 _1240_ (
.clk(clk),
.d(_0056_),
.q(\blink[5] )
);
sky130_fd_sc_hd__dfxtp_2 _1241_ (
.clk(clk),
.d(_0057_),
.q(\blink[6] )
);
sky130_fd_sc_hd__dfxtp_2 _1242_ (
.clk(clk),
.d(_0058_),
.q(\blink[7] )
);
sky130_fd_sc_hd__dfxtp_2 _1243_ (
.clk(clk),
.d(_0059_),
.q(\blink[8] )
);
sky130_fd_sc_hd__dfxtp_2 _1244_ (
.clk(clk),
.d(_0060_),
.q(\blink[9] )
);
sky130_fd_sc_hd__dfxtp_2 _1245_ (
.clk(clk),
.d(_0039_),
.q(\blink[10] )
);
sky130_fd_sc_hd__dfxtp_2 _1246_ (
.clk(clk),
.d(_0040_),
.q(\blink[11] )
);
sky130_fd_sc_hd__dfxtp_2 _1247_ (
.clk(clk),
.d(_0041_),
.q(\blink[12] )
);
sky130_fd_sc_hd__dfxtp_2 _1248_ (
.clk(clk),
.d(_0042_),
.q(\blink[13] )
);
sky130_fd_sc_hd__dfxtp_2 _1249_ (
.clk(clk),
.d(_0043_),
.q(\blink[14] )
);
sky130_fd_sc_hd__dfxtp_2 _1250_ (
.clk(clk),
.d(_0044_),
.q(\blink[15] )
);
sky130_fd_sc_hd__dfxtp_2 _1251_ (
.clk(clk),
.d(_0045_),
.q(\blink[16] )
);
sky130_fd_sc_hd__dfxtp_2 _1252_ (
.clk(clk),
.d(_0046_),
.q(\blink[17] )
);
sky130_fd_sc_hd__dfxtp_2 _1253_ (
.clk(clk),
.d(_0047_),
.q(\blink[18] )
);
sky130_fd_sc_hd__dfxtp_2 _1254_ (
.clk(clk),
.d(_0048_),
.q(\blink[19] )
);
sky130_fd_sc_hd__dfxtp_2 _1255_ (
.clk(clk),
.d(_0050_),
.q(\blink[20] )
);
sky130_fd_sc_hd__dfxtp_2 _1256_ (
.clk(clk),
.d(_0051_),
.q(\blink[21] )
);
sky130_fd_sc_hd__dfxtp_2 _1257_ (
.clk(clk),
.d(_0052_),
.q(\blink[22] )
);
sky130_fd_sc_hd__dfxtp_2 _1258_ (
.clk(clk),
.d(rx),
.q(rxlocal)
);
sky130_fd_sc_hd__dfxtp_2 _1259_ (
.clk(clk),
.d(_0061_),
.q(\comstate[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1260_ (
.clk(clk),
.d(_0062_),
.q(\comstate[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1261_ (
.clk(clk),
.d(_0063_),
.q(\comstate[2] )
);
sky130_fd_sc_hd__dfxtp_2 _1262_ (
.clk(clk),
.d(_0064_),
.q(\comstate[3] )
);
sky130_fd_sc_hd__dfxtp_2 _1263_ (
.clk(clk),
.d(_0065_),
.q(\highreg[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1264_ (
.clk(clk),
.d(_0066_),
.q(\highreg[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1265_ (
.clk(clk),
.d(_0067_),
.q(\highreg[2] )
);
sky130_fd_sc_hd__dfxtp_2 _1266_ (
.clk(clk),
.d(_0068_),
.q(\highreg[3] )
);
sky130_fd_sc_hd__dfxtp_2 _1267_ (
.clk(clk),
.d(_0069_),
.q(\hexdata[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1268_ (
.clk(clk),
.d(_0070_),
.q(\hexdata[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1269_ (
.clk(clk),
.d(_0071_),
.q(\hexdata[2] )
);
sky130_fd_sc_hd__dfxtp_2 _1270_ (
.clk(clk),
.d(_0072_),
.q(\hexdata[3] )
);
sky130_fd_sc_hd__dfxtp_2 _1271_ (
.clk(clk),
.d(_0073_),
.q(\hexdata[4] )
);
sky130_fd_sc_hd__dfxtp_2 _1272_ (
.clk(clk),
.d(_0074_),
.q(\hexdata[5] )
);
sky130_fd_sc_hd__dfxtp_2 _1273_ (
.clk(clk),
.d(_0075_),
.q(\hexdata[6] )
);
sky130_fd_sc_hd__dfxtp_2 _1274_ (
.clk(clk),
.d(_0076_),
.q(\hexdata[7] )
);
sky130_fd_sc_hd__dfxtp_2 _1275_ (
.clk(clk),
.d(_0077_),
.q(\presentstate[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1276_ (
.clk(clk),
.d(_0078_),
.q(\presentstate[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1277_ (
.clk(clk),
.d(_0079_),
.q(\presentstate[2] )
);
sky130_fd_sc_hd__dfxtp_2 _1278_ (
.clk(clk),
.d(_0080_),
.q(\receivedword[7] )
);
sky130_fd_sc_hd__dfxtp_2 _1279_ (
.clk(clk),
.d(_0081_),
.q(\receivedword[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1280_ (
.clk(clk),
.d(_0082_),
.q(\receivedword[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1281_ (
.clk(clk),
.d(_0083_),
.q(\receivedword[2] )
);
sky130_fd_sc_hd__dfxtp_2 _1282_ (
.clk(clk),
.d(_0084_),
.q(\receivedword[3] )
);
sky130_fd_sc_hd__dfxtp_2 _1283_ (
.clk(clk),
.d(_0085_),
.q(\receivedword[4] )
);
sky130_fd_sc_hd__dfxtp_2 _1284_ (
.clk(clk),
.d(_0086_),
.q(\receivedword[5] )
);
sky130_fd_sc_hd__dfxtp_2 _1285_ (
.clk(clk),
.d(_0087_),
.q(\receivedword[6] )
);
sky130_fd_sc_hd__dfxtp_2 _1286_ (
.clk(clk),
.d(_0088_),
.q(\id_reg[16] )
);
sky130_fd_sc_hd__dfxtp_2 _1287_ (
.clk(clk),
.d(_0089_),
.q(\id_reg[17] )
);
sky130_fd_sc_hd__dfxtp_2 _1288_ (
.clk(clk),
.d(_0090_),
.q(\id_reg[18] )
);
sky130_fd_sc_hd__dfxtp_2 _1289_ (
.clk(clk),
.d(_0091_),
.q(\id_reg[19] )
);
sky130_fd_sc_hd__dfxtp_2 _1290_ (
.clk(clk),
.d(_0092_),
.q(\id_reg[20] )
);
sky130_fd_sc_hd__dfxtp_2 _1291_ (
.clk(clk),
.d(_0093_),
.q(\id_reg[21] )
);
sky130_fd_sc_hd__dfxtp_2 _1292_ (
.clk(clk),
.d(_0094_),
.q(\id_reg[22] )
);
sky130_fd_sc_hd__dfxtp_2 _1293_ (
.clk(clk),
.d(_0095_),
.q(\id_reg[23] )
);
sky130_fd_sc_hd__dfxtp_2 _1294_ (
.clk(clk),
.d(_0096_),
.q(\id_reg[8] )
);
sky130_fd_sc_hd__dfxtp_2 _1295_ (
.clk(clk),
.d(_0097_),
.q(\id_reg[9] )
);
sky130_fd_sc_hd__dfxtp_2 _1296_ (
.clk(clk),
.d(_0098_),
.q(\id_reg[10] )
);
sky130_fd_sc_hd__dfxtp_2 _1297_ (
.clk(clk),
.d(_0099_),
.q(\id_reg[11] )
);
sky130_fd_sc_hd__dfxtp_2 _1298_ (
.clk(clk),
.d(_0100_),
.q(\id_reg[12] )
);
sky130_fd_sc_hd__dfxtp_2 _1299_ (
.clk(clk),
.d(_0101_),
.q(\id_reg[13] )
);
sky130_fd_sc_hd__dfxtp_2 _1300_ (
.clk(clk),
.d(_0102_),
.q(\id_reg[14] )
);
sky130_fd_sc_hd__dfxtp_2 _1301_ (
.clk(clk),
.d(_0103_),
.q(\id_reg[15] )
);
sky130_fd_sc_hd__dfxtp_2 _1302_ (
.clk(clk),
.d(_0104_),
.q(\id_reg[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1303_ (
.clk(clk),
.d(_0105_),
.q(\id_reg[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1304_ (
.clk(clk),
.d(_0106_),
.q(\id_reg[2] )
);
sky130_fd_sc_hd__dfxtp_2 _1305_ (
.clk(clk),
.d(_0107_),
.q(\id_reg[3] )
);
sky130_fd_sc_hd__dfxtp_2 _1306_ (
.clk(clk),
.d(_0108_),
.q(\id_reg[4] )
);
sky130_fd_sc_hd__dfxtp_2 _1307_ (
.clk(clk),
.d(_0109_),
.q(\id_reg[5] )
);
sky130_fd_sc_hd__dfxtp_2 _1308_ (
.clk(clk),
.d(_0110_),
.q(\id_reg[6] )
);
sky130_fd_sc_hd__dfxtp_2 _1309_ (
.clk(clk),
.d(_0111_),
.q(\id_reg[7] )
);
sky130_fd_sc_hd__dfxtp_2 _1310_ (
.clk(clk),
.d(_0112_),
.q(command[0])
);
sky130_fd_sc_hd__dfxtp_2 _1311_ (
.clk(clk),
.d(_0113_),
.q(command[1])
);
sky130_fd_sc_hd__dfxtp_2 _1312_ (
.clk(clk),
.d(_0114_),
.q(command[2])
);
sky130_fd_sc_hd__dfxtp_2 _1313_ (
.clk(clk),
.d(_0115_),
.q(command[3])
);
sky130_fd_sc_hd__dfxtp_2 _1314_ (
.clk(clk),
.d(_0116_),
.q(command[4])
);
sky130_fd_sc_hd__dfxtp_2 _1315_ (
.clk(clk),
.d(_0117_),
.q(command[5])
);
sky130_fd_sc_hd__dfxtp_2 _1316_ (
.clk(clk),
.d(_0118_),
.q(command[6])
);
sky130_fd_sc_hd__dfxtp_2 _1317_ (
.clk(clk),
.d(_0119_),
.q(command[7])
);
sky130_fd_sc_hd__dfxtp_2 _1318_ (
.clk(clk),
.d(_0120_),
.q(\data_reg[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1319_ (
.clk(clk),
.d(_0121_),
.q(\data_reg[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1320_ (
.clk(clk),
.d(_0122_),
.q(\data_reg[2] )
);
sky130_fd_sc_hd__dfxtp_2 _1321_ (
.clk(clk),
.d(_0123_),
.q(\data_reg[3] )
);
sky130_fd_sc_hd__dfxtp_2 _1322_ (
.clk(clk),
.d(_0124_),
.q(\data_reg[4] )
);
sky130_fd_sc_hd__dfxtp_2 _1323_ (
.clk(clk),
.d(_0125_),
.q(\data_reg[5] )
);
sky130_fd_sc_hd__dfxtp_2 _1324_ (
.clk(clk),
.d(_0126_),
.q(\data_reg[6] )
);
sky130_fd_sc_hd__dfxtp_2 _1325_ (
.clk(clk),
.d(_0127_),
.q(\data_reg[7] )
);
sky130_fd_sc_hd__dfxtp_2 _1326_ (
.clk(clk),
.d(_0128_),
.q(\comcount[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1327_ (
.clk(clk),
.d(_0129_),
.q(\comcount[2] )
);
sky130_fd_sc_hd__dfxtp_2 _1328_ (
.clk(clk),
.d(_0130_),
.q(\comcount[8] )
);
sky130_fd_sc_hd__dfxtp_2 _1329_ (
.clk(clk),
.d(_0131_),
.q(\comcount[9] )
);
sky130_fd_sc_hd__dfxtp_2 _1330_ (
.clk(clk),
.d(_0132_),
.q(\comcount[10] )
);
sky130_fd_sc_hd__dfxtp_2 _1331_ (
.clk(clk),
.d(_0133_),
.q(\comcount[11] )
);
sky130_fd_sc_hd__dfxtp_2 _1332_ (
.clk(clk),
.d(_0134_),
.q(receivestate)
);
sky130_fd_sc_hd__dfxtp_2 _1333_ (
.clk(clk),
.d(_0135_),
.q(hexwritestrobe)
);
sky130_fd_sc_hd__dfxtp_2 _1334_ (
.clk(clk),
.d(_0136_),
.q(timetosend)
);
sky130_fd_sc_hd__dfxtp_2 _1335_ (
.clk(clk),
.d(_0137_),
.q(localwritestrobe)
);
sky130_fd_sc_hd__dfxtp_2 _1336_ (
.clk(clk),
.d(_0138_),
.q(receiveled)
);
sky130_fd_sc_hd__dfxtp_2 _1337_ (
.clk(clk),
.d(_0139_),
.q(\comcount[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1338_ (
.clk(clk),
.d(_0140_),
.q(\comcount[3] )
);
sky130_fd_sc_hd__dfxtp_2 _1339_ (
.clk(clk),
.d(_0141_),
.q(\comcount[4] )
);
sky130_fd_sc_hd__dfxtp_2 _1340_ (
.clk(clk),
.d(_0142_),
.q(\comcount[5] )
);
sky130_fd_sc_hd__dfxtp_2 _1341_ (
.clk(clk),
.d(_0143_),
.q(\comcount[6] )
);
sky130_fd_sc_hd__dfxtp_2 _1342_ (
.clk(clk),
.d(_0144_),
.q(\comcount[7] )
);
sky130_fd_sc_hd__dfxtp_2 _1343_ (
.clk(clk),
.d(_0145_),
.q(comtick)
);
sky130_fd_sc_hd__dfxtp_2 _1344_ (
.clk(clk),
.d(_0146_),
.q(writedata[8])
);
sky130_fd_sc_hd__dfxtp_2 _1345_ (
.clk(clk),
.d(_0147_),
.q(writedata[9])
);
sky130_fd_sc_hd__dfxtp_2 _1346_ (
.clk(clk),
.d(_0148_),
.q(writedata[10])
);
sky130_fd_sc_hd__dfxtp_2 _1347_ (
.clk(clk),
.d(_0149_),
.q(writedata[11])
);
sky130_fd_sc_hd__dfxtp_2 _1348_ (
.clk(clk),
.d(_0150_),
.q(writedata[12])
);
sky130_fd_sc_hd__dfxtp_2 _1349_ (
.clk(clk),
.d(_0151_),
.q(writedata[13])
);
sky130_fd_sc_hd__dfxtp_2 _1350_ (
.clk(clk),
.d(_0152_),
.q(writedata[14])
);
sky130_fd_sc_hd__dfxtp_2 _1351_ (
.clk(clk),
.d(_0153_),
.q(writedata[15])
);
sky130_fd_sc_hd__dfxtp_2 _1352_ (
.clk(clk),
.d(_0154_),
.q(writedata[24])
);
sky130_fd_sc_hd__dfxtp_2 _1353_ (
.clk(clk),
.d(_0155_),
.q(writedata[25])
);
sky130_fd_sc_hd__dfxtp_2 _1354_ (
.clk(clk),
.d(_0156_),
.q(writedata[26])
);
sky130_fd_sc_hd__dfxtp_2 _1355_ (
.clk(clk),
.d(_0157_),
.q(writedata[27])
);
sky130_fd_sc_hd__dfxtp_2 _1356_ (
.clk(clk),
.d(_0158_),
.q(writedata[28])
);
sky130_fd_sc_hd__dfxtp_2 _1357_ (
.clk(clk),
.d(_0159_),
.q(writedata[29])
);
sky130_fd_sc_hd__dfxtp_2 _1358_ (
.clk(clk),
.d(_0160_),
.q(writedata[30])
);
sky130_fd_sc_hd__dfxtp_2 _1359_ (
.clk(clk),
.d(_0161_),
.q(writedata[31])
);
sky130_fd_sc_hd__dfxtp_2 _1360_ (
.clk(clk),
.d(_0162_),
.q(writedata[16])
);
sky130_fd_sc_hd__dfxtp_2 _1361_ (
.clk(clk),
.d(_0163_),
.q(writedata[17])
);
sky130_fd_sc_hd__dfxtp_2 _1362_ (
.clk(clk),
.d(_0164_),
.q(writedata[18])
);
sky130_fd_sc_hd__dfxtp_2 _1363_ (
.clk(clk),
.d(_0165_),
.q(writedata[19])
);
sky130_fd_sc_hd__dfxtp_2 _1364_ (
.clk(clk),
.d(_0166_),
.q(writedata[20])
);
sky130_fd_sc_hd__dfxtp_2 _1365_ (
.clk(clk),
.d(_0167_),
.q(writedata[21])
);
sky130_fd_sc_hd__dfxtp_2 _1366_ (
.clk(clk),
.d(_0168_),
.q(writedata[22])
);
sky130_fd_sc_hd__dfxtp_2 _1367_ (
.clk(clk),
.d(_0169_),
.q(writedata[23])
);
sky130_fd_sc_hd__dfxtp_2 _1368_ (
.clk(clk),
.d(_0170_),
.q(writedata[0])
);
sky130_fd_sc_hd__dfxtp_2 _1369_ (
.clk(clk),
.d(_0171_),
.q(writedata[1])
);
sky130_fd_sc_hd__dfxtp_2 _1370_ (
.clk(clk),
.d(_0172_),
.q(writedata[2])
);
sky130_fd_sc_hd__dfxtp_2 _1371_ (
.clk(clk),
.d(_0173_),
.q(writedata[3])
);
sky130_fd_sc_hd__dfxtp_2 _1372_ (
.clk(clk),
.d(_0174_),
.q(writedata[4])
);
sky130_fd_sc_hd__dfxtp_2 _1373_ (
.clk(clk),
.d(_0175_),
.q(writedata[5])
);
sky130_fd_sc_hd__dfxtp_2 _1374_ (
.clk(clk),
.d(_0176_),
.q(writedata[6])
);
sky130_fd_sc_hd__dfxtp_2 _1375_ (
.clk(clk),
.d(_0177_),
.q(writedata[7])
);
sky130_fd_sc_hd__dfxtp_2 _1376_ (
.clk(clk),
.d(_0178_),
.q(\getwordstate[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1377_ (
.clk(clk),
.d(_0179_),
.q(\getwordstate[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1378_ (
.clk(clk),
.d(_0180_),
.q(\crcreg[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1379_ (
.clk(clk),
.d(_0181_),
.q(\crcreg[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1380_ (
.clk(clk),
.d(_0182_),
.q(\crcreg[2] )
);
sky130_fd_sc_hd__dfxtp_2 _1381_ (
.clk(clk),
.d(_0183_),
.q(\crcreg[3] )
);
sky130_fd_sc_hd__dfxtp_2 _1382_ (
.clk(clk),
.d(_0184_),
.q(\crcreg[4] )
);
sky130_fd_sc_hd__dfxtp_2 _1383_ (
.clk(clk),
.d(_0185_),
.q(\crcreg[5] )
);
sky130_fd_sc_hd__dfxtp_2 _1384_ (
.clk(clk),
.d(_0186_),
.q(\crcreg[6] )
);
sky130_fd_sc_hd__dfxtp_2 _1385_ (
.clk(clk),
.d(_0187_),
.q(\crcreg[7] )
);
sky130_fd_sc_hd__dfxtp_2 _1386_ (
.clk(clk),
.d(_0188_),
.q(\crcreg[8] )
);
sky130_fd_sc_hd__dfxtp_2 _1387_ (
.clk(clk),
.d(_0189_),
.q(\crcreg[9] )
);
sky130_fd_sc_hd__dfxtp_2 _1388_ (
.clk(clk),
.d(_0190_),
.q(\crcreg[10] )
);
sky130_fd_sc_hd__dfxtp_2 _1389_ (
.clk(clk),
.d(_0191_),
.q(\crcreg[11] )
);
sky130_fd_sc_hd__dfxtp_2 _1390_ (
.clk(clk),
.d(_0192_),
.q(\crcreg[12] )
);
sky130_fd_sc_hd__dfxtp_2 _1391_ (
.clk(clk),
.d(_0193_),
.q(\crcreg[13] )
);
sky130_fd_sc_hd__dfxtp_2 _1392_ (
.clk(clk),
.d(_0194_),
.q(\crcreg[14] )
);
sky130_fd_sc_hd__dfxtp_2 _1393_ (
.clk(clk),
.d(_0195_),
.q(\crcreg[15] )
);
sky130_fd_sc_hd__dfxtp_2 _1394_ (
.clk(clk),
.d(_0196_),
.q(\crcreg[16] )
);
sky130_fd_sc_hd__dfxtp_2 _1395_ (
.clk(clk),
.d(_0197_),
.q(\crcreg[17] )
);
sky130_fd_sc_hd__dfxtp_2 _1396_ (
.clk(clk),
.d(_0198_),
.q(\crcreg[18] )
);
sky130_fd_sc_hd__dfxtp_2 _1397_ (
.clk(clk),
.d(_0199_),
.q(\crcreg[19] )
);
sky130_fd_sc_hd__dfxtp_2 _1398_ (
.clk(clk),
.d(_0200_),
.q(\timetosendcounter[0] )
);
sky130_fd_sc_hd__dfxtp_2 _1399_ (
.clk(clk),
.d(_0201_),
.q(\timetosendcounter[1] )
);
sky130_fd_sc_hd__dfxtp_2 _1400_ (
.clk(clk),
.d(_0202_),
.q(\timetosendcounter[2] )
);
sky130_fd_sc_hd__dfxtp_2 _1401_ (
.clk(clk),
.d(_0203_),
.q(\timetosendcounter[3] )
);
sky130_fd_sc_hd__dfxtp_2 _1402_ (
.clk(clk),
.d(_0204_),
.q(\timetosendcounter[4] )
);
sky130_fd_sc_hd__dfxtp_2 _1403_ (
.clk(clk),
.d(_0205_),
.q(\timetosendcounter[5] )
);
sky130_fd_sc_hd__dfxtp_2 _1404_ (
.clk(clk),
.d(_0206_),
.q(\timetosendcounter[6] )
);
sky130_fd_sc_hd__dfxtp_2 _1405_ (
.clk(clk),
.d(_0207_),
.q(\timetosendcounter[7] )
);
sky130_fd_sc_hd__dfxtp_2 _1406_ (
.clk(clk),
.d(_0208_),
.q(\timetosendcounter[8] )
);
sky130_fd_sc_hd__dfxtp_2 _1407_ (
.clk(clk),
.d(_0209_),
.q(\timetosendcounter[9] )
);
sky130_fd_sc_hd__dfxtp_2 _1408_ (
.clk(clk),
.d(_0210_),
.q(\timetosendcounter[10] )
);
sky130_fd_sc_hd__dfxtp_2 _1409_ (
.clk(clk),
.d(_0211_),
.q(\timetosendcounter[11] )
);
sky130_fd_sc_hd__dfxtp_2 _1410_ (
.clk(clk),
.d(_0212_),
.q(\timetosendcounter[12] )
);
sky130_fd_sc_hd__dfxtp_2 _1411_ (
.clk(clk),
.d(_0213_),
.q(\timetosendcounter[13] )
);
sky130_fd_sc_hd__dfxtp_2 _1412_ (
.clk(clk),
.d(_0214_),
.q(\timetosendcounter[14] )
);
endmodule
module efpga(tile_x3y1_opa_i0, tile_x3y1_opa_i1, tile_x3y1_opa_i2, tile_x3y1_opa_i3, userclk, tile_x3y1_opb_i0, tile_x3y1_opb_i1, tile_x3y1_opb_i2, tile_x3y1_opb_i3, tile_x3y1_res0_o0, tile_x3y1_res0_o1, tile_x3y1_res0_o2, tile_x3y1_res0_o3, tile_x3y1_res1_o0, tile_x3y1_res1_o1, tile_x3y1_res1_o2, tile_x3y1_res1_o3, tile_x3y1_res2_o0, tile_x3y1_res2_o1, tile_x3y1_res2_o2, tile_x3y1_res2_o3, tile_x11y1_opa_i0, tile_x11y1_opa_i1, tile_x11y1_opa_i2, tile_x11y1_opa_i3, tile_x11y1_opb_i0, tile_x11y1_opb_i1, tile_x11y1_opb_i2, tile_x11y1_opb_i3, tile_x11y1_res0_o0, tile_x11y1_res0_o1, tile_x11y1_res0_o2, tile_x11y1_res0_o3, tile_x11y1_res1_o0, tile_x11y1_res1_o1, tile_x11y1_res1_o2, tile_x11y1_res1_o3, tile_x11y1_res2_o0, tile_x11y1_res2_o1, tile_x11y1_res2_o2, tile_x11y1_res2_o3, tile_x3y2_opa_i0, tile_x3y2_opa_i1, tile_x3y2_opa_i2, tile_x3y2_opa_i3, tile_x3y2_opb_i0, tile_x3y2_opb_i1, tile_x3y2_opb_i2, tile_x3y2_opb_i3, tile_x3y2_res0_o0, tile_x3y2_res0_o1, tile_x3y2_res0_o2, tile_x3y2_res0_o3, tile_x3y2_res1_o0, tile_x3y2_res1_o1, tile_x3y2_res1_o2, tile_x3y2_res1_o3, tile_x3y2_res2_o0, tile_x3y2_res2_o1, tile_x3y2_res2_o2, tile_x3y2_res2_o3, tile_x11y2_opa_i0, tile_x11y2_opa_i1, tile_x11y2_opa_i2, tile_x11y2_opa_i3, tile_x11y2_opb_i0, tile_x11y2_opb_i1, tile_x11y2_opb_i2, tile_x11y2_opb_i3, tile_x11y2_res0_o0, tile_x11y2_res0_o1, tile_x11y2_res0_o2, tile_x11y2_res0_o3, tile_x11y2_res1_o0, tile_x11y2_res1_o1, tile_x11y2_res1_o2, tile_x11y2_res1_o3, tile_x11y2_res2_o0, tile_x11y2_res2_o1, tile_x11y2_res2_o2, tile_x11y2_res2_o3, tile_x3y3_opa_i0, tile_x3y3_opa_i1, tile_x3y3_opa_i2, tile_x3y3_opa_i3, tile_x3y3_opb_i0, tile_x3y3_opb_i1, tile_x3y3_opb_i2, tile_x3y3_opb_i3, tile_x3y3_res0_o0, tile_x3y3_res0_o1, tile_x3y3_res0_o2, tile_x3y3_res0_o3, tile_x3y3_res1_o0, tile_x3y3_res1_o1, tile_x3y3_res1_o2, tile_x3y3_res1_o3, tile_x3y3_res2_o0, tile_x3y3_res2_o1, tile_x3y3_res2_o2, tile_x3y3_res2_o3, tile_x11y3_opa_i0, tile_x11y3_opa_i1, tile_x11y3_opa_i2, tile_x11y3_opa_i3, tile_x11y3_opb_i0, tile_x11y3_opb_i1, tile_x11y3_opb_i2, tile_x11y3_opb_i3, tile_x11y3_res0_o0, tile_x11y3_res0_o1, tile_x11y3_res0_o2, tile_x11y3_res0_o3, tile_x11y3_res1_o0, tile_x11y3_res1_o1, tile_x11y3_res1_o2, tile_x11y3_res1_o3, tile_x11y3_res2_o0, tile_x11y3_res2_o1, tile_x11y3_res2_o2, tile_x11y3_res2_o3, tile_x3y4_opa_i0, tile_x3y4_opa_i1, tile_x3y4_opa_i2, tile_x3y4_opa_i3, tile_x3y4_opb_i0, tile_x3y4_opb_i1, tile_x3y4_opb_i2, tile_x3y4_opb_i3, tile_x3y4_res0_o0, tile_x3y4_res0_o1, tile_x3y4_res0_o2, tile_x3y4_res0_o3, tile_x3y4_res1_o0, tile_x3y4_res1_o1, tile_x3y4_res1_o2, tile_x3y4_res1_o3, tile_x3y4_res2_o0, tile_x3y4_res2_o1, tile_x3y4_res2_o2, tile_x3y4_res2_o3, tile_x11y4_opa_i0, tile_x11y4_opa_i1, tile_x11y4_opa_i2, tile_x11y4_opa_i3, tile_x11y4_opb_i0, tile_x11y4_opb_i1, tile_x11y4_opb_i2, tile_x11y4_opb_i3, tile_x11y4_res0_o0, tile_x11y4_res0_o1, tile_x11y4_res0_o2, tile_x11y4_res0_o3, tile_x11y4_res1_o0, tile_x11y4_res1_o1, tile_x11y4_res1_o2, tile_x11y4_res1_o3, tile_x11y4_res2_o0, tile_x11y4_res2_o1, tile_x11y4_res2_o2, tile_x11y4_res2_o3, tile_x3y5_opa_i0, tile_x3y5_opa_i1, tile_x3y5_opa_i2, tile_x3y5_opa_i3, tile_x3y5_opb_i0, tile_x3y5_opb_i1, tile_x3y5_opb_i2, tile_x3y5_opb_i3, tile_x3y5_res0_o0, tile_x3y5_res0_o1, tile_x3y5_res0_o2, tile_x3y5_res0_o3, tile_x3y5_res1_o0, tile_x3y5_res1_o1, tile_x3y5_res1_o2, tile_x3y5_res1_o3, tile_x3y5_res2_o0, tile_x3y5_res2_o1, tile_x3y5_res2_o2, tile_x3y5_res2_o3, tile_x11y5_opa_i0, tile_x11y5_opa_i1, tile_x11y5_opa_i2, tile_x11y5_opa_i3, tile_x11y5_opb_i0, tile_x11y5_opb_i1, tile_x11y5_opb_i2, tile_x11y5_opb_i3, tile_x11y5_res0_o0, tile_x11y5_res0_o1, tile_x11y5_res0_o2, tile_x11y5_res0_o3, tile_x11y5_res1_o0, tile_x11y5_res1_o1, tile_x11y5_res1_o2, tile_x11y5_res1_o3, tile_x11y5_res2_o0, tile_x11y5_res2_o1, tile_x11y5_res2_o2, tile_x11y5_res2_o3, tile_x3y6_opa_i0, tile_x3y6_opa_i1, tile_x3y6_opa_i2, tile_x3y6_opa_i3, tile_x3y6_opb_i0, tile_x3y6_opb_i1, tile_x3y6_opb_i2, tile_x3y6_opb_i3, tile_x3y6_res0_o0, tile_x3y6_res0_o1, tile_x3y6_res0_o2, tile_x3y6_res0_o3, tile_x3y6_res1_o0, tile_x3y6_res1_o1, tile_x3y6_res1_o2, tile_x3y6_res1_o3, tile_x3y6_res2_o0, tile_x3y6_res2_o1, tile_x3y6_res2_o2, tile_x3y6_res2_o3, tile_x11y6_opa_i0, tile_x11y6_opa_i1, tile_x11y6_opa_i2, tile_x11y6_opa_i3, tile_x11y6_opb_i0, tile_x11y6_opb_i1, tile_x11y6_opb_i2, tile_x11y6_opb_i3, tile_x11y6_res0_o0, tile_x11y6_res0_o1, tile_x11y6_res0_o2, tile_x11y6_res0_o3, tile_x11y6_res1_o0, tile_x11y6_res1_o1, tile_x11y6_res1_o2, tile_x11y6_res1_o3, tile_x11y6_res2_o0, tile_x11y6_res2_o1, tile_x11y6_res2_o2, tile_x11y6_res2_o3, tile_x3y7_opa_i0, tile_x3y7_opa_i1, tile_x3y7_opa_i2, tile_x3y7_opa_i3, tile_x3y7_opb_i0, tile_x3y7_opb_i1, tile_x3y7_opb_i2, tile_x3y7_opb_i3, tile_x3y7_res0_o0, tile_x3y7_res0_o1, tile_x3y7_res0_o2, tile_x3y7_res0_o3, tile_x3y7_res1_o0, tile_x3y7_res1_o1, tile_x3y7_res1_o2, tile_x3y7_res1_o3, tile_x3y7_res2_o0, tile_x3y7_res2_o1, tile_x3y7_res2_o2, tile_x3y7_res2_o3, tile_x11y7_opa_i0, tile_x11y7_opa_i1, tile_x11y7_opa_i2, tile_x11y7_opa_i3, tile_x11y7_opb_i0, tile_x11y7_opb_i1, tile_x11y7_opb_i2, tile_x11y7_opb_i3, tile_x11y7_res0_o0, tile_x11y7_res0_o1, tile_x11y7_res0_o2, tile_x11y7_res0_o3, tile_x11y7_res1_o0, tile_x11y7_res1_o1, tile_x11y7_res1_o2, tile_x11y7_res1_o3, tile_x11y7_res2_o0, tile_x11y7_res2_o1, tile_x11y7_res2_o2, tile_x11y7_res2_o3, tile_x3y8_opa_i0, tile_x3y8_opa_i1, tile_x3y8_opa_i2, tile_x3y8_opa_i3, tile_x3y8_opb_i0, tile_x3y8_opb_i1, tile_x3y8_opb_i2, tile_x3y8_opb_i3, tile_x3y8_res0_o0, tile_x3y8_res0_o1, tile_x3y8_res0_o2, tile_x3y8_res0_o3, tile_x3y8_res1_o0, tile_x3y8_res1_o1, tile_x3y8_res1_o2, tile_x3y8_res1_o3, tile_x3y8_res2_o0, tile_x3y8_res2_o1, tile_x3y8_res2_o2, tile_x3y8_res2_o3, tile_x11y8_opa_i0, tile_x11y8_opa_i1, tile_x11y8_opa_i2, tile_x11y8_opa_i3, tile_x11y8_opb_i0, tile_x11y8_opb_i1, tile_x11y8_opb_i2, tile_x11y8_opb_i3, tile_x11y8_res0_o0, tile_x11y8_res0_o1, tile_x11y8_res0_o2, tile_x11y8_res0_o3, tile_x11y8_res1_o0, tile_x11y8_res1_o1, tile_x11y8_res1_o2, tile_x11y8_res1_o3, tile_x11y8_res2_o0, tile_x11y8_res2_o1, tile_x11y8_res2_o2, tile_x11y8_res2_o3, tile_x3y9_opa_i0, tile_x3y9_opa_i1, tile_x3y9_opa_i2, tile_x3y9_opa_i3, tile_x3y9_opb_i0, tile_x3y9_opb_i1, tile_x3y9_opb_i2, tile_x3y9_opb_i3, tile_x3y9_res0_o0, tile_x3y9_res0_o1, tile_x3y9_res0_o2, tile_x3y9_res0_o3, tile_x3y9_res1_o0, tile_x3y9_res1_o1, tile_x3y9_res1_o2, tile_x3y9_res1_o3, tile_x3y9_res2_o0, tile_x3y9_res2_o1, tile_x3y9_res2_o2, tile_x3y9_res2_o3, tile_x11y9_opa_i0, tile_x11y9_opa_i1, tile_x11y9_opa_i2, tile_x11y9_opa_i3, tile_x11y9_opb_i0, tile_x11y9_opb_i1, tile_x11y9_opb_i2, tile_x11y9_opb_i3, tile_x11y9_res0_o0, tile_x11y9_res0_o1, tile_x11y9_res0_o2, tile_x11y9_res0_o3, tile_x11y9_res1_o0, tile_x11y9_res1_o1, tile_x11y9_res1_o2, tile_x11y9_res1_o3, tile_x11y9_res2_o0, tile_x11y9_res2_o1, tile_x11y9_res2_o2, tile_x11y9_res2_o3, tile_x0y10_a_i_top, tile_x0y10_a_t_top, tile_x0y10_a_o_top, tile_x0y10_b_i_top, tile_x0y10_b_t_top, tile_x0y10_b_o_top, tile_x0y10_a_config_c_bit0, tile_x0y10_a_config_c_bit1, tile_x0y10_a_config_c_bit2, tile_x0y10_a_config_c_bit3, tile_x0y10_b_config_c_bit0, tile_x0y10_b_config_c_bit1, tile_x0y10_b_config_c_bit2, tile_x0y10_b_config_c_bit3, tile_x14y10_ram2fab_d0_i0, tile_x14y10_ram2fab_d0_i1, tile_x14y10_ram2fab_d0_i2, tile_x14y10_ram2fab_d0_i3, tile_x14y10_ram2fab_d1_i0, tile_x14y10_ram2fab_d1_i1, tile_x14y10_ram2fab_d1_i2, tile_x14y10_ram2fab_d1_i3, tile_x14y10_ram2fab_d2_i0, tile_x14y10_ram2fab_d2_i1, tile_x14y10_ram2fab_d2_i2, tile_x14y10_ram2fab_d2_i3, tile_x14y10_ram2fab_d3_i0, tile_x14y10_ram2fab_d3_i1, tile_x14y10_ram2fab_d3_i2, tile_x14y10_ram2fab_d3_i3, tile_x14y10_fab2ram_d0_o0, tile_x14y10_fab2ram_d0_o1, tile_x14y10_fab2ram_d0_o2, tile_x14y10_fab2ram_d0_o3, tile_x14y10_fab2ram_d1_o0, tile_x14y10_fab2ram_d1_o1, tile_x14y10_fab2ram_d1_o2, tile_x14y10_fab2ram_d1_o3, tile_x14y10_fab2ram_d2_o0, tile_x14y10_fab2ram_d2_o1, tile_x14y10_fab2ram_d2_o2, tile_x14y10_fab2ram_d2_o3, tile_x14y10_fab2ram_d3_o0, tile_x14y10_fab2ram_d3_o1, tile_x14y10_fab2ram_d3_o2, tile_x14y10_fab2ram_d3_o3, tile_x14y10_fab2ram_a0_o0, tile_x14y10_fab2ram_a0_o1, tile_x14y10_fab2ram_a0_o2, tile_x14y10_fab2ram_a0_o3, tile_x14y10_fab2ram_a1_o0, tile_x14y10_fab2ram_a1_o1, tile_x14y10_fab2ram_a1_o2, tile_x14y10_fab2ram_a1_o3, tile_x14y10_fab2ram_c_o0, tile_x14y10_fab2ram_c_o1, tile_x14y10_fab2ram_c_o2, tile_x14y10_fab2ram_c_o3, tile_x14y10_config_accessc_bit0, tile_x14y10_config_accessc_bit1, tile_x14y10_config_accessc_bit2, tile_x14y10_config_accessc_bit3, tile_x0y11_a_i_top, tile_x0y11_a_t_top, tile_x0y11_a_o_top, tile_x0y11_b_i_top, tile_x0y11_b_t_top, tile_x0y11_b_o_top, tile_x0y11_a_config_c_bit0, tile_x0y11_a_config_c_bit1, tile_x0y11_a_config_c_bit2, tile_x0y11_a_config_c_bit3, tile_x0y11_b_config_c_bit0, tile_x0y11_b_config_c_bit1, tile_x0y11_b_config_c_bit2, tile_x0y11_b_config_c_bit3, tile_x14y11_ram2fab_d0_i0, tile_x14y11_ram2fab_d0_i1, tile_x14y11_ram2fab_d0_i2, tile_x14y11_ram2fab_d0_i3, tile_x14y11_ram2fab_d1_i0, tile_x14y11_ram2fab_d1_i1, tile_x14y11_ram2fab_d1_i2, tile_x14y11_ram2fab_d1_i3, tile_x14y11_ram2fab_d2_i0, tile_x14y11_ram2fab_d2_i1, tile_x14y11_ram2fab_d2_i2, tile_x14y11_ram2fab_d2_i3, tile_x14y11_ram2fab_d3_i0, tile_x14y11_ram2fab_d3_i1, tile_x14y11_ram2fab_d3_i2, tile_x14y11_ram2fab_d3_i3, tile_x14y11_fab2ram_d0_o0, tile_x14y11_fab2ram_d0_o1, tile_x14y11_fab2ram_d0_o2, tile_x14y11_fab2ram_d0_o3, tile_x14y11_fab2ram_d1_o0, tile_x14y11_fab2ram_d1_o1, tile_x14y11_fab2ram_d1_o2, tile_x14y11_fab2ram_d1_o3, tile_x14y11_fab2ram_d2_o0, tile_x14y11_fab2ram_d2_o1, tile_x14y11_fab2ram_d2_o2, tile_x14y11_fab2ram_d2_o3, tile_x14y11_fab2ram_d3_o0, tile_x14y11_fab2ram_d3_o1, tile_x14y11_fab2ram_d3_o2, tile_x14y11_fab2ram_d3_o3, tile_x14y11_fab2ram_a0_o0, tile_x14y11_fab2ram_a0_o1, tile_x14y11_fab2ram_a0_o2, tile_x14y11_fab2ram_a0_o3, tile_x14y11_fab2ram_a1_o0, tile_x14y11_fab2ram_a1_o1, tile_x14y11_fab2ram_a1_o2, tile_x14y11_fab2ram_a1_o3, tile_x14y11_fab2ram_c_o0, tile_x14y11_fab2ram_c_o1, tile_x14y11_fab2ram_c_o2, tile_x14y11_fab2ram_c_o3, tile_x14y11_config_accessc_bit0, tile_x14y11_config_accessc_bit1, tile_x14y11_config_accessc_bit2, tile_x14y11_config_accessc_bit3, tile_x0y12_a_i_top, tile_x0y12_a_t_top, tile_x0y12_a_o_top, tile_x0y12_b_i_top, tile_x0y12_b_t_top, tile_x0y12_b_o_top, tile_x0y12_a_config_c_bit0, tile_x0y12_a_config_c_bit1, tile_x0y12_a_config_c_bit2, tile_x0y12_a_config_c_bit3, tile_x0y12_b_config_c_bit0, tile_x0y12_b_config_c_bit1, tile_x0y12_b_config_c_bit2, tile_x0y12_b_config_c_bit3, tile_x14y12_ram2fab_d0_i0, tile_x14y12_ram2fab_d0_i1, tile_x14y12_ram2fab_d0_i2, tile_x14y12_ram2fab_d0_i3, tile_x14y12_ram2fab_d1_i0, tile_x14y12_ram2fab_d1_i1, tile_x14y12_ram2fab_d1_i2, tile_x14y12_ram2fab_d1_i3, tile_x14y12_ram2fab_d2_i0, tile_x14y12_ram2fab_d2_i1, tile_x14y12_ram2fab_d2_i2, tile_x14y12_ram2fab_d2_i3, tile_x14y12_ram2fab_d3_i0, tile_x14y12_ram2fab_d3_i1, tile_x14y12_ram2fab_d3_i2, tile_x14y12_ram2fab_d3_i3, tile_x14y12_fab2ram_d0_o0, tile_x14y12_fab2ram_d0_o1, tile_x14y12_fab2ram_d0_o2, tile_x14y12_fab2ram_d0_o3, tile_x14y12_fab2ram_d1_o0, tile_x14y12_fab2ram_d1_o1, tile_x14y12_fab2ram_d1_o2, tile_x14y12_fab2ram_d1_o3, tile_x14y12_fab2ram_d2_o0, tile_x14y12_fab2ram_d2_o1, tile_x14y12_fab2ram_d2_o2, tile_x14y12_fab2ram_d2_o3, tile_x14y12_fab2ram_d3_o0, tile_x14y12_fab2ram_d3_o1, tile_x14y12_fab2ram_d3_o2, tile_x14y12_fab2ram_d3_o3, tile_x14y12_fab2ram_a0_o0, tile_x14y12_fab2ram_a0_o1, tile_x14y12_fab2ram_a0_o2, tile_x14y12_fab2ram_a0_o3, tile_x14y12_fab2ram_a1_o0, tile_x14y12_fab2ram_a1_o1, tile_x14y12_fab2ram_a1_o2, tile_x14y12_fab2ram_a1_o3, tile_x14y12_fab2ram_c_o0, tile_x14y12_fab2ram_c_o1, tile_x14y12_fab2ram_c_o2, tile_x14y12_fab2ram_c_o3, tile_x14y12_config_accessc_bit0, tile_x14y12_config_accessc_bit1, tile_x14y12_config_accessc_bit2, tile_x14y12_config_accessc_bit3, tile_x0y13_a_i_top, tile_x0y13_a_t_top, tile_x0y13_a_o_top, tile_x0y13_b_i_top, tile_x0y13_b_t_top, tile_x0y13_b_o_top, tile_x0y13_a_config_c_bit0, tile_x0y13_a_config_c_bit1, tile_x0y13_a_config_c_bit2, tile_x0y13_a_config_c_bit3, tile_x0y13_b_config_c_bit0, tile_x0y13_b_config_c_bit1, tile_x0y13_b_config_c_bit2, tile_x0y13_b_config_c_bit3, tile_x14y13_ram2fab_d0_i0, tile_x14y13_ram2fab_d0_i1, tile_x14y13_ram2fab_d0_i2, tile_x14y13_ram2fab_d0_i3, tile_x14y13_ram2fab_d1_i0, tile_x14y13_ram2fab_d1_i1, tile_x14y13_ram2fab_d1_i2, tile_x14y13_ram2fab_d1_i3, tile_x14y13_ram2fab_d2_i0, tile_x14y13_ram2fab_d2_i1, tile_x14y13_ram2fab_d2_i2, tile_x14y13_ram2fab_d2_i3, tile_x14y13_ram2fab_d3_i0, tile_x14y13_ram2fab_d3_i1, tile_x14y13_ram2fab_d3_i2, tile_x14y13_ram2fab_d3_i3, tile_x14y13_fab2ram_d0_o0, tile_x14y13_fab2ram_d0_o1, tile_x14y13_fab2ram_d0_o2, tile_x14y13_fab2ram_d0_o3, tile_x14y13_fab2ram_d1_o0, tile_x14y13_fab2ram_d1_o1, tile_x14y13_fab2ram_d1_o2, tile_x14y13_fab2ram_d1_o3, tile_x14y13_fab2ram_d2_o0, tile_x14y13_fab2ram_d2_o1, tile_x14y13_fab2ram_d2_o2, tile_x14y13_fab2ram_d2_o3, tile_x14y13_fab2ram_d3_o0, tile_x14y13_fab2ram_d3_o1, tile_x14y13_fab2ram_d3_o2, tile_x14y13_fab2ram_d3_o3, tile_x14y13_fab2ram_a0_o0, tile_x14y13_fab2ram_a0_o1, tile_x14y13_fab2ram_a0_o2, tile_x14y13_fab2ram_a0_o3, tile_x14y13_fab2ram_a1_o0, tile_x14y13_fab2ram_a1_o1, tile_x14y13_fab2ram_a1_o2, tile_x14y13_fab2ram_a1_o3, tile_x14y13_fab2ram_c_o0, tile_x14y13_fab2ram_c_o1, tile_x14y13_fab2ram_c_o2, tile_x14y13_fab2ram_c_o3, tile_x14y13_config_accessc_bit0, tile_x14y13_config_accessc_bit1, tile_x14y13_config_accessc_bit2, tile_x14y13_config_accessc_bit3, tile_x0y14_a_i_top, tile_x0y14_a_t_top, tile_x0y14_a_o_top, tile_x0y14_b_i_top, tile_x0y14_b_t_top, tile_x0y14_b_o_top, tile_x0y14_a_config_c_bit0, tile_x0y14_a_config_c_bit1, tile_x0y14_a_config_c_bit2, tile_x0y14_a_config_c_bit3, tile_x0y14_b_config_c_bit0, tile_x0y14_b_config_c_bit1, tile_x0y14_b_config_c_bit2, tile_x0y14_b_config_c_bit3, tile_x14y14_ram2fab_d0_i0, tile_x14y14_ram2fab_d0_i1, tile_x14y14_ram2fab_d0_i2, tile_x14y14_ram2fab_d0_i3, tile_x14y14_ram2fab_d1_i0, tile_x14y14_ram2fab_d1_i1, tile_x14y14_ram2fab_d1_i2, tile_x14y14_ram2fab_d1_i3, tile_x14y14_ram2fab_d2_i0, tile_x14y14_ram2fab_d2_i1, tile_x14y14_ram2fab_d2_i2, tile_x14y14_ram2fab_d2_i3, tile_x14y14_ram2fab_d3_i0, tile_x14y14_ram2fab_d3_i1, tile_x14y14_ram2fab_d3_i2, tile_x14y14_ram2fab_d3_i3, tile_x14y14_fab2ram_d0_o0, tile_x14y14_fab2ram_d0_o1, tile_x14y14_fab2ram_d0_o2, tile_x14y14_fab2ram_d0_o3, tile_x14y14_fab2ram_d1_o0, tile_x14y14_fab2ram_d1_o1, tile_x14y14_fab2ram_d1_o2, tile_x14y14_fab2ram_d1_o3, tile_x14y14_fab2ram_d2_o0, tile_x14y14_fab2ram_d2_o1, tile_x14y14_fab2ram_d2_o2, tile_x14y14_fab2ram_d2_o3, tile_x14y14_fab2ram_d3_o0, tile_x14y14_fab2ram_d3_o1, tile_x14y14_fab2ram_d3_o2, tile_x14y14_fab2ram_d3_o3, tile_x14y14_fab2ram_a0_o0, tile_x14y14_fab2ram_a0_o1, tile_x14y14_fab2ram_a0_o2, tile_x14y14_fab2ram_a0_o3, tile_x14y14_fab2ram_a1_o0, tile_x14y14_fab2ram_a1_o1, tile_x14y14_fab2ram_a1_o2, tile_x14y14_fab2ram_a1_o3, tile_x14y14_fab2ram_c_o0, tile_x14y14_fab2ram_c_o1, tile_x14y14_fab2ram_c_o2, tile_x14y14_fab2ram_c_o3, tile_x14y14_config_accessc_bit0, tile_x14y14_config_accessc_bit1, tile_x14y14_config_accessc_bit2, tile_x14y14_config_accessc_bit3, framedata, framestrobe);
input [511:0] framedata;
input [299:0] framestrobe;
output tile_x0y10_a_i_top;
input tile_x0y10_a_o_top;
output tile_x0y10_a_t_top;
output tile_x0y10_a_config_c_bit0;
output tile_x0y10_a_config_c_bit1;
output tile_x0y10_a_config_c_bit2;
output tile_x0y10_a_config_c_bit3;
output tile_x0y10_b_i_top;
input tile_x0y10_b_o_top;
output tile_x0y10_b_t_top;
output tile_x0y10_b_config_c_bit0;
output tile_x0y10_b_config_c_bit1;
output tile_x0y10_b_config_c_bit2;
output tile_x0y10_b_config_c_bit3;
wire \tile_x0y10_e1beg[0] ;
wire \tile_x0y10_e1beg[1] ;
wire \tile_x0y10_e1beg[2] ;
wire \tile_x0y10_e1beg[3] ;
wire \tile_x0y10_e2beg[0] ;
wire \tile_x0y10_e2beg[1] ;
wire \tile_x0y10_e2beg[2] ;
wire \tile_x0y10_e2beg[3] ;
wire \tile_x0y10_e2beg[4] ;
wire \tile_x0y10_e2beg[5] ;
wire \tile_x0y10_e2beg[6] ;
wire \tile_x0y10_e2beg[7] ;
wire \tile_x0y10_e2begb[0] ;
wire \tile_x0y10_e2begb[1] ;
wire \tile_x0y10_e2begb[2] ;
wire \tile_x0y10_e2begb[3] ;
wire \tile_x0y10_e2begb[4] ;
wire \tile_x0y10_e2begb[5] ;
wire \tile_x0y10_e2begb[6] ;
wire \tile_x0y10_e2begb[7] ;
wire \tile_x0y10_e6beg[0] ;
wire \tile_x0y10_e6beg[10] ;
wire \tile_x0y10_e6beg[11] ;
wire \tile_x0y10_e6beg[1] ;
wire \tile_x0y10_e6beg[2] ;
wire \tile_x0y10_e6beg[3] ;
wire \tile_x0y10_e6beg[4] ;
wire \tile_x0y10_e6beg[5] ;
wire \tile_x0y10_e6beg[6] ;
wire \tile_x0y10_e6beg[7] ;
wire \tile_x0y10_e6beg[8] ;
wire \tile_x0y10_e6beg[9] ;
wire \tile_x0y10_ee4beg[0] ;
wire \tile_x0y10_ee4beg[10] ;
wire \tile_x0y10_ee4beg[11] ;
wire \tile_x0y10_ee4beg[12] ;
wire \tile_x0y10_ee4beg[13] ;
wire \tile_x0y10_ee4beg[14] ;
wire \tile_x0y10_ee4beg[15] ;
wire \tile_x0y10_ee4beg[1] ;
wire \tile_x0y10_ee4beg[2] ;
wire \tile_x0y10_ee4beg[3] ;
wire \tile_x0y10_ee4beg[4] ;
wire \tile_x0y10_ee4beg[5] ;
wire \tile_x0y10_ee4beg[6] ;
wire \tile_x0y10_ee4beg[7] ;
wire \tile_x0y10_ee4beg[8] ;
wire \tile_x0y10_ee4beg[9] ;
wire \tile_x0y10_framedata_o[0] ;
wire \tile_x0y10_framedata_o[10] ;
wire \tile_x0y10_framedata_o[11] ;
wire \tile_x0y10_framedata_o[12] ;
wire \tile_x0y10_framedata_o[13] ;
wire \tile_x0y10_framedata_o[14] ;
wire \tile_x0y10_framedata_o[15] ;
wire \tile_x0y10_framedata_o[16] ;
wire \tile_x0y10_framedata_o[17] ;
wire \tile_x0y10_framedata_o[18] ;
wire \tile_x0y10_framedata_o[19] ;
wire \tile_x0y10_framedata_o[1] ;
wire \tile_x0y10_framedata_o[20] ;
wire \tile_x0y10_framedata_o[21] ;
wire \tile_x0y10_framedata_o[22] ;
wire \tile_x0y10_framedata_o[23] ;
wire \tile_x0y10_framedata_o[24] ;
wire \tile_x0y10_framedata_o[25] ;
wire \tile_x0y10_framedata_o[26] ;
wire \tile_x0y10_framedata_o[27] ;
wire \tile_x0y10_framedata_o[28] ;
wire \tile_x0y10_framedata_o[29] ;
wire \tile_x0y10_framedata_o[2] ;
wire \tile_x0y10_framedata_o[30] ;
wire \tile_x0y10_framedata_o[31] ;
wire \tile_x0y10_framedata_o[3] ;
wire \tile_x0y10_framedata_o[4] ;
wire \tile_x0y10_framedata_o[5] ;
wire \tile_x0y10_framedata_o[6] ;
wire \tile_x0y10_framedata_o[7] ;
wire \tile_x0y10_framedata_o[8] ;
wire \tile_x0y10_framedata_o[9] ;
wire \tile_x0y10_framestrobe_o[0] ;
wire \tile_x0y10_framestrobe_o[10] ;
wire \tile_x0y10_framestrobe_o[11] ;
wire \tile_x0y10_framestrobe_o[12] ;
wire \tile_x0y10_framestrobe_o[13] ;
wire \tile_x0y10_framestrobe_o[14] ;
wire \tile_x0y10_framestrobe_o[15] ;
wire \tile_x0y10_framestrobe_o[16] ;
wire \tile_x0y10_framestrobe_o[17] ;
wire \tile_x0y10_framestrobe_o[18] ;
wire \tile_x0y10_framestrobe_o[19] ;
wire \tile_x0y10_framestrobe_o[1] ;
wire \tile_x0y10_framestrobe_o[2] ;
wire \tile_x0y10_framestrobe_o[3] ;
wire \tile_x0y10_framestrobe_o[4] ;
wire \tile_x0y10_framestrobe_o[5] ;
wire \tile_x0y10_framestrobe_o[6] ;
wire \tile_x0y10_framestrobe_o[7] ;
wire \tile_x0y10_framestrobe_o[8] ;
wire \tile_x0y10_framestrobe_o[9] ;
wire tile_x0y10_userclko;
output tile_x0y11_a_i_top;
input tile_x0y11_a_o_top;
output tile_x0y11_a_t_top;
output tile_x0y11_a_config_c_bit0;
output tile_x0y11_a_config_c_bit1;
output tile_x0y11_a_config_c_bit2;
output tile_x0y11_a_config_c_bit3;
output tile_x0y11_b_i_top;
input tile_x0y11_b_o_top;
output tile_x0y11_b_t_top;
output tile_x0y11_b_config_c_bit0;
output tile_x0y11_b_config_c_bit1;
output tile_x0y11_b_config_c_bit2;
output tile_x0y11_b_config_c_bit3;
wire \tile_x0y11_e1beg[0] ;
wire \tile_x0y11_e1beg[1] ;
wire \tile_x0y11_e1beg[2] ;
wire \tile_x0y11_e1beg[3] ;
wire \tile_x0y11_e2beg[0] ;
wire \tile_x0y11_e2beg[1] ;
wire \tile_x0y11_e2beg[2] ;
wire \tile_x0y11_e2beg[3] ;
wire \tile_x0y11_e2beg[4] ;
wire \tile_x0y11_e2beg[5] ;
wire \tile_x0y11_e2beg[6] ;
wire \tile_x0y11_e2beg[7] ;
wire \tile_x0y11_e2begb[0] ;
wire \tile_x0y11_e2begb[1] ;
wire \tile_x0y11_e2begb[2] ;
wire \tile_x0y11_e2begb[3] ;
wire \tile_x0y11_e2begb[4] ;
wire \tile_x0y11_e2begb[5] ;
wire \tile_x0y11_e2begb[6] ;
wire \tile_x0y11_e2begb[7] ;
wire \tile_x0y11_e6beg[0] ;
wire \tile_x0y11_e6beg[10] ;
wire \tile_x0y11_e6beg[11] ;
wire \tile_x0y11_e6beg[1] ;
wire \tile_x0y11_e6beg[2] ;
wire \tile_x0y11_e6beg[3] ;
wire \tile_x0y11_e6beg[4] ;
wire \tile_x0y11_e6beg[5] ;
wire \tile_x0y11_e6beg[6] ;
wire \tile_x0y11_e6beg[7] ;
wire \tile_x0y11_e6beg[8] ;
wire \tile_x0y11_e6beg[9] ;
wire \tile_x0y11_ee4beg[0] ;
wire \tile_x0y11_ee4beg[10] ;
wire \tile_x0y11_ee4beg[11] ;
wire \tile_x0y11_ee4beg[12] ;
wire \tile_x0y11_ee4beg[13] ;
wire \tile_x0y11_ee4beg[14] ;
wire \tile_x0y11_ee4beg[15] ;
wire \tile_x0y11_ee4beg[1] ;
wire \tile_x0y11_ee4beg[2] ;
wire \tile_x0y11_ee4beg[3] ;
wire \tile_x0y11_ee4beg[4] ;
wire \tile_x0y11_ee4beg[5] ;
wire \tile_x0y11_ee4beg[6] ;
wire \tile_x0y11_ee4beg[7] ;
wire \tile_x0y11_ee4beg[8] ;
wire \tile_x0y11_ee4beg[9] ;
wire \tile_x0y11_framedata_o[0] ;
wire \tile_x0y11_framedata_o[10] ;
wire \tile_x0y11_framedata_o[11] ;
wire \tile_x0y11_framedata_o[12] ;
wire \tile_x0y11_framedata_o[13] ;
wire \tile_x0y11_framedata_o[14] ;
wire \tile_x0y11_framedata_o[15] ;
wire \tile_x0y11_framedata_o[16] ;
wire \tile_x0y11_framedata_o[17] ;
wire \tile_x0y11_framedata_o[18] ;
wire \tile_x0y11_framedata_o[19] ;
wire \tile_x0y11_framedata_o[1] ;
wire \tile_x0y11_framedata_o[20] ;
wire \tile_x0y11_framedata_o[21] ;
wire \tile_x0y11_framedata_o[22] ;
wire \tile_x0y11_framedata_o[23] ;
wire \tile_x0y11_framedata_o[24] ;
wire \tile_x0y11_framedata_o[25] ;
wire \tile_x0y11_framedata_o[26] ;
wire \tile_x0y11_framedata_o[27] ;
wire \tile_x0y11_framedata_o[28] ;
wire \tile_x0y11_framedata_o[29] ;
wire \tile_x0y11_framedata_o[2] ;
wire \tile_x0y11_framedata_o[30] ;
wire \tile_x0y11_framedata_o[31] ;
wire \tile_x0y11_framedata_o[3] ;
wire \tile_x0y11_framedata_o[4] ;
wire \tile_x0y11_framedata_o[5] ;
wire \tile_x0y11_framedata_o[6] ;
wire \tile_x0y11_framedata_o[7] ;
wire \tile_x0y11_framedata_o[8] ;
wire \tile_x0y11_framedata_o[9] ;
wire \tile_x0y11_framestrobe_o[0] ;
wire \tile_x0y11_framestrobe_o[10] ;
wire \tile_x0y11_framestrobe_o[11] ;
wire \tile_x0y11_framestrobe_o[12] ;
wire \tile_x0y11_framestrobe_o[13] ;
wire \tile_x0y11_framestrobe_o[14] ;
wire \tile_x0y11_framestrobe_o[15] ;
wire \tile_x0y11_framestrobe_o[16] ;
wire \tile_x0y11_framestrobe_o[17] ;
wire \tile_x0y11_framestrobe_o[18] ;
wire \tile_x0y11_framestrobe_o[19] ;
wire \tile_x0y11_framestrobe_o[1] ;
wire \tile_x0y11_framestrobe_o[2] ;
wire \tile_x0y11_framestrobe_o[3] ;
wire \tile_x0y11_framestrobe_o[4] ;
wire \tile_x0y11_framestrobe_o[5] ;
wire \tile_x0y11_framestrobe_o[6] ;
wire \tile_x0y11_framestrobe_o[7] ;
wire \tile_x0y11_framestrobe_o[8] ;
wire \tile_x0y11_framestrobe_o[9] ;
wire tile_x0y11_userclko;
output tile_x0y12_a_i_top;
input tile_x0y12_a_o_top;
output tile_x0y12_a_t_top;
output tile_x0y12_a_config_c_bit0;
output tile_x0y12_a_config_c_bit1;
output tile_x0y12_a_config_c_bit2;
output tile_x0y12_a_config_c_bit3;
output tile_x0y12_b_i_top;
input tile_x0y12_b_o_top;
output tile_x0y12_b_t_top;
output tile_x0y12_b_config_c_bit0;
output tile_x0y12_b_config_c_bit1;
output tile_x0y12_b_config_c_bit2;
output tile_x0y12_b_config_c_bit3;
wire \tile_x0y12_e1beg[0] ;
wire \tile_x0y12_e1beg[1] ;
wire \tile_x0y12_e1beg[2] ;
wire \tile_x0y12_e1beg[3] ;
wire \tile_x0y12_e2beg[0] ;
wire \tile_x0y12_e2beg[1] ;
wire \tile_x0y12_e2beg[2] ;
wire \tile_x0y12_e2beg[3] ;
wire \tile_x0y12_e2beg[4] ;
wire \tile_x0y12_e2beg[5] ;
wire \tile_x0y12_e2beg[6] ;
wire \tile_x0y12_e2beg[7] ;
wire \tile_x0y12_e2begb[0] ;
wire \tile_x0y12_e2begb[1] ;
wire \tile_x0y12_e2begb[2] ;
wire \tile_x0y12_e2begb[3] ;
wire \tile_x0y12_e2begb[4] ;
wire \tile_x0y12_e2begb[5] ;
wire \tile_x0y12_e2begb[6] ;
wire \tile_x0y12_e2begb[7] ;
wire \tile_x0y12_e6beg[0] ;
wire \tile_x0y12_e6beg[10] ;
wire \tile_x0y12_e6beg[11] ;
wire \tile_x0y12_e6beg[1] ;
wire \tile_x0y12_e6beg[2] ;
wire \tile_x0y12_e6beg[3] ;
wire \tile_x0y12_e6beg[4] ;
wire \tile_x0y12_e6beg[5] ;
wire \tile_x0y12_e6beg[6] ;
wire \tile_x0y12_e6beg[7] ;
wire \tile_x0y12_e6beg[8] ;
wire \tile_x0y12_e6beg[9] ;
wire \tile_x0y12_ee4beg[0] ;
wire \tile_x0y12_ee4beg[10] ;
wire \tile_x0y12_ee4beg[11] ;
wire \tile_x0y12_ee4beg[12] ;
wire \tile_x0y12_ee4beg[13] ;
wire \tile_x0y12_ee4beg[14] ;
wire \tile_x0y12_ee4beg[15] ;
wire \tile_x0y12_ee4beg[1] ;
wire \tile_x0y12_ee4beg[2] ;
wire \tile_x0y12_ee4beg[3] ;
wire \tile_x0y12_ee4beg[4] ;
wire \tile_x0y12_ee4beg[5] ;
wire \tile_x0y12_ee4beg[6] ;
wire \tile_x0y12_ee4beg[7] ;
wire \tile_x0y12_ee4beg[8] ;
wire \tile_x0y12_ee4beg[9] ;
wire \tile_x0y12_framedata_o[0] ;
wire \tile_x0y12_framedata_o[10] ;
wire \tile_x0y12_framedata_o[11] ;
wire \tile_x0y12_framedata_o[12] ;
wire \tile_x0y12_framedata_o[13] ;
wire \tile_x0y12_framedata_o[14] ;
wire \tile_x0y12_framedata_o[15] ;
wire \tile_x0y12_framedata_o[16] ;
wire \tile_x0y12_framedata_o[17] ;
wire \tile_x0y12_framedata_o[18] ;
wire \tile_x0y12_framedata_o[19] ;
wire \tile_x0y12_framedata_o[1] ;
wire \tile_x0y12_framedata_o[20] ;
wire \tile_x0y12_framedata_o[21] ;
wire \tile_x0y12_framedata_o[22] ;
wire \tile_x0y12_framedata_o[23] ;
wire \tile_x0y12_framedata_o[24] ;
wire \tile_x0y12_framedata_o[25] ;
wire \tile_x0y12_framedata_o[26] ;
wire \tile_x0y12_framedata_o[27] ;
wire \tile_x0y12_framedata_o[28] ;
wire \tile_x0y12_framedata_o[29] ;
wire \tile_x0y12_framedata_o[2] ;
wire \tile_x0y12_framedata_o[30] ;
wire \tile_x0y12_framedata_o[31] ;
wire \tile_x0y12_framedata_o[3] ;
wire \tile_x0y12_framedata_o[4] ;
wire \tile_x0y12_framedata_o[5] ;
wire \tile_x0y12_framedata_o[6] ;
wire \tile_x0y12_framedata_o[7] ;
wire \tile_x0y12_framedata_o[8] ;
wire \tile_x0y12_framedata_o[9] ;
wire \tile_x0y12_framestrobe_o[0] ;
wire \tile_x0y12_framestrobe_o[10] ;
wire \tile_x0y12_framestrobe_o[11] ;
wire \tile_x0y12_framestrobe_o[12] ;
wire \tile_x0y12_framestrobe_o[13] ;
wire \tile_x0y12_framestrobe_o[14] ;
wire \tile_x0y12_framestrobe_o[15] ;
wire \tile_x0y12_framestrobe_o[16] ;
wire \tile_x0y12_framestrobe_o[17] ;
wire \tile_x0y12_framestrobe_o[18] ;
wire \tile_x0y12_framestrobe_o[19] ;
wire \tile_x0y12_framestrobe_o[1] ;
wire \tile_x0y12_framestrobe_o[2] ;
wire \tile_x0y12_framestrobe_o[3] ;
wire \tile_x0y12_framestrobe_o[4] ;
wire \tile_x0y12_framestrobe_o[5] ;
wire \tile_x0y12_framestrobe_o[6] ;
wire \tile_x0y12_framestrobe_o[7] ;
wire \tile_x0y12_framestrobe_o[8] ;
wire \tile_x0y12_framestrobe_o[9] ;
wire tile_x0y12_userclko;
output tile_x0y13_a_i_top;
input tile_x0y13_a_o_top;
output tile_x0y13_a_t_top;
output tile_x0y13_a_config_c_bit0;
output tile_x0y13_a_config_c_bit1;
output tile_x0y13_a_config_c_bit2;
output tile_x0y13_a_config_c_bit3;
output tile_x0y13_b_i_top;
input tile_x0y13_b_o_top;
output tile_x0y13_b_t_top;
output tile_x0y13_b_config_c_bit0;
output tile_x0y13_b_config_c_bit1;
output tile_x0y13_b_config_c_bit2;
output tile_x0y13_b_config_c_bit3;
wire \tile_x0y13_e1beg[0] ;
wire \tile_x0y13_e1beg[1] ;
wire \tile_x0y13_e1beg[2] ;
wire \tile_x0y13_e1beg[3] ;
wire \tile_x0y13_e2beg[0] ;
wire \tile_x0y13_e2beg[1] ;
wire \tile_x0y13_e2beg[2] ;
wire \tile_x0y13_e2beg[3] ;
wire \tile_x0y13_e2beg[4] ;
wire \tile_x0y13_e2beg[5] ;
wire \tile_x0y13_e2beg[6] ;
wire \tile_x0y13_e2beg[7] ;
wire \tile_x0y13_e2begb[0] ;
wire \tile_x0y13_e2begb[1] ;
wire \tile_x0y13_e2begb[2] ;
wire \tile_x0y13_e2begb[3] ;
wire \tile_x0y13_e2begb[4] ;
wire \tile_x0y13_e2begb[5] ;
wire \tile_x0y13_e2begb[6] ;
wire \tile_x0y13_e2begb[7] ;
wire \tile_x0y13_e6beg[0] ;
wire \tile_x0y13_e6beg[10] ;
wire \tile_x0y13_e6beg[11] ;
wire \tile_x0y13_e6beg[1] ;
wire \tile_x0y13_e6beg[2] ;
wire \tile_x0y13_e6beg[3] ;
wire \tile_x0y13_e6beg[4] ;
wire \tile_x0y13_e6beg[5] ;
wire \tile_x0y13_e6beg[6] ;
wire \tile_x0y13_e6beg[7] ;
wire \tile_x0y13_e6beg[8] ;
wire \tile_x0y13_e6beg[9] ;
wire \tile_x0y13_ee4beg[0] ;
wire \tile_x0y13_ee4beg[10] ;
wire \tile_x0y13_ee4beg[11] ;
wire \tile_x0y13_ee4beg[12] ;
wire \tile_x0y13_ee4beg[13] ;
wire \tile_x0y13_ee4beg[14] ;
wire \tile_x0y13_ee4beg[15] ;
wire \tile_x0y13_ee4beg[1] ;
wire \tile_x0y13_ee4beg[2] ;
wire \tile_x0y13_ee4beg[3] ;
wire \tile_x0y13_ee4beg[4] ;
wire \tile_x0y13_ee4beg[5] ;
wire \tile_x0y13_ee4beg[6] ;
wire \tile_x0y13_ee4beg[7] ;
wire \tile_x0y13_ee4beg[8] ;
wire \tile_x0y13_ee4beg[9] ;
wire \tile_x0y13_framedata_o[0] ;
wire \tile_x0y13_framedata_o[10] ;
wire \tile_x0y13_framedata_o[11] ;
wire \tile_x0y13_framedata_o[12] ;
wire \tile_x0y13_framedata_o[13] ;
wire \tile_x0y13_framedata_o[14] ;
wire \tile_x0y13_framedata_o[15] ;
wire \tile_x0y13_framedata_o[16] ;
wire \tile_x0y13_framedata_o[17] ;
wire \tile_x0y13_framedata_o[18] ;
wire \tile_x0y13_framedata_o[19] ;
wire \tile_x0y13_framedata_o[1] ;
wire \tile_x0y13_framedata_o[20] ;
wire \tile_x0y13_framedata_o[21] ;
wire \tile_x0y13_framedata_o[22] ;
wire \tile_x0y13_framedata_o[23] ;
wire \tile_x0y13_framedata_o[24] ;
wire \tile_x0y13_framedata_o[25] ;
wire \tile_x0y13_framedata_o[26] ;
wire \tile_x0y13_framedata_o[27] ;
wire \tile_x0y13_framedata_o[28] ;
wire \tile_x0y13_framedata_o[29] ;
wire \tile_x0y13_framedata_o[2] ;
wire \tile_x0y13_framedata_o[30] ;
wire \tile_x0y13_framedata_o[31] ;
wire \tile_x0y13_framedata_o[3] ;
wire \tile_x0y13_framedata_o[4] ;
wire \tile_x0y13_framedata_o[5] ;
wire \tile_x0y13_framedata_o[6] ;
wire \tile_x0y13_framedata_o[7] ;
wire \tile_x0y13_framedata_o[8] ;
wire \tile_x0y13_framedata_o[9] ;
wire \tile_x0y13_framestrobe_o[0] ;
wire \tile_x0y13_framestrobe_o[10] ;
wire \tile_x0y13_framestrobe_o[11] ;
wire \tile_x0y13_framestrobe_o[12] ;
wire \tile_x0y13_framestrobe_o[13] ;
wire \tile_x0y13_framestrobe_o[14] ;
wire \tile_x0y13_framestrobe_o[15] ;
wire \tile_x0y13_framestrobe_o[16] ;
wire \tile_x0y13_framestrobe_o[17] ;
wire \tile_x0y13_framestrobe_o[18] ;
wire \tile_x0y13_framestrobe_o[19] ;
wire \tile_x0y13_framestrobe_o[1] ;
wire \tile_x0y13_framestrobe_o[2] ;
wire \tile_x0y13_framestrobe_o[3] ;
wire \tile_x0y13_framestrobe_o[4] ;
wire \tile_x0y13_framestrobe_o[5] ;
wire \tile_x0y13_framestrobe_o[6] ;
wire \tile_x0y13_framestrobe_o[7] ;
wire \tile_x0y13_framestrobe_o[8] ;
wire \tile_x0y13_framestrobe_o[9] ;
wire tile_x0y13_userclko;
output tile_x0y14_a_i_top;
input tile_x0y14_a_o_top;
output tile_x0y14_a_t_top;
output tile_x0y14_a_config_c_bit0;
output tile_x0y14_a_config_c_bit1;
output tile_x0y14_a_config_c_bit2;
output tile_x0y14_a_config_c_bit3;
output tile_x0y14_b_i_top;
input tile_x0y14_b_o_top;
output tile_x0y14_b_t_top;
output tile_x0y14_b_config_c_bit0;
output tile_x0y14_b_config_c_bit1;
output tile_x0y14_b_config_c_bit2;
output tile_x0y14_b_config_c_bit3;
wire \tile_x0y14_e1beg[0] ;
wire \tile_x0y14_e1beg[1] ;
wire \tile_x0y14_e1beg[2] ;
wire \tile_x0y14_e1beg[3] ;
wire \tile_x0y14_e2beg[0] ;
wire \tile_x0y14_e2beg[1] ;
wire \tile_x0y14_e2beg[2] ;
wire \tile_x0y14_e2beg[3] ;
wire \tile_x0y14_e2beg[4] ;
wire \tile_x0y14_e2beg[5] ;
wire \tile_x0y14_e2beg[6] ;
wire \tile_x0y14_e2beg[7] ;
wire \tile_x0y14_e2begb[0] ;
wire \tile_x0y14_e2begb[1] ;
wire \tile_x0y14_e2begb[2] ;
wire \tile_x0y14_e2begb[3] ;
wire \tile_x0y14_e2begb[4] ;
wire \tile_x0y14_e2begb[5] ;
wire \tile_x0y14_e2begb[6] ;
wire \tile_x0y14_e2begb[7] ;
wire \tile_x0y14_e6beg[0] ;
wire \tile_x0y14_e6beg[10] ;
wire \tile_x0y14_e6beg[11] ;
wire \tile_x0y14_e6beg[1] ;
wire \tile_x0y14_e6beg[2] ;
wire \tile_x0y14_e6beg[3] ;
wire \tile_x0y14_e6beg[4] ;
wire \tile_x0y14_e6beg[5] ;
wire \tile_x0y14_e6beg[6] ;
wire \tile_x0y14_e6beg[7] ;
wire \tile_x0y14_e6beg[8] ;
wire \tile_x0y14_e6beg[9] ;
wire \tile_x0y14_ee4beg[0] ;
wire \tile_x0y14_ee4beg[10] ;
wire \tile_x0y14_ee4beg[11] ;
wire \tile_x0y14_ee4beg[12] ;
wire \tile_x0y14_ee4beg[13] ;
wire \tile_x0y14_ee4beg[14] ;
wire \tile_x0y14_ee4beg[15] ;
wire \tile_x0y14_ee4beg[1] ;
wire \tile_x0y14_ee4beg[2] ;
wire \tile_x0y14_ee4beg[3] ;
wire \tile_x0y14_ee4beg[4] ;
wire \tile_x0y14_ee4beg[5] ;
wire \tile_x0y14_ee4beg[6] ;
wire \tile_x0y14_ee4beg[7] ;
wire \tile_x0y14_ee4beg[8] ;
wire \tile_x0y14_ee4beg[9] ;
wire \tile_x0y14_framedata_o[0] ;
wire \tile_x0y14_framedata_o[10] ;
wire \tile_x0y14_framedata_o[11] ;
wire \tile_x0y14_framedata_o[12] ;
wire \tile_x0y14_framedata_o[13] ;
wire \tile_x0y14_framedata_o[14] ;
wire \tile_x0y14_framedata_o[15] ;
wire \tile_x0y14_framedata_o[16] ;
wire \tile_x0y14_framedata_o[17] ;
wire \tile_x0y14_framedata_o[18] ;
wire \tile_x0y14_framedata_o[19] ;
wire \tile_x0y14_framedata_o[1] ;
wire \tile_x0y14_framedata_o[20] ;
wire \tile_x0y14_framedata_o[21] ;
wire \tile_x0y14_framedata_o[22] ;
wire \tile_x0y14_framedata_o[23] ;
wire \tile_x0y14_framedata_o[24] ;
wire \tile_x0y14_framedata_o[25] ;
wire \tile_x0y14_framedata_o[26] ;
wire \tile_x0y14_framedata_o[27] ;
wire \tile_x0y14_framedata_o[28] ;
wire \tile_x0y14_framedata_o[29] ;
wire \tile_x0y14_framedata_o[2] ;
wire \tile_x0y14_framedata_o[30] ;
wire \tile_x0y14_framedata_o[31] ;
wire \tile_x0y14_framedata_o[3] ;
wire \tile_x0y14_framedata_o[4] ;
wire \tile_x0y14_framedata_o[5] ;
wire \tile_x0y14_framedata_o[6] ;
wire \tile_x0y14_framedata_o[7] ;
wire \tile_x0y14_framedata_o[8] ;
wire \tile_x0y14_framedata_o[9] ;
wire \tile_x0y14_framestrobe_o[0] ;
wire \tile_x0y14_framestrobe_o[10] ;
wire \tile_x0y14_framestrobe_o[11] ;
wire \tile_x0y14_framestrobe_o[12] ;
wire \tile_x0y14_framestrobe_o[13] ;
wire \tile_x0y14_framestrobe_o[14] ;
wire \tile_x0y14_framestrobe_o[15] ;
wire \tile_x0y14_framestrobe_o[16] ;
wire \tile_x0y14_framestrobe_o[17] ;
wire \tile_x0y14_framestrobe_o[18] ;
wire \tile_x0y14_framestrobe_o[19] ;
wire \tile_x0y14_framestrobe_o[1] ;
wire \tile_x0y14_framestrobe_o[2] ;
wire \tile_x0y14_framestrobe_o[3] ;
wire \tile_x0y14_framestrobe_o[4] ;
wire \tile_x0y14_framestrobe_o[5] ;
wire \tile_x0y14_framestrobe_o[6] ;
wire \tile_x0y14_framestrobe_o[7] ;
wire \tile_x0y14_framestrobe_o[8] ;
wire \tile_x0y14_framestrobe_o[9] ;
wire tile_x0y14_userclko;
wire \tile_x10y0_framestrobe_o[0] ;
wire \tile_x10y0_framestrobe_o[10] ;
wire \tile_x10y0_framestrobe_o[11] ;
wire \tile_x10y0_framestrobe_o[12] ;
wire \tile_x10y0_framestrobe_o[13] ;
wire \tile_x10y0_framestrobe_o[14] ;
wire \tile_x10y0_framestrobe_o[15] ;
wire \tile_x10y0_framestrobe_o[16] ;
wire \tile_x10y0_framestrobe_o[17] ;
wire \tile_x10y0_framestrobe_o[18] ;
wire \tile_x10y0_framestrobe_o[19] ;
wire \tile_x10y0_framestrobe_o[1] ;
wire \tile_x10y0_framestrobe_o[2] ;
wire \tile_x10y0_framestrobe_o[3] ;
wire \tile_x10y0_framestrobe_o[4] ;
wire \tile_x10y0_framestrobe_o[5] ;
wire \tile_x10y0_framestrobe_o[6] ;
wire \tile_x10y0_framestrobe_o[7] ;
wire \tile_x10y0_framestrobe_o[8] ;
wire \tile_x10y0_framestrobe_o[9] ;
wire \tile_x10y0_s1beg[0] ;
wire \tile_x10y0_s1beg[1] ;
wire \tile_x10y0_s1beg[2] ;
wire \tile_x10y0_s1beg[3] ;
wire \tile_x10y0_s2beg[0] ;
wire \tile_x10y0_s2beg[1] ;
wire \tile_x10y0_s2beg[2] ;
wire \tile_x10y0_s2beg[3] ;
wire \tile_x10y0_s2beg[4] ;
wire \tile_x10y0_s2beg[5] ;
wire \tile_x10y0_s2beg[6] ;
wire \tile_x10y0_s2beg[7] ;
wire \tile_x10y0_s2begb[0] ;
wire \tile_x10y0_s2begb[1] ;
wire \tile_x10y0_s2begb[2] ;
wire \tile_x10y0_s2begb[3] ;
wire \tile_x10y0_s2begb[4] ;
wire \tile_x10y0_s2begb[5] ;
wire \tile_x10y0_s2begb[6] ;
wire \tile_x10y0_s2begb[7] ;
wire \tile_x10y0_s4beg[0] ;
wire \tile_x10y0_s4beg[10] ;
wire \tile_x10y0_s4beg[11] ;
wire \tile_x10y0_s4beg[12] ;
wire \tile_x10y0_s4beg[13] ;
wire \tile_x10y0_s4beg[14] ;
wire \tile_x10y0_s4beg[15] ;
wire \tile_x10y0_s4beg[1] ;
wire \tile_x10y0_s4beg[2] ;
wire \tile_x10y0_s4beg[3] ;
wire \tile_x10y0_s4beg[4] ;
wire \tile_x10y0_s4beg[5] ;
wire \tile_x10y0_s4beg[6] ;
wire \tile_x10y0_s4beg[7] ;
wire \tile_x10y0_s4beg[8] ;
wire \tile_x10y0_s4beg[9] ;
wire \tile_x10y0_ss4beg[0] ;
wire \tile_x10y0_ss4beg[10] ;
wire \tile_x10y0_ss4beg[11] ;
wire \tile_x10y0_ss4beg[12] ;
wire \tile_x10y0_ss4beg[13] ;
wire \tile_x10y0_ss4beg[14] ;
wire \tile_x10y0_ss4beg[15] ;
wire \tile_x10y0_ss4beg[1] ;
wire \tile_x10y0_ss4beg[2] ;
wire \tile_x10y0_ss4beg[3] ;
wire \tile_x10y0_ss4beg[4] ;
wire \tile_x10y0_ss4beg[5] ;
wire \tile_x10y0_ss4beg[6] ;
wire \tile_x10y0_ss4beg[7] ;
wire \tile_x10y0_ss4beg[8] ;
wire \tile_x10y0_ss4beg[9] ;
wire tile_x10y0_userclko;
wire tile_x10y10_co;
wire \tile_x10y10_e1beg[0] ;
wire \tile_x10y10_e1beg[1] ;
wire \tile_x10y10_e1beg[2] ;
wire \tile_x10y10_e1beg[3] ;
wire \tile_x10y10_e2beg[0] ;
wire \tile_x10y10_e2beg[1] ;
wire \tile_x10y10_e2beg[2] ;
wire \tile_x10y10_e2beg[3] ;
wire \tile_x10y10_e2beg[4] ;
wire \tile_x10y10_e2beg[5] ;
wire \tile_x10y10_e2beg[6] ;
wire \tile_x10y10_e2beg[7] ;
wire \tile_x10y10_e2begb[0] ;
wire \tile_x10y10_e2begb[1] ;
wire \tile_x10y10_e2begb[2] ;
wire \tile_x10y10_e2begb[3] ;
wire \tile_x10y10_e2begb[4] ;
wire \tile_x10y10_e2begb[5] ;
wire \tile_x10y10_e2begb[6] ;
wire \tile_x10y10_e2begb[7] ;
wire \tile_x10y10_e6beg[0] ;
wire \tile_x10y10_e6beg[10] ;
wire \tile_x10y10_e6beg[11] ;
wire \tile_x10y10_e6beg[1] ;
wire \tile_x10y10_e6beg[2] ;
wire \tile_x10y10_e6beg[3] ;
wire \tile_x10y10_e6beg[4] ;
wire \tile_x10y10_e6beg[5] ;
wire \tile_x10y10_e6beg[6] ;
wire \tile_x10y10_e6beg[7] ;
wire \tile_x10y10_e6beg[8] ;
wire \tile_x10y10_e6beg[9] ;
wire \tile_x10y10_ee4beg[0] ;
wire \tile_x10y10_ee4beg[10] ;
wire \tile_x10y10_ee4beg[11] ;
wire \tile_x10y10_ee4beg[12] ;
wire \tile_x10y10_ee4beg[13] ;
wire \tile_x10y10_ee4beg[14] ;
wire \tile_x10y10_ee4beg[15] ;
wire \tile_x10y10_ee4beg[1] ;
wire \tile_x10y10_ee4beg[2] ;
wire \tile_x10y10_ee4beg[3] ;
wire \tile_x10y10_ee4beg[4] ;
wire \tile_x10y10_ee4beg[5] ;
wire \tile_x10y10_ee4beg[6] ;
wire \tile_x10y10_ee4beg[7] ;
wire \tile_x10y10_ee4beg[8] ;
wire \tile_x10y10_ee4beg[9] ;
wire \tile_x10y10_framedata_o[0] ;
wire \tile_x10y10_framedata_o[10] ;
wire \tile_x10y10_framedata_o[11] ;
wire \tile_x10y10_framedata_o[12] ;
wire \tile_x10y10_framedata_o[13] ;
wire \tile_x10y10_framedata_o[14] ;
wire \tile_x10y10_framedata_o[15] ;
wire \tile_x10y10_framedata_o[16] ;
wire \tile_x10y10_framedata_o[17] ;
wire \tile_x10y10_framedata_o[18] ;
wire \tile_x10y10_framedata_o[19] ;
wire \tile_x10y10_framedata_o[1] ;
wire \tile_x10y10_framedata_o[20] ;
wire \tile_x10y10_framedata_o[21] ;
wire \tile_x10y10_framedata_o[22] ;
wire \tile_x10y10_framedata_o[23] ;
wire \tile_x10y10_framedata_o[24] ;
wire \tile_x10y10_framedata_o[25] ;
wire \tile_x10y10_framedata_o[26] ;
wire \tile_x10y10_framedata_o[27] ;
wire \tile_x10y10_framedata_o[28] ;
wire \tile_x10y10_framedata_o[29] ;
wire \tile_x10y10_framedata_o[2] ;
wire \tile_x10y10_framedata_o[30] ;
wire \tile_x10y10_framedata_o[31] ;
wire \tile_x10y10_framedata_o[3] ;
wire \tile_x10y10_framedata_o[4] ;
wire \tile_x10y10_framedata_o[5] ;
wire \tile_x10y10_framedata_o[6] ;
wire \tile_x10y10_framedata_o[7] ;
wire \tile_x10y10_framedata_o[8] ;
wire \tile_x10y10_framedata_o[9] ;
wire \tile_x10y10_framestrobe_o[0] ;
wire \tile_x10y10_framestrobe_o[10] ;
wire \tile_x10y10_framestrobe_o[11] ;
wire \tile_x10y10_framestrobe_o[12] ;
wire \tile_x10y10_framestrobe_o[13] ;
wire \tile_x10y10_framestrobe_o[14] ;
wire \tile_x10y10_framestrobe_o[15] ;
wire \tile_x10y10_framestrobe_o[16] ;
wire \tile_x10y10_framestrobe_o[17] ;
wire \tile_x10y10_framestrobe_o[18] ;
wire \tile_x10y10_framestrobe_o[19] ;
wire \tile_x10y10_framestrobe_o[1] ;
wire \tile_x10y10_framestrobe_o[2] ;
wire \tile_x10y10_framestrobe_o[3] ;
wire \tile_x10y10_framestrobe_o[4] ;
wire \tile_x10y10_framestrobe_o[5] ;
wire \tile_x10y10_framestrobe_o[6] ;
wire \tile_x10y10_framestrobe_o[7] ;
wire \tile_x10y10_framestrobe_o[8] ;
wire \tile_x10y10_framestrobe_o[9] ;
wire \tile_x10y10_n1beg[0] ;
wire \tile_x10y10_n1beg[1] ;
wire \tile_x10y10_n1beg[2] ;
wire \tile_x10y10_n1beg[3] ;
wire \tile_x10y10_n2beg[0] ;
wire \tile_x10y10_n2beg[1] ;
wire \tile_x10y10_n2beg[2] ;
wire \tile_x10y10_n2beg[3] ;
wire \tile_x10y10_n2beg[4] ;
wire \tile_x10y10_n2beg[5] ;
wire \tile_x10y10_n2beg[6] ;
wire \tile_x10y10_n2beg[7] ;
wire \tile_x10y10_n2begb[0] ;
wire \tile_x10y10_n2begb[1] ;
wire \tile_x10y10_n2begb[2] ;
wire \tile_x10y10_n2begb[3] ;
wire \tile_x10y10_n2begb[4] ;
wire \tile_x10y10_n2begb[5] ;
wire \tile_x10y10_n2begb[6] ;
wire \tile_x10y10_n2begb[7] ;
wire \tile_x10y10_n4beg[0] ;
wire \tile_x10y10_n4beg[10] ;
wire \tile_x10y10_n4beg[11] ;
wire \tile_x10y10_n4beg[12] ;
wire \tile_x10y10_n4beg[13] ;
wire \tile_x10y10_n4beg[14] ;
wire \tile_x10y10_n4beg[15] ;
wire \tile_x10y10_n4beg[1] ;
wire \tile_x10y10_n4beg[2] ;
wire \tile_x10y10_n4beg[3] ;
wire \tile_x10y10_n4beg[4] ;
wire \tile_x10y10_n4beg[5] ;
wire \tile_x10y10_n4beg[6] ;
wire \tile_x10y10_n4beg[7] ;
wire \tile_x10y10_n4beg[8] ;
wire \tile_x10y10_n4beg[9] ;
wire \tile_x10y10_nn4beg[0] ;
wire \tile_x10y10_nn4beg[10] ;
wire \tile_x10y10_nn4beg[11] ;
wire \tile_x10y10_nn4beg[12] ;
wire \tile_x10y10_nn4beg[13] ;
wire \tile_x10y10_nn4beg[14] ;
wire \tile_x10y10_nn4beg[15] ;
wire \tile_x10y10_nn4beg[1] ;
wire \tile_x10y10_nn4beg[2] ;
wire \tile_x10y10_nn4beg[3] ;
wire \tile_x10y10_nn4beg[4] ;
wire \tile_x10y10_nn4beg[5] ;
wire \tile_x10y10_nn4beg[6] ;
wire \tile_x10y10_nn4beg[7] ;
wire \tile_x10y10_nn4beg[8] ;
wire \tile_x10y10_nn4beg[9] ;
wire \tile_x10y10_s1beg[0] ;
wire \tile_x10y10_s1beg[1] ;
wire \tile_x10y10_s1beg[2] ;
wire \tile_x10y10_s1beg[3] ;
wire \tile_x10y10_s2beg[0] ;
wire \tile_x10y10_s2beg[1] ;
wire \tile_x10y10_s2beg[2] ;
wire \tile_x10y10_s2beg[3] ;
wire \tile_x10y10_s2beg[4] ;
wire \tile_x10y10_s2beg[5] ;
wire \tile_x10y10_s2beg[6] ;
wire \tile_x10y10_s2beg[7] ;
wire \tile_x10y10_s2begb[0] ;
wire \tile_x10y10_s2begb[1] ;
wire \tile_x10y10_s2begb[2] ;
wire \tile_x10y10_s2begb[3] ;
wire \tile_x10y10_s2begb[4] ;
wire \tile_x10y10_s2begb[5] ;
wire \tile_x10y10_s2begb[6] ;
wire \tile_x10y10_s2begb[7] ;
wire \tile_x10y10_s4beg[0] ;
wire \tile_x10y10_s4beg[10] ;
wire \tile_x10y10_s4beg[11] ;
wire \tile_x10y10_s4beg[12] ;
wire \tile_x10y10_s4beg[13] ;
wire \tile_x10y10_s4beg[14] ;
wire \tile_x10y10_s4beg[15] ;
wire \tile_x10y10_s4beg[1] ;
wire \tile_x10y10_s4beg[2] ;
wire \tile_x10y10_s4beg[3] ;
wire \tile_x10y10_s4beg[4] ;
wire \tile_x10y10_s4beg[5] ;
wire \tile_x10y10_s4beg[6] ;
wire \tile_x10y10_s4beg[7] ;
wire \tile_x10y10_s4beg[8] ;
wire \tile_x10y10_s4beg[9] ;
wire \tile_x10y10_ss4beg[0] ;
wire \tile_x10y10_ss4beg[10] ;
wire \tile_x10y10_ss4beg[11] ;
wire \tile_x10y10_ss4beg[12] ;
wire \tile_x10y10_ss4beg[13] ;
wire \tile_x10y10_ss4beg[14] ;
wire \tile_x10y10_ss4beg[15] ;
wire \tile_x10y10_ss4beg[1] ;
wire \tile_x10y10_ss4beg[2] ;
wire \tile_x10y10_ss4beg[3] ;
wire \tile_x10y10_ss4beg[4] ;
wire \tile_x10y10_ss4beg[5] ;
wire \tile_x10y10_ss4beg[6] ;
wire \tile_x10y10_ss4beg[7] ;
wire \tile_x10y10_ss4beg[8] ;
wire \tile_x10y10_ss4beg[9] ;
wire tile_x10y10_userclko;
wire \tile_x10y10_w1beg[0] ;
wire \tile_x10y10_w1beg[1] ;
wire \tile_x10y10_w1beg[2] ;
wire \tile_x10y10_w1beg[3] ;
wire \tile_x10y10_w2beg[0] ;
wire \tile_x10y10_w2beg[1] ;
wire \tile_x10y10_w2beg[2] ;
wire \tile_x10y10_w2beg[3] ;
wire \tile_x10y10_w2beg[4] ;
wire \tile_x10y10_w2beg[5] ;
wire \tile_x10y10_w2beg[6] ;
wire \tile_x10y10_w2beg[7] ;
wire \tile_x10y10_w2begb[0] ;
wire \tile_x10y10_w2begb[1] ;
wire \tile_x10y10_w2begb[2] ;
wire \tile_x10y10_w2begb[3] ;
wire \tile_x10y10_w2begb[4] ;
wire \tile_x10y10_w2begb[5] ;
wire \tile_x10y10_w2begb[6] ;
wire \tile_x10y10_w2begb[7] ;
wire \tile_x10y10_w6beg[0] ;
wire \tile_x10y10_w6beg[10] ;
wire \tile_x10y10_w6beg[11] ;
wire \tile_x10y10_w6beg[1] ;
wire \tile_x10y10_w6beg[2] ;
wire \tile_x10y10_w6beg[3] ;
wire \tile_x10y10_w6beg[4] ;
wire \tile_x10y10_w6beg[5] ;
wire \tile_x10y10_w6beg[6] ;
wire \tile_x10y10_w6beg[7] ;
wire \tile_x10y10_w6beg[8] ;
wire \tile_x10y10_w6beg[9] ;
wire \tile_x10y10_ww4beg[0] ;
wire \tile_x10y10_ww4beg[10] ;
wire \tile_x10y10_ww4beg[11] ;
wire \tile_x10y10_ww4beg[12] ;
wire \tile_x10y10_ww4beg[13] ;
wire \tile_x10y10_ww4beg[14] ;
wire \tile_x10y10_ww4beg[15] ;
wire \tile_x10y10_ww4beg[1] ;
wire \tile_x10y10_ww4beg[2] ;
wire \tile_x10y10_ww4beg[3] ;
wire \tile_x10y10_ww4beg[4] ;
wire \tile_x10y10_ww4beg[5] ;
wire \tile_x10y10_ww4beg[6] ;
wire \tile_x10y10_ww4beg[7] ;
wire \tile_x10y10_ww4beg[8] ;
wire \tile_x10y10_ww4beg[9] ;
wire tile_x10y11_co;
wire \tile_x10y11_e1beg[0] ;
wire \tile_x10y11_e1beg[1] ;
wire \tile_x10y11_e1beg[2] ;
wire \tile_x10y11_e1beg[3] ;
wire \tile_x10y11_e2beg[0] ;
wire \tile_x10y11_e2beg[1] ;
wire \tile_x10y11_e2beg[2] ;
wire \tile_x10y11_e2beg[3] ;
wire \tile_x10y11_e2beg[4] ;
wire \tile_x10y11_e2beg[5] ;
wire \tile_x10y11_e2beg[6] ;
wire \tile_x10y11_e2beg[7] ;
wire \tile_x10y11_e2begb[0] ;
wire \tile_x10y11_e2begb[1] ;
wire \tile_x10y11_e2begb[2] ;
wire \tile_x10y11_e2begb[3] ;
wire \tile_x10y11_e2begb[4] ;
wire \tile_x10y11_e2begb[5] ;
wire \tile_x10y11_e2begb[6] ;
wire \tile_x10y11_e2begb[7] ;
wire \tile_x10y11_e6beg[0] ;
wire \tile_x10y11_e6beg[10] ;
wire \tile_x10y11_e6beg[11] ;
wire \tile_x10y11_e6beg[1] ;
wire \tile_x10y11_e6beg[2] ;
wire \tile_x10y11_e6beg[3] ;
wire \tile_x10y11_e6beg[4] ;
wire \tile_x10y11_e6beg[5] ;
wire \tile_x10y11_e6beg[6] ;
wire \tile_x10y11_e6beg[7] ;
wire \tile_x10y11_e6beg[8] ;
wire \tile_x10y11_e6beg[9] ;
wire \tile_x10y11_ee4beg[0] ;
wire \tile_x10y11_ee4beg[10] ;
wire \tile_x10y11_ee4beg[11] ;
wire \tile_x10y11_ee4beg[12] ;
wire \tile_x10y11_ee4beg[13] ;
wire \tile_x10y11_ee4beg[14] ;
wire \tile_x10y11_ee4beg[15] ;
wire \tile_x10y11_ee4beg[1] ;
wire \tile_x10y11_ee4beg[2] ;
wire \tile_x10y11_ee4beg[3] ;
wire \tile_x10y11_ee4beg[4] ;
wire \tile_x10y11_ee4beg[5] ;
wire \tile_x10y11_ee4beg[6] ;
wire \tile_x10y11_ee4beg[7] ;
wire \tile_x10y11_ee4beg[8] ;
wire \tile_x10y11_ee4beg[9] ;
wire \tile_x10y11_framedata_o[0] ;
wire \tile_x10y11_framedata_o[10] ;
wire \tile_x10y11_framedata_o[11] ;
wire \tile_x10y11_framedata_o[12] ;
wire \tile_x10y11_framedata_o[13] ;
wire \tile_x10y11_framedata_o[14] ;
wire \tile_x10y11_framedata_o[15] ;
wire \tile_x10y11_framedata_o[16] ;
wire \tile_x10y11_framedata_o[17] ;
wire \tile_x10y11_framedata_o[18] ;
wire \tile_x10y11_framedata_o[19] ;
wire \tile_x10y11_framedata_o[1] ;
wire \tile_x10y11_framedata_o[20] ;
wire \tile_x10y11_framedata_o[21] ;
wire \tile_x10y11_framedata_o[22] ;
wire \tile_x10y11_framedata_o[23] ;
wire \tile_x10y11_framedata_o[24] ;
wire \tile_x10y11_framedata_o[25] ;
wire \tile_x10y11_framedata_o[26] ;
wire \tile_x10y11_framedata_o[27] ;
wire \tile_x10y11_framedata_o[28] ;
wire \tile_x10y11_framedata_o[29] ;
wire \tile_x10y11_framedata_o[2] ;
wire \tile_x10y11_framedata_o[30] ;
wire \tile_x10y11_framedata_o[31] ;
wire \tile_x10y11_framedata_o[3] ;
wire \tile_x10y11_framedata_o[4] ;
wire \tile_x10y11_framedata_o[5] ;
wire \tile_x10y11_framedata_o[6] ;
wire \tile_x10y11_framedata_o[7] ;
wire \tile_x10y11_framedata_o[8] ;
wire \tile_x10y11_framedata_o[9] ;
wire \tile_x10y11_framestrobe_o[0] ;
wire \tile_x10y11_framestrobe_o[10] ;
wire \tile_x10y11_framestrobe_o[11] ;
wire \tile_x10y11_framestrobe_o[12] ;
wire \tile_x10y11_framestrobe_o[13] ;
wire \tile_x10y11_framestrobe_o[14] ;
wire \tile_x10y11_framestrobe_o[15] ;
wire \tile_x10y11_framestrobe_o[16] ;
wire \tile_x10y11_framestrobe_o[17] ;
wire \tile_x10y11_framestrobe_o[18] ;
wire \tile_x10y11_framestrobe_o[19] ;
wire \tile_x10y11_framestrobe_o[1] ;
wire \tile_x10y11_framestrobe_o[2] ;
wire \tile_x10y11_framestrobe_o[3] ;
wire \tile_x10y11_framestrobe_o[4] ;
wire \tile_x10y11_framestrobe_o[5] ;
wire \tile_x10y11_framestrobe_o[6] ;
wire \tile_x10y11_framestrobe_o[7] ;
wire \tile_x10y11_framestrobe_o[8] ;
wire \tile_x10y11_framestrobe_o[9] ;
wire \tile_x10y11_n1beg[0] ;
wire \tile_x10y11_n1beg[1] ;
wire \tile_x10y11_n1beg[2] ;
wire \tile_x10y11_n1beg[3] ;
wire \tile_x10y11_n2beg[0] ;
wire \tile_x10y11_n2beg[1] ;
wire \tile_x10y11_n2beg[2] ;
wire \tile_x10y11_n2beg[3] ;
wire \tile_x10y11_n2beg[4] ;
wire \tile_x10y11_n2beg[5] ;
wire \tile_x10y11_n2beg[6] ;
wire \tile_x10y11_n2beg[7] ;
wire \tile_x10y11_n2begb[0] ;
wire \tile_x10y11_n2begb[1] ;
wire \tile_x10y11_n2begb[2] ;
wire \tile_x10y11_n2begb[3] ;
wire \tile_x10y11_n2begb[4] ;
wire \tile_x10y11_n2begb[5] ;
wire \tile_x10y11_n2begb[6] ;
wire \tile_x10y11_n2begb[7] ;
wire \tile_x10y11_n4beg[0] ;
wire \tile_x10y11_n4beg[10] ;
wire \tile_x10y11_n4beg[11] ;
wire \tile_x10y11_n4beg[12] ;
wire \tile_x10y11_n4beg[13] ;
wire \tile_x10y11_n4beg[14] ;
wire \tile_x10y11_n4beg[15] ;
wire \tile_x10y11_n4beg[1] ;
wire \tile_x10y11_n4beg[2] ;
wire \tile_x10y11_n4beg[3] ;
wire \tile_x10y11_n4beg[4] ;
wire \tile_x10y11_n4beg[5] ;
wire \tile_x10y11_n4beg[6] ;
wire \tile_x10y11_n4beg[7] ;
wire \tile_x10y11_n4beg[8] ;
wire \tile_x10y11_n4beg[9] ;
wire \tile_x10y11_nn4beg[0] ;
wire \tile_x10y11_nn4beg[10] ;
wire \tile_x10y11_nn4beg[11] ;
wire \tile_x10y11_nn4beg[12] ;
wire \tile_x10y11_nn4beg[13] ;
wire \tile_x10y11_nn4beg[14] ;
wire \tile_x10y11_nn4beg[15] ;
wire \tile_x10y11_nn4beg[1] ;
wire \tile_x10y11_nn4beg[2] ;
wire \tile_x10y11_nn4beg[3] ;
wire \tile_x10y11_nn4beg[4] ;
wire \tile_x10y11_nn4beg[5] ;
wire \tile_x10y11_nn4beg[6] ;
wire \tile_x10y11_nn4beg[7] ;
wire \tile_x10y11_nn4beg[8] ;
wire \tile_x10y11_nn4beg[9] ;
wire \tile_x10y11_s1beg[0] ;
wire \tile_x10y11_s1beg[1] ;
wire \tile_x10y11_s1beg[2] ;
wire \tile_x10y11_s1beg[3] ;
wire \tile_x10y11_s2beg[0] ;
wire \tile_x10y11_s2beg[1] ;
wire \tile_x10y11_s2beg[2] ;
wire \tile_x10y11_s2beg[3] ;
wire \tile_x10y11_s2beg[4] ;
wire \tile_x10y11_s2beg[5] ;
wire \tile_x10y11_s2beg[6] ;
wire \tile_x10y11_s2beg[7] ;
wire \tile_x10y11_s2begb[0] ;
wire \tile_x10y11_s2begb[1] ;
wire \tile_x10y11_s2begb[2] ;
wire \tile_x10y11_s2begb[3] ;
wire \tile_x10y11_s2begb[4] ;
wire \tile_x10y11_s2begb[5] ;
wire \tile_x10y11_s2begb[6] ;
wire \tile_x10y11_s2begb[7] ;
wire \tile_x10y11_s4beg[0] ;
wire \tile_x10y11_s4beg[10] ;
wire \tile_x10y11_s4beg[11] ;
wire \tile_x10y11_s4beg[12] ;
wire \tile_x10y11_s4beg[13] ;
wire \tile_x10y11_s4beg[14] ;
wire \tile_x10y11_s4beg[15] ;
wire \tile_x10y11_s4beg[1] ;
wire \tile_x10y11_s4beg[2] ;
wire \tile_x10y11_s4beg[3] ;
wire \tile_x10y11_s4beg[4] ;
wire \tile_x10y11_s4beg[5] ;
wire \tile_x10y11_s4beg[6] ;
wire \tile_x10y11_s4beg[7] ;
wire \tile_x10y11_s4beg[8] ;
wire \tile_x10y11_s4beg[9] ;
wire \tile_x10y11_ss4beg[0] ;
wire \tile_x10y11_ss4beg[10] ;
wire \tile_x10y11_ss4beg[11] ;
wire \tile_x10y11_ss4beg[12] ;
wire \tile_x10y11_ss4beg[13] ;
wire \tile_x10y11_ss4beg[14] ;
wire \tile_x10y11_ss4beg[15] ;
wire \tile_x10y11_ss4beg[1] ;
wire \tile_x10y11_ss4beg[2] ;
wire \tile_x10y11_ss4beg[3] ;
wire \tile_x10y11_ss4beg[4] ;
wire \tile_x10y11_ss4beg[5] ;
wire \tile_x10y11_ss4beg[6] ;
wire \tile_x10y11_ss4beg[7] ;
wire \tile_x10y11_ss4beg[8] ;
wire \tile_x10y11_ss4beg[9] ;
wire tile_x10y11_userclko;
wire \tile_x10y11_w1beg[0] ;
wire \tile_x10y11_w1beg[1] ;
wire \tile_x10y11_w1beg[2] ;
wire \tile_x10y11_w1beg[3] ;
wire \tile_x10y11_w2beg[0] ;
wire \tile_x10y11_w2beg[1] ;
wire \tile_x10y11_w2beg[2] ;
wire \tile_x10y11_w2beg[3] ;
wire \tile_x10y11_w2beg[4] ;
wire \tile_x10y11_w2beg[5] ;
wire \tile_x10y11_w2beg[6] ;
wire \tile_x10y11_w2beg[7] ;
wire \tile_x10y11_w2begb[0] ;
wire \tile_x10y11_w2begb[1] ;
wire \tile_x10y11_w2begb[2] ;
wire \tile_x10y11_w2begb[3] ;
wire \tile_x10y11_w2begb[4] ;
wire \tile_x10y11_w2begb[5] ;
wire \tile_x10y11_w2begb[6] ;
wire \tile_x10y11_w2begb[7] ;
wire \tile_x10y11_w6beg[0] ;
wire \tile_x10y11_w6beg[10] ;
wire \tile_x10y11_w6beg[11] ;
wire \tile_x10y11_w6beg[1] ;
wire \tile_x10y11_w6beg[2] ;
wire \tile_x10y11_w6beg[3] ;
wire \tile_x10y11_w6beg[4] ;
wire \tile_x10y11_w6beg[5] ;
wire \tile_x10y11_w6beg[6] ;
wire \tile_x10y11_w6beg[7] ;
wire \tile_x10y11_w6beg[8] ;
wire \tile_x10y11_w6beg[9] ;
wire \tile_x10y11_ww4beg[0] ;
wire \tile_x10y11_ww4beg[10] ;
wire \tile_x10y11_ww4beg[11] ;
wire \tile_x10y11_ww4beg[12] ;
wire \tile_x10y11_ww4beg[13] ;
wire \tile_x10y11_ww4beg[14] ;
wire \tile_x10y11_ww4beg[15] ;
wire \tile_x10y11_ww4beg[1] ;
wire \tile_x10y11_ww4beg[2] ;
wire \tile_x10y11_ww4beg[3] ;
wire \tile_x10y11_ww4beg[4] ;
wire \tile_x10y11_ww4beg[5] ;
wire \tile_x10y11_ww4beg[6] ;
wire \tile_x10y11_ww4beg[7] ;
wire \tile_x10y11_ww4beg[8] ;
wire \tile_x10y11_ww4beg[9] ;
wire tile_x10y12_co;
wire \tile_x10y12_e1beg[0] ;
wire \tile_x10y12_e1beg[1] ;
wire \tile_x10y12_e1beg[2] ;
wire \tile_x10y12_e1beg[3] ;
wire \tile_x10y12_e2beg[0] ;
wire \tile_x10y12_e2beg[1] ;
wire \tile_x10y12_e2beg[2] ;
wire \tile_x10y12_e2beg[3] ;
wire \tile_x10y12_e2beg[4] ;
wire \tile_x10y12_e2beg[5] ;
wire \tile_x10y12_e2beg[6] ;
wire \tile_x10y12_e2beg[7] ;
wire \tile_x10y12_e2begb[0] ;
wire \tile_x10y12_e2begb[1] ;
wire \tile_x10y12_e2begb[2] ;
wire \tile_x10y12_e2begb[3] ;
wire \tile_x10y12_e2begb[4] ;
wire \tile_x10y12_e2begb[5] ;
wire \tile_x10y12_e2begb[6] ;
wire \tile_x10y12_e2begb[7] ;
wire \tile_x10y12_e6beg[0] ;
wire \tile_x10y12_e6beg[10] ;
wire \tile_x10y12_e6beg[11] ;
wire \tile_x10y12_e6beg[1] ;
wire \tile_x10y12_e6beg[2] ;
wire \tile_x10y12_e6beg[3] ;
wire \tile_x10y12_e6beg[4] ;
wire \tile_x10y12_e6beg[5] ;
wire \tile_x10y12_e6beg[6] ;
wire \tile_x10y12_e6beg[7] ;
wire \tile_x10y12_e6beg[8] ;
wire \tile_x10y12_e6beg[9] ;
wire \tile_x10y12_ee4beg[0] ;
wire \tile_x10y12_ee4beg[10] ;
wire \tile_x10y12_ee4beg[11] ;
wire \tile_x10y12_ee4beg[12] ;
wire \tile_x10y12_ee4beg[13] ;
wire \tile_x10y12_ee4beg[14] ;
wire \tile_x10y12_ee4beg[15] ;
wire \tile_x10y12_ee4beg[1] ;
wire \tile_x10y12_ee4beg[2] ;
wire \tile_x10y12_ee4beg[3] ;
wire \tile_x10y12_ee4beg[4] ;
wire \tile_x10y12_ee4beg[5] ;
wire \tile_x10y12_ee4beg[6] ;
wire \tile_x10y12_ee4beg[7] ;
wire \tile_x10y12_ee4beg[8] ;
wire \tile_x10y12_ee4beg[9] ;
wire \tile_x10y12_framedata_o[0] ;
wire \tile_x10y12_framedata_o[10] ;
wire \tile_x10y12_framedata_o[11] ;
wire \tile_x10y12_framedata_o[12] ;
wire \tile_x10y12_framedata_o[13] ;
wire \tile_x10y12_framedata_o[14] ;
wire \tile_x10y12_framedata_o[15] ;
wire \tile_x10y12_framedata_o[16] ;
wire \tile_x10y12_framedata_o[17] ;
wire \tile_x10y12_framedata_o[18] ;
wire \tile_x10y12_framedata_o[19] ;
wire \tile_x10y12_framedata_o[1] ;
wire \tile_x10y12_framedata_o[20] ;
wire \tile_x10y12_framedata_o[21] ;
wire \tile_x10y12_framedata_o[22] ;
wire \tile_x10y12_framedata_o[23] ;
wire \tile_x10y12_framedata_o[24] ;
wire \tile_x10y12_framedata_o[25] ;
wire \tile_x10y12_framedata_o[26] ;
wire \tile_x10y12_framedata_o[27] ;
wire \tile_x10y12_framedata_o[28] ;
wire \tile_x10y12_framedata_o[29] ;
wire \tile_x10y12_framedata_o[2] ;
wire \tile_x10y12_framedata_o[30] ;
wire \tile_x10y12_framedata_o[31] ;
wire \tile_x10y12_framedata_o[3] ;
wire \tile_x10y12_framedata_o[4] ;
wire \tile_x10y12_framedata_o[5] ;
wire \tile_x10y12_framedata_o[6] ;
wire \tile_x10y12_framedata_o[7] ;
wire \tile_x10y12_framedata_o[8] ;
wire \tile_x10y12_framedata_o[9] ;
wire \tile_x10y12_framestrobe_o[0] ;
wire \tile_x10y12_framestrobe_o[10] ;
wire \tile_x10y12_framestrobe_o[11] ;
wire \tile_x10y12_framestrobe_o[12] ;
wire \tile_x10y12_framestrobe_o[13] ;
wire \tile_x10y12_framestrobe_o[14] ;
wire \tile_x10y12_framestrobe_o[15] ;
wire \tile_x10y12_framestrobe_o[16] ;
wire \tile_x10y12_framestrobe_o[17] ;
wire \tile_x10y12_framestrobe_o[18] ;
wire \tile_x10y12_framestrobe_o[19] ;
wire \tile_x10y12_framestrobe_o[1] ;
wire \tile_x10y12_framestrobe_o[2] ;
wire \tile_x10y12_framestrobe_o[3] ;
wire \tile_x10y12_framestrobe_o[4] ;
wire \tile_x10y12_framestrobe_o[5] ;
wire \tile_x10y12_framestrobe_o[6] ;
wire \tile_x10y12_framestrobe_o[7] ;
wire \tile_x10y12_framestrobe_o[8] ;
wire \tile_x10y12_framestrobe_o[9] ;
wire \tile_x10y12_n1beg[0] ;
wire \tile_x10y12_n1beg[1] ;
wire \tile_x10y12_n1beg[2] ;
wire \tile_x10y12_n1beg[3] ;
wire \tile_x10y12_n2beg[0] ;
wire \tile_x10y12_n2beg[1] ;
wire \tile_x10y12_n2beg[2] ;
wire \tile_x10y12_n2beg[3] ;
wire \tile_x10y12_n2beg[4] ;
wire \tile_x10y12_n2beg[5] ;
wire \tile_x10y12_n2beg[6] ;
wire \tile_x10y12_n2beg[7] ;
wire \tile_x10y12_n2begb[0] ;
wire \tile_x10y12_n2begb[1] ;
wire \tile_x10y12_n2begb[2] ;
wire \tile_x10y12_n2begb[3] ;
wire \tile_x10y12_n2begb[4] ;
wire \tile_x10y12_n2begb[5] ;
wire \tile_x10y12_n2begb[6] ;
wire \tile_x10y12_n2begb[7] ;
wire \tile_x10y12_n4beg[0] ;
wire \tile_x10y12_n4beg[10] ;
wire \tile_x10y12_n4beg[11] ;
wire \tile_x10y12_n4beg[12] ;
wire \tile_x10y12_n4beg[13] ;
wire \tile_x10y12_n4beg[14] ;
wire \tile_x10y12_n4beg[15] ;
wire \tile_x10y12_n4beg[1] ;
wire \tile_x10y12_n4beg[2] ;
wire \tile_x10y12_n4beg[3] ;
wire \tile_x10y12_n4beg[4] ;
wire \tile_x10y12_n4beg[5] ;
wire \tile_x10y12_n4beg[6] ;
wire \tile_x10y12_n4beg[7] ;
wire \tile_x10y12_n4beg[8] ;
wire \tile_x10y12_n4beg[9] ;
wire \tile_x10y12_nn4beg[0] ;
wire \tile_x10y12_nn4beg[10] ;
wire \tile_x10y12_nn4beg[11] ;
wire \tile_x10y12_nn4beg[12] ;
wire \tile_x10y12_nn4beg[13] ;
wire \tile_x10y12_nn4beg[14] ;
wire \tile_x10y12_nn4beg[15] ;
wire \tile_x10y12_nn4beg[1] ;
wire \tile_x10y12_nn4beg[2] ;
wire \tile_x10y12_nn4beg[3] ;
wire \tile_x10y12_nn4beg[4] ;
wire \tile_x10y12_nn4beg[5] ;
wire \tile_x10y12_nn4beg[6] ;
wire \tile_x10y12_nn4beg[7] ;
wire \tile_x10y12_nn4beg[8] ;
wire \tile_x10y12_nn4beg[9] ;
wire \tile_x10y12_s1beg[0] ;
wire \tile_x10y12_s1beg[1] ;
wire \tile_x10y12_s1beg[2] ;
wire \tile_x10y12_s1beg[3] ;
wire \tile_x10y12_s2beg[0] ;
wire \tile_x10y12_s2beg[1] ;
wire \tile_x10y12_s2beg[2] ;
wire \tile_x10y12_s2beg[3] ;
wire \tile_x10y12_s2beg[4] ;
wire \tile_x10y12_s2beg[5] ;
wire \tile_x10y12_s2beg[6] ;
wire \tile_x10y12_s2beg[7] ;
wire \tile_x10y12_s2begb[0] ;
wire \tile_x10y12_s2begb[1] ;
wire \tile_x10y12_s2begb[2] ;
wire \tile_x10y12_s2begb[3] ;
wire \tile_x10y12_s2begb[4] ;
wire \tile_x10y12_s2begb[5] ;
wire \tile_x10y12_s2begb[6] ;
wire \tile_x10y12_s2begb[7] ;
wire \tile_x10y12_s4beg[0] ;
wire \tile_x10y12_s4beg[10] ;
wire \tile_x10y12_s4beg[11] ;
wire \tile_x10y12_s4beg[12] ;
wire \tile_x10y12_s4beg[13] ;
wire \tile_x10y12_s4beg[14] ;
wire \tile_x10y12_s4beg[15] ;
wire \tile_x10y12_s4beg[1] ;
wire \tile_x10y12_s4beg[2] ;
wire \tile_x10y12_s4beg[3] ;
wire \tile_x10y12_s4beg[4] ;
wire \tile_x10y12_s4beg[5] ;
wire \tile_x10y12_s4beg[6] ;
wire \tile_x10y12_s4beg[7] ;
wire \tile_x10y12_s4beg[8] ;
wire \tile_x10y12_s4beg[9] ;
wire \tile_x10y12_ss4beg[0] ;
wire \tile_x10y12_ss4beg[10] ;
wire \tile_x10y12_ss4beg[11] ;
wire \tile_x10y12_ss4beg[12] ;
wire \tile_x10y12_ss4beg[13] ;
wire \tile_x10y12_ss4beg[14] ;
wire \tile_x10y12_ss4beg[15] ;
wire \tile_x10y12_ss4beg[1] ;
wire \tile_x10y12_ss4beg[2] ;
wire \tile_x10y12_ss4beg[3] ;
wire \tile_x10y12_ss4beg[4] ;
wire \tile_x10y12_ss4beg[5] ;
wire \tile_x10y12_ss4beg[6] ;
wire \tile_x10y12_ss4beg[7] ;
wire \tile_x10y12_ss4beg[8] ;
wire \tile_x10y12_ss4beg[9] ;
wire tile_x10y12_userclko;
wire \tile_x10y12_w1beg[0] ;
wire \tile_x10y12_w1beg[1] ;
wire \tile_x10y12_w1beg[2] ;
wire \tile_x10y12_w1beg[3] ;
wire \tile_x10y12_w2beg[0] ;
wire \tile_x10y12_w2beg[1] ;
wire \tile_x10y12_w2beg[2] ;
wire \tile_x10y12_w2beg[3] ;
wire \tile_x10y12_w2beg[4] ;
wire \tile_x10y12_w2beg[5] ;
wire \tile_x10y12_w2beg[6] ;
wire \tile_x10y12_w2beg[7] ;
wire \tile_x10y12_w2begb[0] ;
wire \tile_x10y12_w2begb[1] ;
wire \tile_x10y12_w2begb[2] ;
wire \tile_x10y12_w2begb[3] ;
wire \tile_x10y12_w2begb[4] ;
wire \tile_x10y12_w2begb[5] ;
wire \tile_x10y12_w2begb[6] ;
wire \tile_x10y12_w2begb[7] ;
wire \tile_x10y12_w6beg[0] ;
wire \tile_x10y12_w6beg[10] ;
wire \tile_x10y12_w6beg[11] ;
wire \tile_x10y12_w6beg[1] ;
wire \tile_x10y12_w6beg[2] ;
wire \tile_x10y12_w6beg[3] ;
wire \tile_x10y12_w6beg[4] ;
wire \tile_x10y12_w6beg[5] ;
wire \tile_x10y12_w6beg[6] ;
wire \tile_x10y12_w6beg[7] ;
wire \tile_x10y12_w6beg[8] ;
wire \tile_x10y12_w6beg[9] ;
wire \tile_x10y12_ww4beg[0] ;
wire \tile_x10y12_ww4beg[10] ;
wire \tile_x10y12_ww4beg[11] ;
wire \tile_x10y12_ww4beg[12] ;
wire \tile_x10y12_ww4beg[13] ;
wire \tile_x10y12_ww4beg[14] ;
wire \tile_x10y12_ww4beg[15] ;
wire \tile_x10y12_ww4beg[1] ;
wire \tile_x10y12_ww4beg[2] ;
wire \tile_x10y12_ww4beg[3] ;
wire \tile_x10y12_ww4beg[4] ;
wire \tile_x10y12_ww4beg[5] ;
wire \tile_x10y12_ww4beg[6] ;
wire \tile_x10y12_ww4beg[7] ;
wire \tile_x10y12_ww4beg[8] ;
wire \tile_x10y12_ww4beg[9] ;
wire tile_x10y13_co;
wire \tile_x10y13_e1beg[0] ;
wire \tile_x10y13_e1beg[1] ;
wire \tile_x10y13_e1beg[2] ;
wire \tile_x10y13_e1beg[3] ;
wire \tile_x10y13_e2beg[0] ;
wire \tile_x10y13_e2beg[1] ;
wire \tile_x10y13_e2beg[2] ;
wire \tile_x10y13_e2beg[3] ;
wire \tile_x10y13_e2beg[4] ;
wire \tile_x10y13_e2beg[5] ;
wire \tile_x10y13_e2beg[6] ;
wire \tile_x10y13_e2beg[7] ;
wire \tile_x10y13_e2begb[0] ;
wire \tile_x10y13_e2begb[1] ;
wire \tile_x10y13_e2begb[2] ;
wire \tile_x10y13_e2begb[3] ;
wire \tile_x10y13_e2begb[4] ;
wire \tile_x10y13_e2begb[5] ;
wire \tile_x10y13_e2begb[6] ;
wire \tile_x10y13_e2begb[7] ;
wire \tile_x10y13_e6beg[0] ;
wire \tile_x10y13_e6beg[10] ;
wire \tile_x10y13_e6beg[11] ;
wire \tile_x10y13_e6beg[1] ;
wire \tile_x10y13_e6beg[2] ;
wire \tile_x10y13_e6beg[3] ;
wire \tile_x10y13_e6beg[4] ;
wire \tile_x10y13_e6beg[5] ;
wire \tile_x10y13_e6beg[6] ;
wire \tile_x10y13_e6beg[7] ;
wire \tile_x10y13_e6beg[8] ;
wire \tile_x10y13_e6beg[9] ;
wire \tile_x10y13_ee4beg[0] ;
wire \tile_x10y13_ee4beg[10] ;
wire \tile_x10y13_ee4beg[11] ;
wire \tile_x10y13_ee4beg[12] ;
wire \tile_x10y13_ee4beg[13] ;
wire \tile_x10y13_ee4beg[14] ;
wire \tile_x10y13_ee4beg[15] ;
wire \tile_x10y13_ee4beg[1] ;
wire \tile_x10y13_ee4beg[2] ;
wire \tile_x10y13_ee4beg[3] ;
wire \tile_x10y13_ee4beg[4] ;
wire \tile_x10y13_ee4beg[5] ;
wire \tile_x10y13_ee4beg[6] ;
wire \tile_x10y13_ee4beg[7] ;
wire \tile_x10y13_ee4beg[8] ;
wire \tile_x10y13_ee4beg[9] ;
wire \tile_x10y13_framedata_o[0] ;
wire \tile_x10y13_framedata_o[10] ;
wire \tile_x10y13_framedata_o[11] ;
wire \tile_x10y13_framedata_o[12] ;
wire \tile_x10y13_framedata_o[13] ;
wire \tile_x10y13_framedata_o[14] ;
wire \tile_x10y13_framedata_o[15] ;
wire \tile_x10y13_framedata_o[16] ;
wire \tile_x10y13_framedata_o[17] ;
wire \tile_x10y13_framedata_o[18] ;
wire \tile_x10y13_framedata_o[19] ;
wire \tile_x10y13_framedata_o[1] ;
wire \tile_x10y13_framedata_o[20] ;
wire \tile_x10y13_framedata_o[21] ;
wire \tile_x10y13_framedata_o[22] ;
wire \tile_x10y13_framedata_o[23] ;
wire \tile_x10y13_framedata_o[24] ;
wire \tile_x10y13_framedata_o[25] ;
wire \tile_x10y13_framedata_o[26] ;
wire \tile_x10y13_framedata_o[27] ;
wire \tile_x10y13_framedata_o[28] ;
wire \tile_x10y13_framedata_o[29] ;
wire \tile_x10y13_framedata_o[2] ;
wire \tile_x10y13_framedata_o[30] ;
wire \tile_x10y13_framedata_o[31] ;
wire \tile_x10y13_framedata_o[3] ;
wire \tile_x10y13_framedata_o[4] ;
wire \tile_x10y13_framedata_o[5] ;
wire \tile_x10y13_framedata_o[6] ;
wire \tile_x10y13_framedata_o[7] ;
wire \tile_x10y13_framedata_o[8] ;
wire \tile_x10y13_framedata_o[9] ;
wire \tile_x10y13_framestrobe_o[0] ;
wire \tile_x10y13_framestrobe_o[10] ;
wire \tile_x10y13_framestrobe_o[11] ;
wire \tile_x10y13_framestrobe_o[12] ;
wire \tile_x10y13_framestrobe_o[13] ;
wire \tile_x10y13_framestrobe_o[14] ;
wire \tile_x10y13_framestrobe_o[15] ;
wire \tile_x10y13_framestrobe_o[16] ;
wire \tile_x10y13_framestrobe_o[17] ;
wire \tile_x10y13_framestrobe_o[18] ;
wire \tile_x10y13_framestrobe_o[19] ;
wire \tile_x10y13_framestrobe_o[1] ;
wire \tile_x10y13_framestrobe_o[2] ;
wire \tile_x10y13_framestrobe_o[3] ;
wire \tile_x10y13_framestrobe_o[4] ;
wire \tile_x10y13_framestrobe_o[5] ;
wire \tile_x10y13_framestrobe_o[6] ;
wire \tile_x10y13_framestrobe_o[7] ;
wire \tile_x10y13_framestrobe_o[8] ;
wire \tile_x10y13_framestrobe_o[9] ;
wire \tile_x10y13_n1beg[0] ;
wire \tile_x10y13_n1beg[1] ;
wire \tile_x10y13_n1beg[2] ;
wire \tile_x10y13_n1beg[3] ;
wire \tile_x10y13_n2beg[0] ;
wire \tile_x10y13_n2beg[1] ;
wire \tile_x10y13_n2beg[2] ;
wire \tile_x10y13_n2beg[3] ;
wire \tile_x10y13_n2beg[4] ;
wire \tile_x10y13_n2beg[5] ;
wire \tile_x10y13_n2beg[6] ;
wire \tile_x10y13_n2beg[7] ;
wire \tile_x10y13_n2begb[0] ;
wire \tile_x10y13_n2begb[1] ;
wire \tile_x10y13_n2begb[2] ;
wire \tile_x10y13_n2begb[3] ;
wire \tile_x10y13_n2begb[4] ;
wire \tile_x10y13_n2begb[5] ;
wire \tile_x10y13_n2begb[6] ;
wire \tile_x10y13_n2begb[7] ;
wire \tile_x10y13_n4beg[0] ;
wire \tile_x10y13_n4beg[10] ;
wire \tile_x10y13_n4beg[11] ;
wire \tile_x10y13_n4beg[12] ;
wire \tile_x10y13_n4beg[13] ;
wire \tile_x10y13_n4beg[14] ;
wire \tile_x10y13_n4beg[15] ;
wire \tile_x10y13_n4beg[1] ;
wire \tile_x10y13_n4beg[2] ;
wire \tile_x10y13_n4beg[3] ;
wire \tile_x10y13_n4beg[4] ;
wire \tile_x10y13_n4beg[5] ;
wire \tile_x10y13_n4beg[6] ;
wire \tile_x10y13_n4beg[7] ;
wire \tile_x10y13_n4beg[8] ;
wire \tile_x10y13_n4beg[9] ;
wire \tile_x10y13_nn4beg[0] ;
wire \tile_x10y13_nn4beg[10] ;
wire \tile_x10y13_nn4beg[11] ;
wire \tile_x10y13_nn4beg[12] ;
wire \tile_x10y13_nn4beg[13] ;
wire \tile_x10y13_nn4beg[14] ;
wire \tile_x10y13_nn4beg[15] ;
wire \tile_x10y13_nn4beg[1] ;
wire \tile_x10y13_nn4beg[2] ;
wire \tile_x10y13_nn4beg[3] ;
wire \tile_x10y13_nn4beg[4] ;
wire \tile_x10y13_nn4beg[5] ;
wire \tile_x10y13_nn4beg[6] ;
wire \tile_x10y13_nn4beg[7] ;
wire \tile_x10y13_nn4beg[8] ;
wire \tile_x10y13_nn4beg[9] ;
wire \tile_x10y13_s1beg[0] ;
wire \tile_x10y13_s1beg[1] ;
wire \tile_x10y13_s1beg[2] ;
wire \tile_x10y13_s1beg[3] ;
wire \tile_x10y13_s2beg[0] ;
wire \tile_x10y13_s2beg[1] ;
wire \tile_x10y13_s2beg[2] ;
wire \tile_x10y13_s2beg[3] ;
wire \tile_x10y13_s2beg[4] ;
wire \tile_x10y13_s2beg[5] ;
wire \tile_x10y13_s2beg[6] ;
wire \tile_x10y13_s2beg[7] ;
wire \tile_x10y13_s2begb[0] ;
wire \tile_x10y13_s2begb[1] ;
wire \tile_x10y13_s2begb[2] ;
wire \tile_x10y13_s2begb[3] ;
wire \tile_x10y13_s2begb[4] ;
wire \tile_x10y13_s2begb[5] ;
wire \tile_x10y13_s2begb[6] ;
wire \tile_x10y13_s2begb[7] ;
wire \tile_x10y13_s4beg[0] ;
wire \tile_x10y13_s4beg[10] ;
wire \tile_x10y13_s4beg[11] ;
wire \tile_x10y13_s4beg[12] ;
wire \tile_x10y13_s4beg[13] ;
wire \tile_x10y13_s4beg[14] ;
wire \tile_x10y13_s4beg[15] ;
wire \tile_x10y13_s4beg[1] ;
wire \tile_x10y13_s4beg[2] ;
wire \tile_x10y13_s4beg[3] ;
wire \tile_x10y13_s4beg[4] ;
wire \tile_x10y13_s4beg[5] ;
wire \tile_x10y13_s4beg[6] ;
wire \tile_x10y13_s4beg[7] ;
wire \tile_x10y13_s4beg[8] ;
wire \tile_x10y13_s4beg[9] ;
wire \tile_x10y13_ss4beg[0] ;
wire \tile_x10y13_ss4beg[10] ;
wire \tile_x10y13_ss4beg[11] ;
wire \tile_x10y13_ss4beg[12] ;
wire \tile_x10y13_ss4beg[13] ;
wire \tile_x10y13_ss4beg[14] ;
wire \tile_x10y13_ss4beg[15] ;
wire \tile_x10y13_ss4beg[1] ;
wire \tile_x10y13_ss4beg[2] ;
wire \tile_x10y13_ss4beg[3] ;
wire \tile_x10y13_ss4beg[4] ;
wire \tile_x10y13_ss4beg[5] ;
wire \tile_x10y13_ss4beg[6] ;
wire \tile_x10y13_ss4beg[7] ;
wire \tile_x10y13_ss4beg[8] ;
wire \tile_x10y13_ss4beg[9] ;
wire tile_x10y13_userclko;
wire \tile_x10y13_w1beg[0] ;
wire \tile_x10y13_w1beg[1] ;
wire \tile_x10y13_w1beg[2] ;
wire \tile_x10y13_w1beg[3] ;
wire \tile_x10y13_w2beg[0] ;
wire \tile_x10y13_w2beg[1] ;
wire \tile_x10y13_w2beg[2] ;
wire \tile_x10y13_w2beg[3] ;
wire \tile_x10y13_w2beg[4] ;
wire \tile_x10y13_w2beg[5] ;
wire \tile_x10y13_w2beg[6] ;
wire \tile_x10y13_w2beg[7] ;
wire \tile_x10y13_w2begb[0] ;
wire \tile_x10y13_w2begb[1] ;
wire \tile_x10y13_w2begb[2] ;
wire \tile_x10y13_w2begb[3] ;
wire \tile_x10y13_w2begb[4] ;
wire \tile_x10y13_w2begb[5] ;
wire \tile_x10y13_w2begb[6] ;
wire \tile_x10y13_w2begb[7] ;
wire \tile_x10y13_w6beg[0] ;
wire \tile_x10y13_w6beg[10] ;
wire \tile_x10y13_w6beg[11] ;
wire \tile_x10y13_w6beg[1] ;
wire \tile_x10y13_w6beg[2] ;
wire \tile_x10y13_w6beg[3] ;
wire \tile_x10y13_w6beg[4] ;
wire \tile_x10y13_w6beg[5] ;
wire \tile_x10y13_w6beg[6] ;
wire \tile_x10y13_w6beg[7] ;
wire \tile_x10y13_w6beg[8] ;
wire \tile_x10y13_w6beg[9] ;
wire \tile_x10y13_ww4beg[0] ;
wire \tile_x10y13_ww4beg[10] ;
wire \tile_x10y13_ww4beg[11] ;
wire \tile_x10y13_ww4beg[12] ;
wire \tile_x10y13_ww4beg[13] ;
wire \tile_x10y13_ww4beg[14] ;
wire \tile_x10y13_ww4beg[15] ;
wire \tile_x10y13_ww4beg[1] ;
wire \tile_x10y13_ww4beg[2] ;
wire \tile_x10y13_ww4beg[3] ;
wire \tile_x10y13_ww4beg[4] ;
wire \tile_x10y13_ww4beg[5] ;
wire \tile_x10y13_ww4beg[6] ;
wire \tile_x10y13_ww4beg[7] ;
wire \tile_x10y13_ww4beg[8] ;
wire \tile_x10y13_ww4beg[9] ;
wire tile_x10y14_co;
wire \tile_x10y14_e1beg[0] ;
wire \tile_x10y14_e1beg[1] ;
wire \tile_x10y14_e1beg[2] ;
wire \tile_x10y14_e1beg[3] ;
wire \tile_x10y14_e2beg[0] ;
wire \tile_x10y14_e2beg[1] ;
wire \tile_x10y14_e2beg[2] ;
wire \tile_x10y14_e2beg[3] ;
wire \tile_x10y14_e2beg[4] ;
wire \tile_x10y14_e2beg[5] ;
wire \tile_x10y14_e2beg[6] ;
wire \tile_x10y14_e2beg[7] ;
wire \tile_x10y14_e2begb[0] ;
wire \tile_x10y14_e2begb[1] ;
wire \tile_x10y14_e2begb[2] ;
wire \tile_x10y14_e2begb[3] ;
wire \tile_x10y14_e2begb[4] ;
wire \tile_x10y14_e2begb[5] ;
wire \tile_x10y14_e2begb[6] ;
wire \tile_x10y14_e2begb[7] ;
wire \tile_x10y14_e6beg[0] ;
wire \tile_x10y14_e6beg[10] ;
wire \tile_x10y14_e6beg[11] ;
wire \tile_x10y14_e6beg[1] ;
wire \tile_x10y14_e6beg[2] ;
wire \tile_x10y14_e6beg[3] ;
wire \tile_x10y14_e6beg[4] ;
wire \tile_x10y14_e6beg[5] ;
wire \tile_x10y14_e6beg[6] ;
wire \tile_x10y14_e6beg[7] ;
wire \tile_x10y14_e6beg[8] ;
wire \tile_x10y14_e6beg[9] ;
wire \tile_x10y14_ee4beg[0] ;
wire \tile_x10y14_ee4beg[10] ;
wire \tile_x10y14_ee4beg[11] ;
wire \tile_x10y14_ee4beg[12] ;
wire \tile_x10y14_ee4beg[13] ;
wire \tile_x10y14_ee4beg[14] ;
wire \tile_x10y14_ee4beg[15] ;
wire \tile_x10y14_ee4beg[1] ;
wire \tile_x10y14_ee4beg[2] ;
wire \tile_x10y14_ee4beg[3] ;
wire \tile_x10y14_ee4beg[4] ;
wire \tile_x10y14_ee4beg[5] ;
wire \tile_x10y14_ee4beg[6] ;
wire \tile_x10y14_ee4beg[7] ;
wire \tile_x10y14_ee4beg[8] ;
wire \tile_x10y14_ee4beg[9] ;
wire \tile_x10y14_framedata_o[0] ;
wire \tile_x10y14_framedata_o[10] ;
wire \tile_x10y14_framedata_o[11] ;
wire \tile_x10y14_framedata_o[12] ;
wire \tile_x10y14_framedata_o[13] ;
wire \tile_x10y14_framedata_o[14] ;
wire \tile_x10y14_framedata_o[15] ;
wire \tile_x10y14_framedata_o[16] ;
wire \tile_x10y14_framedata_o[17] ;
wire \tile_x10y14_framedata_o[18] ;
wire \tile_x10y14_framedata_o[19] ;
wire \tile_x10y14_framedata_o[1] ;
wire \tile_x10y14_framedata_o[20] ;
wire \tile_x10y14_framedata_o[21] ;
wire \tile_x10y14_framedata_o[22] ;
wire \tile_x10y14_framedata_o[23] ;
wire \tile_x10y14_framedata_o[24] ;
wire \tile_x10y14_framedata_o[25] ;
wire \tile_x10y14_framedata_o[26] ;
wire \tile_x10y14_framedata_o[27] ;
wire \tile_x10y14_framedata_o[28] ;
wire \tile_x10y14_framedata_o[29] ;
wire \tile_x10y14_framedata_o[2] ;
wire \tile_x10y14_framedata_o[30] ;
wire \tile_x10y14_framedata_o[31] ;
wire \tile_x10y14_framedata_o[3] ;
wire \tile_x10y14_framedata_o[4] ;
wire \tile_x10y14_framedata_o[5] ;
wire \tile_x10y14_framedata_o[6] ;
wire \tile_x10y14_framedata_o[7] ;
wire \tile_x10y14_framedata_o[8] ;
wire \tile_x10y14_framedata_o[9] ;
wire \tile_x10y14_framestrobe_o[0] ;
wire \tile_x10y14_framestrobe_o[10] ;
wire \tile_x10y14_framestrobe_o[11] ;
wire \tile_x10y14_framestrobe_o[12] ;
wire \tile_x10y14_framestrobe_o[13] ;
wire \tile_x10y14_framestrobe_o[14] ;
wire \tile_x10y14_framestrobe_o[15] ;
wire \tile_x10y14_framestrobe_o[16] ;
wire \tile_x10y14_framestrobe_o[17] ;
wire \tile_x10y14_framestrobe_o[18] ;
wire \tile_x10y14_framestrobe_o[19] ;
wire \tile_x10y14_framestrobe_o[1] ;
wire \tile_x10y14_framestrobe_o[2] ;
wire \tile_x10y14_framestrobe_o[3] ;
wire \tile_x10y14_framestrobe_o[4] ;
wire \tile_x10y14_framestrobe_o[5] ;
wire \tile_x10y14_framestrobe_o[6] ;
wire \tile_x10y14_framestrobe_o[7] ;
wire \tile_x10y14_framestrobe_o[8] ;
wire \tile_x10y14_framestrobe_o[9] ;
wire \tile_x10y14_n1beg[0] ;
wire \tile_x10y14_n1beg[1] ;
wire \tile_x10y14_n1beg[2] ;
wire \tile_x10y14_n1beg[3] ;
wire \tile_x10y14_n2beg[0] ;
wire \tile_x10y14_n2beg[1] ;
wire \tile_x10y14_n2beg[2] ;
wire \tile_x10y14_n2beg[3] ;
wire \tile_x10y14_n2beg[4] ;
wire \tile_x10y14_n2beg[5] ;
wire \tile_x10y14_n2beg[6] ;
wire \tile_x10y14_n2beg[7] ;
wire \tile_x10y14_n2begb[0] ;
wire \tile_x10y14_n2begb[1] ;
wire \tile_x10y14_n2begb[2] ;
wire \tile_x10y14_n2begb[3] ;
wire \tile_x10y14_n2begb[4] ;
wire \tile_x10y14_n2begb[5] ;
wire \tile_x10y14_n2begb[6] ;
wire \tile_x10y14_n2begb[7] ;
wire \tile_x10y14_n4beg[0] ;
wire \tile_x10y14_n4beg[10] ;
wire \tile_x10y14_n4beg[11] ;
wire \tile_x10y14_n4beg[12] ;
wire \tile_x10y14_n4beg[13] ;
wire \tile_x10y14_n4beg[14] ;
wire \tile_x10y14_n4beg[15] ;
wire \tile_x10y14_n4beg[1] ;
wire \tile_x10y14_n4beg[2] ;
wire \tile_x10y14_n4beg[3] ;
wire \tile_x10y14_n4beg[4] ;
wire \tile_x10y14_n4beg[5] ;
wire \tile_x10y14_n4beg[6] ;
wire \tile_x10y14_n4beg[7] ;
wire \tile_x10y14_n4beg[8] ;
wire \tile_x10y14_n4beg[9] ;
wire \tile_x10y14_nn4beg[0] ;
wire \tile_x10y14_nn4beg[10] ;
wire \tile_x10y14_nn4beg[11] ;
wire \tile_x10y14_nn4beg[12] ;
wire \tile_x10y14_nn4beg[13] ;
wire \tile_x10y14_nn4beg[14] ;
wire \tile_x10y14_nn4beg[15] ;
wire \tile_x10y14_nn4beg[1] ;
wire \tile_x10y14_nn4beg[2] ;
wire \tile_x10y14_nn4beg[3] ;
wire \tile_x10y14_nn4beg[4] ;
wire \tile_x10y14_nn4beg[5] ;
wire \tile_x10y14_nn4beg[6] ;
wire \tile_x10y14_nn4beg[7] ;
wire \tile_x10y14_nn4beg[8] ;
wire \tile_x10y14_nn4beg[9] ;
wire \tile_x10y14_s1beg[0] ;
wire \tile_x10y14_s1beg[1] ;
wire \tile_x10y14_s1beg[2] ;
wire \tile_x10y14_s1beg[3] ;
wire \tile_x10y14_s2beg[0] ;
wire \tile_x10y14_s2beg[1] ;
wire \tile_x10y14_s2beg[2] ;
wire \tile_x10y14_s2beg[3] ;
wire \tile_x10y14_s2beg[4] ;
wire \tile_x10y14_s2beg[5] ;
wire \tile_x10y14_s2beg[6] ;
wire \tile_x10y14_s2beg[7] ;
wire \tile_x10y14_s2begb[0] ;
wire \tile_x10y14_s2begb[1] ;
wire \tile_x10y14_s2begb[2] ;
wire \tile_x10y14_s2begb[3] ;
wire \tile_x10y14_s2begb[4] ;
wire \tile_x10y14_s2begb[5] ;
wire \tile_x10y14_s2begb[6] ;
wire \tile_x10y14_s2begb[7] ;
wire \tile_x10y14_s4beg[0] ;
wire \tile_x10y14_s4beg[10] ;
wire \tile_x10y14_s4beg[11] ;
wire \tile_x10y14_s4beg[12] ;
wire \tile_x10y14_s4beg[13] ;
wire \tile_x10y14_s4beg[14] ;
wire \tile_x10y14_s4beg[15] ;
wire \tile_x10y14_s4beg[1] ;
wire \tile_x10y14_s4beg[2] ;
wire \tile_x10y14_s4beg[3] ;
wire \tile_x10y14_s4beg[4] ;
wire \tile_x10y14_s4beg[5] ;
wire \tile_x10y14_s4beg[6] ;
wire \tile_x10y14_s4beg[7] ;
wire \tile_x10y14_s4beg[8] ;
wire \tile_x10y14_s4beg[9] ;
wire \tile_x10y14_ss4beg[0] ;
wire \tile_x10y14_ss4beg[10] ;
wire \tile_x10y14_ss4beg[11] ;
wire \tile_x10y14_ss4beg[12] ;
wire \tile_x10y14_ss4beg[13] ;
wire \tile_x10y14_ss4beg[14] ;
wire \tile_x10y14_ss4beg[15] ;
wire \tile_x10y14_ss4beg[1] ;
wire \tile_x10y14_ss4beg[2] ;
wire \tile_x10y14_ss4beg[3] ;
wire \tile_x10y14_ss4beg[4] ;
wire \tile_x10y14_ss4beg[5] ;
wire \tile_x10y14_ss4beg[6] ;
wire \tile_x10y14_ss4beg[7] ;
wire \tile_x10y14_ss4beg[8] ;
wire \tile_x10y14_ss4beg[9] ;
wire tile_x10y14_userclko;
wire \tile_x10y14_w1beg[0] ;
wire \tile_x10y14_w1beg[1] ;
wire \tile_x10y14_w1beg[2] ;
wire \tile_x10y14_w1beg[3] ;
wire \tile_x10y14_w2beg[0] ;
wire \tile_x10y14_w2beg[1] ;
wire \tile_x10y14_w2beg[2] ;
wire \tile_x10y14_w2beg[3] ;
wire \tile_x10y14_w2beg[4] ;
wire \tile_x10y14_w2beg[5] ;
wire \tile_x10y14_w2beg[6] ;
wire \tile_x10y14_w2beg[7] ;
wire \tile_x10y14_w2begb[0] ;
wire \tile_x10y14_w2begb[1] ;
wire \tile_x10y14_w2begb[2] ;
wire \tile_x10y14_w2begb[3] ;
wire \tile_x10y14_w2begb[4] ;
wire \tile_x10y14_w2begb[5] ;
wire \tile_x10y14_w2begb[6] ;
wire \tile_x10y14_w2begb[7] ;
wire \tile_x10y14_w6beg[0] ;
wire \tile_x10y14_w6beg[10] ;
wire \tile_x10y14_w6beg[11] ;
wire \tile_x10y14_w6beg[1] ;
wire \tile_x10y14_w6beg[2] ;
wire \tile_x10y14_w6beg[3] ;
wire \tile_x10y14_w6beg[4] ;
wire \tile_x10y14_w6beg[5] ;
wire \tile_x10y14_w6beg[6] ;
wire \tile_x10y14_w6beg[7] ;
wire \tile_x10y14_w6beg[8] ;
wire \tile_x10y14_w6beg[9] ;
wire \tile_x10y14_ww4beg[0] ;
wire \tile_x10y14_ww4beg[10] ;
wire \tile_x10y14_ww4beg[11] ;
wire \tile_x10y14_ww4beg[12] ;
wire \tile_x10y14_ww4beg[13] ;
wire \tile_x10y14_ww4beg[14] ;
wire \tile_x10y14_ww4beg[15] ;
wire \tile_x10y14_ww4beg[1] ;
wire \tile_x10y14_ww4beg[2] ;
wire \tile_x10y14_ww4beg[3] ;
wire \tile_x10y14_ww4beg[4] ;
wire \tile_x10y14_ww4beg[5] ;
wire \tile_x10y14_ww4beg[6] ;
wire \tile_x10y14_ww4beg[7] ;
wire \tile_x10y14_ww4beg[8] ;
wire \tile_x10y14_ww4beg[9] ;
wire tile_x10y15_co;
wire \tile_x10y15_framestrobe_o[0] ;
wire \tile_x10y15_framestrobe_o[10] ;
wire \tile_x10y15_framestrobe_o[11] ;
wire \tile_x10y15_framestrobe_o[12] ;
wire \tile_x10y15_framestrobe_o[13] ;
wire \tile_x10y15_framestrobe_o[14] ;
wire \tile_x10y15_framestrobe_o[15] ;
wire \tile_x10y15_framestrobe_o[16] ;
wire \tile_x10y15_framestrobe_o[17] ;
wire \tile_x10y15_framestrobe_o[18] ;
wire \tile_x10y15_framestrobe_o[19] ;
wire \tile_x10y15_framestrobe_o[1] ;
wire \tile_x10y15_framestrobe_o[2] ;
wire \tile_x10y15_framestrobe_o[3] ;
wire \tile_x10y15_framestrobe_o[4] ;
wire \tile_x10y15_framestrobe_o[5] ;
wire \tile_x10y15_framestrobe_o[6] ;
wire \tile_x10y15_framestrobe_o[7] ;
wire \tile_x10y15_framestrobe_o[8] ;
wire \tile_x10y15_framestrobe_o[9] ;
wire \tile_x10y15_n1beg[0] ;
wire \tile_x10y15_n1beg[1] ;
wire \tile_x10y15_n1beg[2] ;
wire \tile_x10y15_n1beg[3] ;
wire \tile_x10y15_n2beg[0] ;
wire \tile_x10y15_n2beg[1] ;
wire \tile_x10y15_n2beg[2] ;
wire \tile_x10y15_n2beg[3] ;
wire \tile_x10y15_n2beg[4] ;
wire \tile_x10y15_n2beg[5] ;
wire \tile_x10y15_n2beg[6] ;
wire \tile_x10y15_n2beg[7] ;
wire \tile_x10y15_n2begb[0] ;
wire \tile_x10y15_n2begb[1] ;
wire \tile_x10y15_n2begb[2] ;
wire \tile_x10y15_n2begb[3] ;
wire \tile_x10y15_n2begb[4] ;
wire \tile_x10y15_n2begb[5] ;
wire \tile_x10y15_n2begb[6] ;
wire \tile_x10y15_n2begb[7] ;
wire \tile_x10y15_n4beg[0] ;
wire \tile_x10y15_n4beg[10] ;
wire \tile_x10y15_n4beg[11] ;
wire \tile_x10y15_n4beg[12] ;
wire \tile_x10y15_n4beg[13] ;
wire \tile_x10y15_n4beg[14] ;
wire \tile_x10y15_n4beg[15] ;
wire \tile_x10y15_n4beg[1] ;
wire \tile_x10y15_n4beg[2] ;
wire \tile_x10y15_n4beg[3] ;
wire \tile_x10y15_n4beg[4] ;
wire \tile_x10y15_n4beg[5] ;
wire \tile_x10y15_n4beg[6] ;
wire \tile_x10y15_n4beg[7] ;
wire \tile_x10y15_n4beg[8] ;
wire \tile_x10y15_n4beg[9] ;
wire \tile_x10y15_nn4beg[0] ;
wire \tile_x10y15_nn4beg[10] ;
wire \tile_x10y15_nn4beg[11] ;
wire \tile_x10y15_nn4beg[12] ;
wire \tile_x10y15_nn4beg[13] ;
wire \tile_x10y15_nn4beg[14] ;
wire \tile_x10y15_nn4beg[15] ;
wire \tile_x10y15_nn4beg[1] ;
wire \tile_x10y15_nn4beg[2] ;
wire \tile_x10y15_nn4beg[3] ;
wire \tile_x10y15_nn4beg[4] ;
wire \tile_x10y15_nn4beg[5] ;
wire \tile_x10y15_nn4beg[6] ;
wire \tile_x10y15_nn4beg[7] ;
wire \tile_x10y15_nn4beg[8] ;
wire \tile_x10y15_nn4beg[9] ;
wire tile_x10y15_userclko;
wire tile_x10y1_co;
wire \tile_x10y1_e1beg[0] ;
wire \tile_x10y1_e1beg[1] ;
wire \tile_x10y1_e1beg[2] ;
wire \tile_x10y1_e1beg[3] ;
wire \tile_x10y1_e2beg[0] ;
wire \tile_x10y1_e2beg[1] ;
wire \tile_x10y1_e2beg[2] ;
wire \tile_x10y1_e2beg[3] ;
wire \tile_x10y1_e2beg[4] ;
wire \tile_x10y1_e2beg[5] ;
wire \tile_x10y1_e2beg[6] ;
wire \tile_x10y1_e2beg[7] ;
wire \tile_x10y1_e2begb[0] ;
wire \tile_x10y1_e2begb[1] ;
wire \tile_x10y1_e2begb[2] ;
wire \tile_x10y1_e2begb[3] ;
wire \tile_x10y1_e2begb[4] ;
wire \tile_x10y1_e2begb[5] ;
wire \tile_x10y1_e2begb[6] ;
wire \tile_x10y1_e2begb[7] ;
wire \tile_x10y1_e6beg[0] ;
wire \tile_x10y1_e6beg[10] ;
wire \tile_x10y1_e6beg[11] ;
wire \tile_x10y1_e6beg[1] ;
wire \tile_x10y1_e6beg[2] ;
wire \tile_x10y1_e6beg[3] ;
wire \tile_x10y1_e6beg[4] ;
wire \tile_x10y1_e6beg[5] ;
wire \tile_x10y1_e6beg[6] ;
wire \tile_x10y1_e6beg[7] ;
wire \tile_x10y1_e6beg[8] ;
wire \tile_x10y1_e6beg[9] ;
wire \tile_x10y1_ee4beg[0] ;
wire \tile_x10y1_ee4beg[10] ;
wire \tile_x10y1_ee4beg[11] ;
wire \tile_x10y1_ee4beg[12] ;
wire \tile_x10y1_ee4beg[13] ;
wire \tile_x10y1_ee4beg[14] ;
wire \tile_x10y1_ee4beg[15] ;
wire \tile_x10y1_ee4beg[1] ;
wire \tile_x10y1_ee4beg[2] ;
wire \tile_x10y1_ee4beg[3] ;
wire \tile_x10y1_ee4beg[4] ;
wire \tile_x10y1_ee4beg[5] ;
wire \tile_x10y1_ee4beg[6] ;
wire \tile_x10y1_ee4beg[7] ;
wire \tile_x10y1_ee4beg[8] ;
wire \tile_x10y1_ee4beg[9] ;
wire \tile_x10y1_framedata_o[0] ;
wire \tile_x10y1_framedata_o[10] ;
wire \tile_x10y1_framedata_o[11] ;
wire \tile_x10y1_framedata_o[12] ;
wire \tile_x10y1_framedata_o[13] ;
wire \tile_x10y1_framedata_o[14] ;
wire \tile_x10y1_framedata_o[15] ;
wire \tile_x10y1_framedata_o[16] ;
wire \tile_x10y1_framedata_o[17] ;
wire \tile_x10y1_framedata_o[18] ;
wire \tile_x10y1_framedata_o[19] ;
wire \tile_x10y1_framedata_o[1] ;
wire \tile_x10y1_framedata_o[20] ;
wire \tile_x10y1_framedata_o[21] ;
wire \tile_x10y1_framedata_o[22] ;
wire \tile_x10y1_framedata_o[23] ;
wire \tile_x10y1_framedata_o[24] ;
wire \tile_x10y1_framedata_o[25] ;
wire \tile_x10y1_framedata_o[26] ;
wire \tile_x10y1_framedata_o[27] ;
wire \tile_x10y1_framedata_o[28] ;
wire \tile_x10y1_framedata_o[29] ;
wire \tile_x10y1_framedata_o[2] ;
wire \tile_x10y1_framedata_o[30] ;
wire \tile_x10y1_framedata_o[31] ;
wire \tile_x10y1_framedata_o[3] ;
wire \tile_x10y1_framedata_o[4] ;
wire \tile_x10y1_framedata_o[5] ;
wire \tile_x10y1_framedata_o[6] ;
wire \tile_x10y1_framedata_o[7] ;
wire \tile_x10y1_framedata_o[8] ;
wire \tile_x10y1_framedata_o[9] ;
wire \tile_x10y1_framestrobe_o[0] ;
wire \tile_x10y1_framestrobe_o[10] ;
wire \tile_x10y1_framestrobe_o[11] ;
wire \tile_x10y1_framestrobe_o[12] ;
wire \tile_x10y1_framestrobe_o[13] ;
wire \tile_x10y1_framestrobe_o[14] ;
wire \tile_x10y1_framestrobe_o[15] ;
wire \tile_x10y1_framestrobe_o[16] ;
wire \tile_x10y1_framestrobe_o[17] ;
wire \tile_x10y1_framestrobe_o[18] ;
wire \tile_x10y1_framestrobe_o[19] ;
wire \tile_x10y1_framestrobe_o[1] ;
wire \tile_x10y1_framestrobe_o[2] ;
wire \tile_x10y1_framestrobe_o[3] ;
wire \tile_x10y1_framestrobe_o[4] ;
wire \tile_x10y1_framestrobe_o[5] ;
wire \tile_x10y1_framestrobe_o[6] ;
wire \tile_x10y1_framestrobe_o[7] ;
wire \tile_x10y1_framestrobe_o[8] ;
wire \tile_x10y1_framestrobe_o[9] ;
wire \tile_x10y1_n1beg[0] ;
wire \tile_x10y1_n1beg[1] ;
wire \tile_x10y1_n1beg[2] ;
wire \tile_x10y1_n1beg[3] ;
wire \tile_x10y1_n2beg[0] ;
wire \tile_x10y1_n2beg[1] ;
wire \tile_x10y1_n2beg[2] ;
wire \tile_x10y1_n2beg[3] ;
wire \tile_x10y1_n2beg[4] ;
wire \tile_x10y1_n2beg[5] ;
wire \tile_x10y1_n2beg[6] ;
wire \tile_x10y1_n2beg[7] ;
wire \tile_x10y1_n2begb[0] ;
wire \tile_x10y1_n2begb[1] ;
wire \tile_x10y1_n2begb[2] ;
wire \tile_x10y1_n2begb[3] ;
wire \tile_x10y1_n2begb[4] ;
wire \tile_x10y1_n2begb[5] ;
wire \tile_x10y1_n2begb[6] ;
wire \tile_x10y1_n2begb[7] ;
wire \tile_x10y1_n4beg[0] ;
wire \tile_x10y1_n4beg[10] ;
wire \tile_x10y1_n4beg[11] ;
wire \tile_x10y1_n4beg[12] ;
wire \tile_x10y1_n4beg[13] ;
wire \tile_x10y1_n4beg[14] ;
wire \tile_x10y1_n4beg[15] ;
wire \tile_x10y1_n4beg[1] ;
wire \tile_x10y1_n4beg[2] ;
wire \tile_x10y1_n4beg[3] ;
wire \tile_x10y1_n4beg[4] ;
wire \tile_x10y1_n4beg[5] ;
wire \tile_x10y1_n4beg[6] ;
wire \tile_x10y1_n4beg[7] ;
wire \tile_x10y1_n4beg[8] ;
wire \tile_x10y1_n4beg[9] ;
wire \tile_x10y1_nn4beg[0] ;
wire \tile_x10y1_nn4beg[10] ;
wire \tile_x10y1_nn4beg[11] ;
wire \tile_x10y1_nn4beg[12] ;
wire \tile_x10y1_nn4beg[13] ;
wire \tile_x10y1_nn4beg[14] ;
wire \tile_x10y1_nn4beg[15] ;
wire \tile_x10y1_nn4beg[1] ;
wire \tile_x10y1_nn4beg[2] ;
wire \tile_x10y1_nn4beg[3] ;
wire \tile_x10y1_nn4beg[4] ;
wire \tile_x10y1_nn4beg[5] ;
wire \tile_x10y1_nn4beg[6] ;
wire \tile_x10y1_nn4beg[7] ;
wire \tile_x10y1_nn4beg[8] ;
wire \tile_x10y1_nn4beg[9] ;
wire \tile_x10y1_s1beg[0] ;
wire \tile_x10y1_s1beg[1] ;
wire \tile_x10y1_s1beg[2] ;
wire \tile_x10y1_s1beg[3] ;
wire \tile_x10y1_s2beg[0] ;
wire \tile_x10y1_s2beg[1] ;
wire \tile_x10y1_s2beg[2] ;
wire \tile_x10y1_s2beg[3] ;
wire \tile_x10y1_s2beg[4] ;
wire \tile_x10y1_s2beg[5] ;
wire \tile_x10y1_s2beg[6] ;
wire \tile_x10y1_s2beg[7] ;
wire \tile_x10y1_s2begb[0] ;
wire \tile_x10y1_s2begb[1] ;
wire \tile_x10y1_s2begb[2] ;
wire \tile_x10y1_s2begb[3] ;
wire \tile_x10y1_s2begb[4] ;
wire \tile_x10y1_s2begb[5] ;
wire \tile_x10y1_s2begb[6] ;
wire \tile_x10y1_s2begb[7] ;
wire \tile_x10y1_s4beg[0] ;
wire \tile_x10y1_s4beg[10] ;
wire \tile_x10y1_s4beg[11] ;
wire \tile_x10y1_s4beg[12] ;
wire \tile_x10y1_s4beg[13] ;
wire \tile_x10y1_s4beg[14] ;
wire \tile_x10y1_s4beg[15] ;
wire \tile_x10y1_s4beg[1] ;
wire \tile_x10y1_s4beg[2] ;
wire \tile_x10y1_s4beg[3] ;
wire \tile_x10y1_s4beg[4] ;
wire \tile_x10y1_s4beg[5] ;
wire \tile_x10y1_s4beg[6] ;
wire \tile_x10y1_s4beg[7] ;
wire \tile_x10y1_s4beg[8] ;
wire \tile_x10y1_s4beg[9] ;
wire \tile_x10y1_ss4beg[0] ;
wire \tile_x10y1_ss4beg[10] ;
wire \tile_x10y1_ss4beg[11] ;
wire \tile_x10y1_ss4beg[12] ;
wire \tile_x10y1_ss4beg[13] ;
wire \tile_x10y1_ss4beg[14] ;
wire \tile_x10y1_ss4beg[15] ;
wire \tile_x10y1_ss4beg[1] ;
wire \tile_x10y1_ss4beg[2] ;
wire \tile_x10y1_ss4beg[3] ;
wire \tile_x10y1_ss4beg[4] ;
wire \tile_x10y1_ss4beg[5] ;
wire \tile_x10y1_ss4beg[6] ;
wire \tile_x10y1_ss4beg[7] ;
wire \tile_x10y1_ss4beg[8] ;
wire \tile_x10y1_ss4beg[9] ;
wire tile_x10y1_userclko;
wire \tile_x10y1_w1beg[0] ;
wire \tile_x10y1_w1beg[1] ;
wire \tile_x10y1_w1beg[2] ;
wire \tile_x10y1_w1beg[3] ;
wire \tile_x10y1_w2beg[0] ;
wire \tile_x10y1_w2beg[1] ;
wire \tile_x10y1_w2beg[2] ;
wire \tile_x10y1_w2beg[3] ;
wire \tile_x10y1_w2beg[4] ;
wire \tile_x10y1_w2beg[5] ;
wire \tile_x10y1_w2beg[6] ;
wire \tile_x10y1_w2beg[7] ;
wire \tile_x10y1_w2begb[0] ;
wire \tile_x10y1_w2begb[1] ;
wire \tile_x10y1_w2begb[2] ;
wire \tile_x10y1_w2begb[3] ;
wire \tile_x10y1_w2begb[4] ;
wire \tile_x10y1_w2begb[5] ;
wire \tile_x10y1_w2begb[6] ;
wire \tile_x10y1_w2begb[7] ;
wire \tile_x10y1_w6beg[0] ;
wire \tile_x10y1_w6beg[10] ;
wire \tile_x10y1_w6beg[11] ;
wire \tile_x10y1_w6beg[1] ;
wire \tile_x10y1_w6beg[2] ;
wire \tile_x10y1_w6beg[3] ;
wire \tile_x10y1_w6beg[4] ;
wire \tile_x10y1_w6beg[5] ;
wire \tile_x10y1_w6beg[6] ;
wire \tile_x10y1_w6beg[7] ;
wire \tile_x10y1_w6beg[8] ;
wire \tile_x10y1_w6beg[9] ;
wire \tile_x10y1_ww4beg[0] ;
wire \tile_x10y1_ww4beg[10] ;
wire \tile_x10y1_ww4beg[11] ;
wire \tile_x10y1_ww4beg[12] ;
wire \tile_x10y1_ww4beg[13] ;
wire \tile_x10y1_ww4beg[14] ;
wire \tile_x10y1_ww4beg[15] ;
wire \tile_x10y1_ww4beg[1] ;
wire \tile_x10y1_ww4beg[2] ;
wire \tile_x10y1_ww4beg[3] ;
wire \tile_x10y1_ww4beg[4] ;
wire \tile_x10y1_ww4beg[5] ;
wire \tile_x10y1_ww4beg[6] ;
wire \tile_x10y1_ww4beg[7] ;
wire \tile_x10y1_ww4beg[8] ;
wire \tile_x10y1_ww4beg[9] ;
wire tile_x10y2_co;
wire \tile_x10y2_e1beg[0] ;
wire \tile_x10y2_e1beg[1] ;
wire \tile_x10y2_e1beg[2] ;
wire \tile_x10y2_e1beg[3] ;
wire \tile_x10y2_e2beg[0] ;
wire \tile_x10y2_e2beg[1] ;
wire \tile_x10y2_e2beg[2] ;
wire \tile_x10y2_e2beg[3] ;
wire \tile_x10y2_e2beg[4] ;
wire \tile_x10y2_e2beg[5] ;
wire \tile_x10y2_e2beg[6] ;
wire \tile_x10y2_e2beg[7] ;
wire \tile_x10y2_e2begb[0] ;
wire \tile_x10y2_e2begb[1] ;
wire \tile_x10y2_e2begb[2] ;
wire \tile_x10y2_e2begb[3] ;
wire \tile_x10y2_e2begb[4] ;
wire \tile_x10y2_e2begb[5] ;
wire \tile_x10y2_e2begb[6] ;
wire \tile_x10y2_e2begb[7] ;
wire \tile_x10y2_e6beg[0] ;
wire \tile_x10y2_e6beg[10] ;
wire \tile_x10y2_e6beg[11] ;
wire \tile_x10y2_e6beg[1] ;
wire \tile_x10y2_e6beg[2] ;
wire \tile_x10y2_e6beg[3] ;
wire \tile_x10y2_e6beg[4] ;
wire \tile_x10y2_e6beg[5] ;
wire \tile_x10y2_e6beg[6] ;
wire \tile_x10y2_e6beg[7] ;
wire \tile_x10y2_e6beg[8] ;
wire \tile_x10y2_e6beg[9] ;
wire \tile_x10y2_ee4beg[0] ;
wire \tile_x10y2_ee4beg[10] ;
wire \tile_x10y2_ee4beg[11] ;
wire \tile_x10y2_ee4beg[12] ;
wire \tile_x10y2_ee4beg[13] ;
wire \tile_x10y2_ee4beg[14] ;
wire \tile_x10y2_ee4beg[15] ;
wire \tile_x10y2_ee4beg[1] ;
wire \tile_x10y2_ee4beg[2] ;
wire \tile_x10y2_ee4beg[3] ;
wire \tile_x10y2_ee4beg[4] ;
wire \tile_x10y2_ee4beg[5] ;
wire \tile_x10y2_ee4beg[6] ;
wire \tile_x10y2_ee4beg[7] ;
wire \tile_x10y2_ee4beg[8] ;
wire \tile_x10y2_ee4beg[9] ;
wire \tile_x10y2_framedata_o[0] ;
wire \tile_x10y2_framedata_o[10] ;
wire \tile_x10y2_framedata_o[11] ;
wire \tile_x10y2_framedata_o[12] ;
wire \tile_x10y2_framedata_o[13] ;
wire \tile_x10y2_framedata_o[14] ;
wire \tile_x10y2_framedata_o[15] ;
wire \tile_x10y2_framedata_o[16] ;
wire \tile_x10y2_framedata_o[17] ;
wire \tile_x10y2_framedata_o[18] ;
wire \tile_x10y2_framedata_o[19] ;
wire \tile_x10y2_framedata_o[1] ;
wire \tile_x10y2_framedata_o[20] ;
wire \tile_x10y2_framedata_o[21] ;
wire \tile_x10y2_framedata_o[22] ;
wire \tile_x10y2_framedata_o[23] ;
wire \tile_x10y2_framedata_o[24] ;
wire \tile_x10y2_framedata_o[25] ;
wire \tile_x10y2_framedata_o[26] ;
wire \tile_x10y2_framedata_o[27] ;
wire \tile_x10y2_framedata_o[28] ;
wire \tile_x10y2_framedata_o[29] ;
wire \tile_x10y2_framedata_o[2] ;
wire \tile_x10y2_framedata_o[30] ;
wire \tile_x10y2_framedata_o[31] ;
wire \tile_x10y2_framedata_o[3] ;
wire \tile_x10y2_framedata_o[4] ;
wire \tile_x10y2_framedata_o[5] ;
wire \tile_x10y2_framedata_o[6] ;
wire \tile_x10y2_framedata_o[7] ;
wire \tile_x10y2_framedata_o[8] ;
wire \tile_x10y2_framedata_o[9] ;
wire \tile_x10y2_framestrobe_o[0] ;
wire \tile_x10y2_framestrobe_o[10] ;
wire \tile_x10y2_framestrobe_o[11] ;
wire \tile_x10y2_framestrobe_o[12] ;
wire \tile_x10y2_framestrobe_o[13] ;
wire \tile_x10y2_framestrobe_o[14] ;
wire \tile_x10y2_framestrobe_o[15] ;
wire \tile_x10y2_framestrobe_o[16] ;
wire \tile_x10y2_framestrobe_o[17] ;
wire \tile_x10y2_framestrobe_o[18] ;
wire \tile_x10y2_framestrobe_o[19] ;
wire \tile_x10y2_framestrobe_o[1] ;
wire \tile_x10y2_framestrobe_o[2] ;
wire \tile_x10y2_framestrobe_o[3] ;
wire \tile_x10y2_framestrobe_o[4] ;
wire \tile_x10y2_framestrobe_o[5] ;
wire \tile_x10y2_framestrobe_o[6] ;
wire \tile_x10y2_framestrobe_o[7] ;
wire \tile_x10y2_framestrobe_o[8] ;
wire \tile_x10y2_framestrobe_o[9] ;
wire \tile_x10y2_n1beg[0] ;
wire \tile_x10y2_n1beg[1] ;
wire \tile_x10y2_n1beg[2] ;
wire \tile_x10y2_n1beg[3] ;
wire \tile_x10y2_n2beg[0] ;
wire \tile_x10y2_n2beg[1] ;
wire \tile_x10y2_n2beg[2] ;
wire \tile_x10y2_n2beg[3] ;
wire \tile_x10y2_n2beg[4] ;
wire \tile_x10y2_n2beg[5] ;
wire \tile_x10y2_n2beg[6] ;
wire \tile_x10y2_n2beg[7] ;
wire \tile_x10y2_n2begb[0] ;
wire \tile_x10y2_n2begb[1] ;
wire \tile_x10y2_n2begb[2] ;
wire \tile_x10y2_n2begb[3] ;
wire \tile_x10y2_n2begb[4] ;
wire \tile_x10y2_n2begb[5] ;
wire \tile_x10y2_n2begb[6] ;
wire \tile_x10y2_n2begb[7] ;
wire \tile_x10y2_n4beg[0] ;
wire \tile_x10y2_n4beg[10] ;
wire \tile_x10y2_n4beg[11] ;
wire \tile_x10y2_n4beg[12] ;
wire \tile_x10y2_n4beg[13] ;
wire \tile_x10y2_n4beg[14] ;
wire \tile_x10y2_n4beg[15] ;
wire \tile_x10y2_n4beg[1] ;
wire \tile_x10y2_n4beg[2] ;
wire \tile_x10y2_n4beg[3] ;
wire \tile_x10y2_n4beg[4] ;
wire \tile_x10y2_n4beg[5] ;
wire \tile_x10y2_n4beg[6] ;
wire \tile_x10y2_n4beg[7] ;
wire \tile_x10y2_n4beg[8] ;
wire \tile_x10y2_n4beg[9] ;
wire \tile_x10y2_nn4beg[0] ;
wire \tile_x10y2_nn4beg[10] ;
wire \tile_x10y2_nn4beg[11] ;
wire \tile_x10y2_nn4beg[12] ;
wire \tile_x10y2_nn4beg[13] ;
wire \tile_x10y2_nn4beg[14] ;
wire \tile_x10y2_nn4beg[15] ;
wire \tile_x10y2_nn4beg[1] ;
wire \tile_x10y2_nn4beg[2] ;
wire \tile_x10y2_nn4beg[3] ;
wire \tile_x10y2_nn4beg[4] ;
wire \tile_x10y2_nn4beg[5] ;
wire \tile_x10y2_nn4beg[6] ;
wire \tile_x10y2_nn4beg[7] ;
wire \tile_x10y2_nn4beg[8] ;
wire \tile_x10y2_nn4beg[9] ;
wire \tile_x10y2_s1beg[0] ;
wire \tile_x10y2_s1beg[1] ;
wire \tile_x10y2_s1beg[2] ;
wire \tile_x10y2_s1beg[3] ;
wire \tile_x10y2_s2beg[0] ;
wire \tile_x10y2_s2beg[1] ;
wire \tile_x10y2_s2beg[2] ;
wire \tile_x10y2_s2beg[3] ;
wire \tile_x10y2_s2beg[4] ;
wire \tile_x10y2_s2beg[5] ;
wire \tile_x10y2_s2beg[6] ;
wire \tile_x10y2_s2beg[7] ;
wire \tile_x10y2_s2begb[0] ;
wire \tile_x10y2_s2begb[1] ;
wire \tile_x10y2_s2begb[2] ;
wire \tile_x10y2_s2begb[3] ;
wire \tile_x10y2_s2begb[4] ;
wire \tile_x10y2_s2begb[5] ;
wire \tile_x10y2_s2begb[6] ;
wire \tile_x10y2_s2begb[7] ;
wire \tile_x10y2_s4beg[0] ;
wire \tile_x10y2_s4beg[10] ;
wire \tile_x10y2_s4beg[11] ;
wire \tile_x10y2_s4beg[12] ;
wire \tile_x10y2_s4beg[13] ;
wire \tile_x10y2_s4beg[14] ;
wire \tile_x10y2_s4beg[15] ;
wire \tile_x10y2_s4beg[1] ;
wire \tile_x10y2_s4beg[2] ;
wire \tile_x10y2_s4beg[3] ;
wire \tile_x10y2_s4beg[4] ;
wire \tile_x10y2_s4beg[5] ;
wire \tile_x10y2_s4beg[6] ;
wire \tile_x10y2_s4beg[7] ;
wire \tile_x10y2_s4beg[8] ;
wire \tile_x10y2_s4beg[9] ;
wire \tile_x10y2_ss4beg[0] ;
wire \tile_x10y2_ss4beg[10] ;
wire \tile_x10y2_ss4beg[11] ;
wire \tile_x10y2_ss4beg[12] ;
wire \tile_x10y2_ss4beg[13] ;
wire \tile_x10y2_ss4beg[14] ;
wire \tile_x10y2_ss4beg[15] ;
wire \tile_x10y2_ss4beg[1] ;
wire \tile_x10y2_ss4beg[2] ;
wire \tile_x10y2_ss4beg[3] ;
wire \tile_x10y2_ss4beg[4] ;
wire \tile_x10y2_ss4beg[5] ;
wire \tile_x10y2_ss4beg[6] ;
wire \tile_x10y2_ss4beg[7] ;
wire \tile_x10y2_ss4beg[8] ;
wire \tile_x10y2_ss4beg[9] ;
wire tile_x10y2_userclko;
wire \tile_x10y2_w1beg[0] ;
wire \tile_x10y2_w1beg[1] ;
wire \tile_x10y2_w1beg[2] ;
wire \tile_x10y2_w1beg[3] ;
wire \tile_x10y2_w2beg[0] ;
wire \tile_x10y2_w2beg[1] ;
wire \tile_x10y2_w2beg[2] ;
wire \tile_x10y2_w2beg[3] ;
wire \tile_x10y2_w2beg[4] ;
wire \tile_x10y2_w2beg[5] ;
wire \tile_x10y2_w2beg[6] ;
wire \tile_x10y2_w2beg[7] ;
wire \tile_x10y2_w2begb[0] ;
wire \tile_x10y2_w2begb[1] ;
wire \tile_x10y2_w2begb[2] ;
wire \tile_x10y2_w2begb[3] ;
wire \tile_x10y2_w2begb[4] ;
wire \tile_x10y2_w2begb[5] ;
wire \tile_x10y2_w2begb[6] ;
wire \tile_x10y2_w2begb[7] ;
wire \tile_x10y2_w6beg[0] ;
wire \tile_x10y2_w6beg[10] ;
wire \tile_x10y2_w6beg[11] ;
wire \tile_x10y2_w6beg[1] ;
wire \tile_x10y2_w6beg[2] ;
wire \tile_x10y2_w6beg[3] ;
wire \tile_x10y2_w6beg[4] ;
wire \tile_x10y2_w6beg[5] ;
wire \tile_x10y2_w6beg[6] ;
wire \tile_x10y2_w6beg[7] ;
wire \tile_x10y2_w6beg[8] ;
wire \tile_x10y2_w6beg[9] ;
wire \tile_x10y2_ww4beg[0] ;
wire \tile_x10y2_ww4beg[10] ;
wire \tile_x10y2_ww4beg[11] ;
wire \tile_x10y2_ww4beg[12] ;
wire \tile_x10y2_ww4beg[13] ;
wire \tile_x10y2_ww4beg[14] ;
wire \tile_x10y2_ww4beg[15] ;
wire \tile_x10y2_ww4beg[1] ;
wire \tile_x10y2_ww4beg[2] ;
wire \tile_x10y2_ww4beg[3] ;
wire \tile_x10y2_ww4beg[4] ;
wire \tile_x10y2_ww4beg[5] ;
wire \tile_x10y2_ww4beg[6] ;
wire \tile_x10y2_ww4beg[7] ;
wire \tile_x10y2_ww4beg[8] ;
wire \tile_x10y2_ww4beg[9] ;
wire tile_x10y3_co;
wire \tile_x10y3_e1beg[0] ;
wire \tile_x10y3_e1beg[1] ;
wire \tile_x10y3_e1beg[2] ;
wire \tile_x10y3_e1beg[3] ;
wire \tile_x10y3_e2beg[0] ;
wire \tile_x10y3_e2beg[1] ;
wire \tile_x10y3_e2beg[2] ;
wire \tile_x10y3_e2beg[3] ;
wire \tile_x10y3_e2beg[4] ;
wire \tile_x10y3_e2beg[5] ;
wire \tile_x10y3_e2beg[6] ;
wire \tile_x10y3_e2beg[7] ;
wire \tile_x10y3_e2begb[0] ;
wire \tile_x10y3_e2begb[1] ;
wire \tile_x10y3_e2begb[2] ;
wire \tile_x10y3_e2begb[3] ;
wire \tile_x10y3_e2begb[4] ;
wire \tile_x10y3_e2begb[5] ;
wire \tile_x10y3_e2begb[6] ;
wire \tile_x10y3_e2begb[7] ;
wire \tile_x10y3_e6beg[0] ;
wire \tile_x10y3_e6beg[10] ;
wire \tile_x10y3_e6beg[11] ;
wire \tile_x10y3_e6beg[1] ;
wire \tile_x10y3_e6beg[2] ;
wire \tile_x10y3_e6beg[3] ;
wire \tile_x10y3_e6beg[4] ;
wire \tile_x10y3_e6beg[5] ;
wire \tile_x10y3_e6beg[6] ;
wire \tile_x10y3_e6beg[7] ;
wire \tile_x10y3_e6beg[8] ;
wire \tile_x10y3_e6beg[9] ;
wire \tile_x10y3_ee4beg[0] ;
wire \tile_x10y3_ee4beg[10] ;
wire \tile_x10y3_ee4beg[11] ;
wire \tile_x10y3_ee4beg[12] ;
wire \tile_x10y3_ee4beg[13] ;
wire \tile_x10y3_ee4beg[14] ;
wire \tile_x10y3_ee4beg[15] ;
wire \tile_x10y3_ee4beg[1] ;
wire \tile_x10y3_ee4beg[2] ;
wire \tile_x10y3_ee4beg[3] ;
wire \tile_x10y3_ee4beg[4] ;
wire \tile_x10y3_ee4beg[5] ;
wire \tile_x10y3_ee4beg[6] ;
wire \tile_x10y3_ee4beg[7] ;
wire \tile_x10y3_ee4beg[8] ;
wire \tile_x10y3_ee4beg[9] ;
wire \tile_x10y3_framedata_o[0] ;
wire \tile_x10y3_framedata_o[10] ;
wire \tile_x10y3_framedata_o[11] ;
wire \tile_x10y3_framedata_o[12] ;
wire \tile_x10y3_framedata_o[13] ;
wire \tile_x10y3_framedata_o[14] ;
wire \tile_x10y3_framedata_o[15] ;
wire \tile_x10y3_framedata_o[16] ;
wire \tile_x10y3_framedata_o[17] ;
wire \tile_x10y3_framedata_o[18] ;
wire \tile_x10y3_framedata_o[19] ;
wire \tile_x10y3_framedata_o[1] ;
wire \tile_x10y3_framedata_o[20] ;
wire \tile_x10y3_framedata_o[21] ;
wire \tile_x10y3_framedata_o[22] ;
wire \tile_x10y3_framedata_o[23] ;
wire \tile_x10y3_framedata_o[24] ;
wire \tile_x10y3_framedata_o[25] ;
wire \tile_x10y3_framedata_o[26] ;
wire \tile_x10y3_framedata_o[27] ;
wire \tile_x10y3_framedata_o[28] ;
wire \tile_x10y3_framedata_o[29] ;
wire \tile_x10y3_framedata_o[2] ;
wire \tile_x10y3_framedata_o[30] ;
wire \tile_x10y3_framedata_o[31] ;
wire \tile_x10y3_framedata_o[3] ;
wire \tile_x10y3_framedata_o[4] ;
wire \tile_x10y3_framedata_o[5] ;
wire \tile_x10y3_framedata_o[6] ;
wire \tile_x10y3_framedata_o[7] ;
wire \tile_x10y3_framedata_o[8] ;
wire \tile_x10y3_framedata_o[9] ;
wire \tile_x10y3_framestrobe_o[0] ;
wire \tile_x10y3_framestrobe_o[10] ;
wire \tile_x10y3_framestrobe_o[11] ;
wire \tile_x10y3_framestrobe_o[12] ;
wire \tile_x10y3_framestrobe_o[13] ;
wire \tile_x10y3_framestrobe_o[14] ;
wire \tile_x10y3_framestrobe_o[15] ;
wire \tile_x10y3_framestrobe_o[16] ;
wire \tile_x10y3_framestrobe_o[17] ;
wire \tile_x10y3_framestrobe_o[18] ;
wire \tile_x10y3_framestrobe_o[19] ;
wire \tile_x10y3_framestrobe_o[1] ;
wire \tile_x10y3_framestrobe_o[2] ;
wire \tile_x10y3_framestrobe_o[3] ;
wire \tile_x10y3_framestrobe_o[4] ;
wire \tile_x10y3_framestrobe_o[5] ;
wire \tile_x10y3_framestrobe_o[6] ;
wire \tile_x10y3_framestrobe_o[7] ;
wire \tile_x10y3_framestrobe_o[8] ;
wire \tile_x10y3_framestrobe_o[9] ;
wire \tile_x10y3_n1beg[0] ;
wire \tile_x10y3_n1beg[1] ;
wire \tile_x10y3_n1beg[2] ;
wire \tile_x10y3_n1beg[3] ;
wire \tile_x10y3_n2beg[0] ;
wire \tile_x10y3_n2beg[1] ;
wire \tile_x10y3_n2beg[2] ;
wire \tile_x10y3_n2beg[3] ;
wire \tile_x10y3_n2beg[4] ;
wire \tile_x10y3_n2beg[5] ;
wire \tile_x10y3_n2beg[6] ;
wire \tile_x10y3_n2beg[7] ;
wire \tile_x10y3_n2begb[0] ;
wire \tile_x10y3_n2begb[1] ;
wire \tile_x10y3_n2begb[2] ;
wire \tile_x10y3_n2begb[3] ;
wire \tile_x10y3_n2begb[4] ;
wire \tile_x10y3_n2begb[5] ;
wire \tile_x10y3_n2begb[6] ;
wire \tile_x10y3_n2begb[7] ;
wire \tile_x10y3_n4beg[0] ;
wire \tile_x10y3_n4beg[10] ;
wire \tile_x10y3_n4beg[11] ;
wire \tile_x10y3_n4beg[12] ;
wire \tile_x10y3_n4beg[13] ;
wire \tile_x10y3_n4beg[14] ;
wire \tile_x10y3_n4beg[15] ;
wire \tile_x10y3_n4beg[1] ;
wire \tile_x10y3_n4beg[2] ;
wire \tile_x10y3_n4beg[3] ;
wire \tile_x10y3_n4beg[4] ;
wire \tile_x10y3_n4beg[5] ;
wire \tile_x10y3_n4beg[6] ;
wire \tile_x10y3_n4beg[7] ;
wire \tile_x10y3_n4beg[8] ;
wire \tile_x10y3_n4beg[9] ;
wire \tile_x10y3_nn4beg[0] ;
wire \tile_x10y3_nn4beg[10] ;
wire \tile_x10y3_nn4beg[11] ;
wire \tile_x10y3_nn4beg[12] ;
wire \tile_x10y3_nn4beg[13] ;
wire \tile_x10y3_nn4beg[14] ;
wire \tile_x10y3_nn4beg[15] ;
wire \tile_x10y3_nn4beg[1] ;
wire \tile_x10y3_nn4beg[2] ;
wire \tile_x10y3_nn4beg[3] ;
wire \tile_x10y3_nn4beg[4] ;
wire \tile_x10y3_nn4beg[5] ;
wire \tile_x10y3_nn4beg[6] ;
wire \tile_x10y3_nn4beg[7] ;
wire \tile_x10y3_nn4beg[8] ;
wire \tile_x10y3_nn4beg[9] ;
wire \tile_x10y3_s1beg[0] ;
wire \tile_x10y3_s1beg[1] ;
wire \tile_x10y3_s1beg[2] ;
wire \tile_x10y3_s1beg[3] ;
wire \tile_x10y3_s2beg[0] ;
wire \tile_x10y3_s2beg[1] ;
wire \tile_x10y3_s2beg[2] ;
wire \tile_x10y3_s2beg[3] ;
wire \tile_x10y3_s2beg[4] ;
wire \tile_x10y3_s2beg[5] ;
wire \tile_x10y3_s2beg[6] ;
wire \tile_x10y3_s2beg[7] ;
wire \tile_x10y3_s2begb[0] ;
wire \tile_x10y3_s2begb[1] ;
wire \tile_x10y3_s2begb[2] ;
wire \tile_x10y3_s2begb[3] ;
wire \tile_x10y3_s2begb[4] ;
wire \tile_x10y3_s2begb[5] ;
wire \tile_x10y3_s2begb[6] ;
wire \tile_x10y3_s2begb[7] ;
wire \tile_x10y3_s4beg[0] ;
wire \tile_x10y3_s4beg[10] ;
wire \tile_x10y3_s4beg[11] ;
wire \tile_x10y3_s4beg[12] ;
wire \tile_x10y3_s4beg[13] ;
wire \tile_x10y3_s4beg[14] ;
wire \tile_x10y3_s4beg[15] ;
wire \tile_x10y3_s4beg[1] ;
wire \tile_x10y3_s4beg[2] ;
wire \tile_x10y3_s4beg[3] ;
wire \tile_x10y3_s4beg[4] ;
wire \tile_x10y3_s4beg[5] ;
wire \tile_x10y3_s4beg[6] ;
wire \tile_x10y3_s4beg[7] ;
wire \tile_x10y3_s4beg[8] ;
wire \tile_x10y3_s4beg[9] ;
wire \tile_x10y3_ss4beg[0] ;
wire \tile_x10y3_ss4beg[10] ;
wire \tile_x10y3_ss4beg[11] ;
wire \tile_x10y3_ss4beg[12] ;
wire \tile_x10y3_ss4beg[13] ;
wire \tile_x10y3_ss4beg[14] ;
wire \tile_x10y3_ss4beg[15] ;
wire \tile_x10y3_ss4beg[1] ;
wire \tile_x10y3_ss4beg[2] ;
wire \tile_x10y3_ss4beg[3] ;
wire \tile_x10y3_ss4beg[4] ;
wire \tile_x10y3_ss4beg[5] ;
wire \tile_x10y3_ss4beg[6] ;
wire \tile_x10y3_ss4beg[7] ;
wire \tile_x10y3_ss4beg[8] ;
wire \tile_x10y3_ss4beg[9] ;
wire tile_x10y3_userclko;
wire \tile_x10y3_w1beg[0] ;
wire \tile_x10y3_w1beg[1] ;
wire \tile_x10y3_w1beg[2] ;
wire \tile_x10y3_w1beg[3] ;
wire \tile_x10y3_w2beg[0] ;
wire \tile_x10y3_w2beg[1] ;
wire \tile_x10y3_w2beg[2] ;
wire \tile_x10y3_w2beg[3] ;
wire \tile_x10y3_w2beg[4] ;
wire \tile_x10y3_w2beg[5] ;
wire \tile_x10y3_w2beg[6] ;
wire \tile_x10y3_w2beg[7] ;
wire \tile_x10y3_w2begb[0] ;
wire \tile_x10y3_w2begb[1] ;
wire \tile_x10y3_w2begb[2] ;
wire \tile_x10y3_w2begb[3] ;
wire \tile_x10y3_w2begb[4] ;
wire \tile_x10y3_w2begb[5] ;
wire \tile_x10y3_w2begb[6] ;
wire \tile_x10y3_w2begb[7] ;
wire \tile_x10y3_w6beg[0] ;
wire \tile_x10y3_w6beg[10] ;
wire \tile_x10y3_w6beg[11] ;
wire \tile_x10y3_w6beg[1] ;
wire \tile_x10y3_w6beg[2] ;
wire \tile_x10y3_w6beg[3] ;
wire \tile_x10y3_w6beg[4] ;
wire \tile_x10y3_w6beg[5] ;
wire \tile_x10y3_w6beg[6] ;
wire \tile_x10y3_w6beg[7] ;
wire \tile_x10y3_w6beg[8] ;
wire \tile_x10y3_w6beg[9] ;
wire \tile_x10y3_ww4beg[0] ;
wire \tile_x10y3_ww4beg[10] ;
wire \tile_x10y3_ww4beg[11] ;
wire \tile_x10y3_ww4beg[12] ;
wire \tile_x10y3_ww4beg[13] ;
wire \tile_x10y3_ww4beg[14] ;
wire \tile_x10y3_ww4beg[15] ;
wire \tile_x10y3_ww4beg[1] ;
wire \tile_x10y3_ww4beg[2] ;
wire \tile_x10y3_ww4beg[3] ;
wire \tile_x10y3_ww4beg[4] ;
wire \tile_x10y3_ww4beg[5] ;
wire \tile_x10y3_ww4beg[6] ;
wire \tile_x10y3_ww4beg[7] ;
wire \tile_x10y3_ww4beg[8] ;
wire \tile_x10y3_ww4beg[9] ;
wire tile_x10y4_co;
wire \tile_x10y4_e1beg[0] ;
wire \tile_x10y4_e1beg[1] ;
wire \tile_x10y4_e1beg[2] ;
wire \tile_x10y4_e1beg[3] ;
wire \tile_x10y4_e2beg[0] ;
wire \tile_x10y4_e2beg[1] ;
wire \tile_x10y4_e2beg[2] ;
wire \tile_x10y4_e2beg[3] ;
wire \tile_x10y4_e2beg[4] ;
wire \tile_x10y4_e2beg[5] ;
wire \tile_x10y4_e2beg[6] ;
wire \tile_x10y4_e2beg[7] ;
wire \tile_x10y4_e2begb[0] ;
wire \tile_x10y4_e2begb[1] ;
wire \tile_x10y4_e2begb[2] ;
wire \tile_x10y4_e2begb[3] ;
wire \tile_x10y4_e2begb[4] ;
wire \tile_x10y4_e2begb[5] ;
wire \tile_x10y4_e2begb[6] ;
wire \tile_x10y4_e2begb[7] ;
wire \tile_x10y4_e6beg[0] ;
wire \tile_x10y4_e6beg[10] ;
wire \tile_x10y4_e6beg[11] ;
wire \tile_x10y4_e6beg[1] ;
wire \tile_x10y4_e6beg[2] ;
wire \tile_x10y4_e6beg[3] ;
wire \tile_x10y4_e6beg[4] ;
wire \tile_x10y4_e6beg[5] ;
wire \tile_x10y4_e6beg[6] ;
wire \tile_x10y4_e6beg[7] ;
wire \tile_x10y4_e6beg[8] ;
wire \tile_x10y4_e6beg[9] ;
wire \tile_x10y4_ee4beg[0] ;
wire \tile_x10y4_ee4beg[10] ;
wire \tile_x10y4_ee4beg[11] ;
wire \tile_x10y4_ee4beg[12] ;
wire \tile_x10y4_ee4beg[13] ;
wire \tile_x10y4_ee4beg[14] ;
wire \tile_x10y4_ee4beg[15] ;
wire \tile_x10y4_ee4beg[1] ;
wire \tile_x10y4_ee4beg[2] ;
wire \tile_x10y4_ee4beg[3] ;
wire \tile_x10y4_ee4beg[4] ;
wire \tile_x10y4_ee4beg[5] ;
wire \tile_x10y4_ee4beg[6] ;
wire \tile_x10y4_ee4beg[7] ;
wire \tile_x10y4_ee4beg[8] ;
wire \tile_x10y4_ee4beg[9] ;
wire \tile_x10y4_framedata_o[0] ;
wire \tile_x10y4_framedata_o[10] ;
wire \tile_x10y4_framedata_o[11] ;
wire \tile_x10y4_framedata_o[12] ;
wire \tile_x10y4_framedata_o[13] ;
wire \tile_x10y4_framedata_o[14] ;
wire \tile_x10y4_framedata_o[15] ;
wire \tile_x10y4_framedata_o[16] ;
wire \tile_x10y4_framedata_o[17] ;
wire \tile_x10y4_framedata_o[18] ;
wire \tile_x10y4_framedata_o[19] ;
wire \tile_x10y4_framedata_o[1] ;
wire \tile_x10y4_framedata_o[20] ;
wire \tile_x10y4_framedata_o[21] ;
wire \tile_x10y4_framedata_o[22] ;
wire \tile_x10y4_framedata_o[23] ;
wire \tile_x10y4_framedata_o[24] ;
wire \tile_x10y4_framedata_o[25] ;
wire \tile_x10y4_framedata_o[26] ;
wire \tile_x10y4_framedata_o[27] ;
wire \tile_x10y4_framedata_o[28] ;
wire \tile_x10y4_framedata_o[29] ;
wire \tile_x10y4_framedata_o[2] ;
wire \tile_x10y4_framedata_o[30] ;
wire \tile_x10y4_framedata_o[31] ;
wire \tile_x10y4_framedata_o[3] ;
wire \tile_x10y4_framedata_o[4] ;
wire \tile_x10y4_framedata_o[5] ;
wire \tile_x10y4_framedata_o[6] ;
wire \tile_x10y4_framedata_o[7] ;
wire \tile_x10y4_framedata_o[8] ;
wire \tile_x10y4_framedata_o[9] ;
wire \tile_x10y4_framestrobe_o[0] ;
wire \tile_x10y4_framestrobe_o[10] ;
wire \tile_x10y4_framestrobe_o[11] ;
wire \tile_x10y4_framestrobe_o[12] ;
wire \tile_x10y4_framestrobe_o[13] ;
wire \tile_x10y4_framestrobe_o[14] ;
wire \tile_x10y4_framestrobe_o[15] ;
wire \tile_x10y4_framestrobe_o[16] ;
wire \tile_x10y4_framestrobe_o[17] ;
wire \tile_x10y4_framestrobe_o[18] ;
wire \tile_x10y4_framestrobe_o[19] ;
wire \tile_x10y4_framestrobe_o[1] ;
wire \tile_x10y4_framestrobe_o[2] ;
wire \tile_x10y4_framestrobe_o[3] ;
wire \tile_x10y4_framestrobe_o[4] ;
wire \tile_x10y4_framestrobe_o[5] ;
wire \tile_x10y4_framestrobe_o[6] ;
wire \tile_x10y4_framestrobe_o[7] ;
wire \tile_x10y4_framestrobe_o[8] ;
wire \tile_x10y4_framestrobe_o[9] ;
wire \tile_x10y4_n1beg[0] ;
wire \tile_x10y4_n1beg[1] ;
wire \tile_x10y4_n1beg[2] ;
wire \tile_x10y4_n1beg[3] ;
wire \tile_x10y4_n2beg[0] ;
wire \tile_x10y4_n2beg[1] ;
wire \tile_x10y4_n2beg[2] ;
wire \tile_x10y4_n2beg[3] ;
wire \tile_x10y4_n2beg[4] ;
wire \tile_x10y4_n2beg[5] ;
wire \tile_x10y4_n2beg[6] ;
wire \tile_x10y4_n2beg[7] ;
wire \tile_x10y4_n2begb[0] ;
wire \tile_x10y4_n2begb[1] ;
wire \tile_x10y4_n2begb[2] ;
wire \tile_x10y4_n2begb[3] ;
wire \tile_x10y4_n2begb[4] ;
wire \tile_x10y4_n2begb[5] ;
wire \tile_x10y4_n2begb[6] ;
wire \tile_x10y4_n2begb[7] ;
wire \tile_x10y4_n4beg[0] ;
wire \tile_x10y4_n4beg[10] ;
wire \tile_x10y4_n4beg[11] ;
wire \tile_x10y4_n4beg[12] ;
wire \tile_x10y4_n4beg[13] ;
wire \tile_x10y4_n4beg[14] ;
wire \tile_x10y4_n4beg[15] ;
wire \tile_x10y4_n4beg[1] ;
wire \tile_x10y4_n4beg[2] ;
wire \tile_x10y4_n4beg[3] ;
wire \tile_x10y4_n4beg[4] ;
wire \tile_x10y4_n4beg[5] ;
wire \tile_x10y4_n4beg[6] ;
wire \tile_x10y4_n4beg[7] ;
wire \tile_x10y4_n4beg[8] ;
wire \tile_x10y4_n4beg[9] ;
wire \tile_x10y4_nn4beg[0] ;
wire \tile_x10y4_nn4beg[10] ;
wire \tile_x10y4_nn4beg[11] ;
wire \tile_x10y4_nn4beg[12] ;
wire \tile_x10y4_nn4beg[13] ;
wire \tile_x10y4_nn4beg[14] ;
wire \tile_x10y4_nn4beg[15] ;
wire \tile_x10y4_nn4beg[1] ;
wire \tile_x10y4_nn4beg[2] ;
wire \tile_x10y4_nn4beg[3] ;
wire \tile_x10y4_nn4beg[4] ;
wire \tile_x10y4_nn4beg[5] ;
wire \tile_x10y4_nn4beg[6] ;
wire \tile_x10y4_nn4beg[7] ;
wire \tile_x10y4_nn4beg[8] ;
wire \tile_x10y4_nn4beg[9] ;
wire \tile_x10y4_s1beg[0] ;
wire \tile_x10y4_s1beg[1] ;
wire \tile_x10y4_s1beg[2] ;
wire \tile_x10y4_s1beg[3] ;
wire \tile_x10y4_s2beg[0] ;
wire \tile_x10y4_s2beg[1] ;
wire \tile_x10y4_s2beg[2] ;
wire \tile_x10y4_s2beg[3] ;
wire \tile_x10y4_s2beg[4] ;
wire \tile_x10y4_s2beg[5] ;
wire \tile_x10y4_s2beg[6] ;
wire \tile_x10y4_s2beg[7] ;
wire \tile_x10y4_s2begb[0] ;
wire \tile_x10y4_s2begb[1] ;
wire \tile_x10y4_s2begb[2] ;
wire \tile_x10y4_s2begb[3] ;
wire \tile_x10y4_s2begb[4] ;
wire \tile_x10y4_s2begb[5] ;
wire \tile_x10y4_s2begb[6] ;
wire \tile_x10y4_s2begb[7] ;
wire \tile_x10y4_s4beg[0] ;
wire \tile_x10y4_s4beg[10] ;
wire \tile_x10y4_s4beg[11] ;
wire \tile_x10y4_s4beg[12] ;
wire \tile_x10y4_s4beg[13] ;
wire \tile_x10y4_s4beg[14] ;
wire \tile_x10y4_s4beg[15] ;
wire \tile_x10y4_s4beg[1] ;
wire \tile_x10y4_s4beg[2] ;
wire \tile_x10y4_s4beg[3] ;
wire \tile_x10y4_s4beg[4] ;
wire \tile_x10y4_s4beg[5] ;
wire \tile_x10y4_s4beg[6] ;
wire \tile_x10y4_s4beg[7] ;
wire \tile_x10y4_s4beg[8] ;
wire \tile_x10y4_s4beg[9] ;
wire \tile_x10y4_ss4beg[0] ;
wire \tile_x10y4_ss4beg[10] ;
wire \tile_x10y4_ss4beg[11] ;
wire \tile_x10y4_ss4beg[12] ;
wire \tile_x10y4_ss4beg[13] ;
wire \tile_x10y4_ss4beg[14] ;
wire \tile_x10y4_ss4beg[15] ;
wire \tile_x10y4_ss4beg[1] ;
wire \tile_x10y4_ss4beg[2] ;
wire \tile_x10y4_ss4beg[3] ;
wire \tile_x10y4_ss4beg[4] ;
wire \tile_x10y4_ss4beg[5] ;
wire \tile_x10y4_ss4beg[6] ;
wire \tile_x10y4_ss4beg[7] ;
wire \tile_x10y4_ss4beg[8] ;
wire \tile_x10y4_ss4beg[9] ;
wire tile_x10y4_userclko;
wire \tile_x10y4_w1beg[0] ;
wire \tile_x10y4_w1beg[1] ;
wire \tile_x10y4_w1beg[2] ;
wire \tile_x10y4_w1beg[3] ;
wire \tile_x10y4_w2beg[0] ;
wire \tile_x10y4_w2beg[1] ;
wire \tile_x10y4_w2beg[2] ;
wire \tile_x10y4_w2beg[3] ;
wire \tile_x10y4_w2beg[4] ;
wire \tile_x10y4_w2beg[5] ;
wire \tile_x10y4_w2beg[6] ;
wire \tile_x10y4_w2beg[7] ;
wire \tile_x10y4_w2begb[0] ;
wire \tile_x10y4_w2begb[1] ;
wire \tile_x10y4_w2begb[2] ;
wire \tile_x10y4_w2begb[3] ;
wire \tile_x10y4_w2begb[4] ;
wire \tile_x10y4_w2begb[5] ;
wire \tile_x10y4_w2begb[6] ;
wire \tile_x10y4_w2begb[7] ;
wire \tile_x10y4_w6beg[0] ;
wire \tile_x10y4_w6beg[10] ;
wire \tile_x10y4_w6beg[11] ;
wire \tile_x10y4_w6beg[1] ;
wire \tile_x10y4_w6beg[2] ;
wire \tile_x10y4_w6beg[3] ;
wire \tile_x10y4_w6beg[4] ;
wire \tile_x10y4_w6beg[5] ;
wire \tile_x10y4_w6beg[6] ;
wire \tile_x10y4_w6beg[7] ;
wire \tile_x10y4_w6beg[8] ;
wire \tile_x10y4_w6beg[9] ;
wire \tile_x10y4_ww4beg[0] ;
wire \tile_x10y4_ww4beg[10] ;
wire \tile_x10y4_ww4beg[11] ;
wire \tile_x10y4_ww4beg[12] ;
wire \tile_x10y4_ww4beg[13] ;
wire \tile_x10y4_ww4beg[14] ;
wire \tile_x10y4_ww4beg[15] ;
wire \tile_x10y4_ww4beg[1] ;
wire \tile_x10y4_ww4beg[2] ;
wire \tile_x10y4_ww4beg[3] ;
wire \tile_x10y4_ww4beg[4] ;
wire \tile_x10y4_ww4beg[5] ;
wire \tile_x10y4_ww4beg[6] ;
wire \tile_x10y4_ww4beg[7] ;
wire \tile_x10y4_ww4beg[8] ;
wire \tile_x10y4_ww4beg[9] ;
wire tile_x10y5_co;
wire \tile_x10y5_e1beg[0] ;
wire \tile_x10y5_e1beg[1] ;
wire \tile_x10y5_e1beg[2] ;
wire \tile_x10y5_e1beg[3] ;
wire \tile_x10y5_e2beg[0] ;
wire \tile_x10y5_e2beg[1] ;
wire \tile_x10y5_e2beg[2] ;
wire \tile_x10y5_e2beg[3] ;
wire \tile_x10y5_e2beg[4] ;
wire \tile_x10y5_e2beg[5] ;
wire \tile_x10y5_e2beg[6] ;
wire \tile_x10y5_e2beg[7] ;
wire \tile_x10y5_e2begb[0] ;
wire \tile_x10y5_e2begb[1] ;
wire \tile_x10y5_e2begb[2] ;
wire \tile_x10y5_e2begb[3] ;
wire \tile_x10y5_e2begb[4] ;
wire \tile_x10y5_e2begb[5] ;
wire \tile_x10y5_e2begb[6] ;
wire \tile_x10y5_e2begb[7] ;
wire \tile_x10y5_e6beg[0] ;
wire \tile_x10y5_e6beg[10] ;
wire \tile_x10y5_e6beg[11] ;
wire \tile_x10y5_e6beg[1] ;
wire \tile_x10y5_e6beg[2] ;
wire \tile_x10y5_e6beg[3] ;
wire \tile_x10y5_e6beg[4] ;
wire \tile_x10y5_e6beg[5] ;
wire \tile_x10y5_e6beg[6] ;
wire \tile_x10y5_e6beg[7] ;
wire \tile_x10y5_e6beg[8] ;
wire \tile_x10y5_e6beg[9] ;
wire \tile_x10y5_ee4beg[0] ;
wire \tile_x10y5_ee4beg[10] ;
wire \tile_x10y5_ee4beg[11] ;
wire \tile_x10y5_ee4beg[12] ;
wire \tile_x10y5_ee4beg[13] ;
wire \tile_x10y5_ee4beg[14] ;
wire \tile_x10y5_ee4beg[15] ;
wire \tile_x10y5_ee4beg[1] ;
wire \tile_x10y5_ee4beg[2] ;
wire \tile_x10y5_ee4beg[3] ;
wire \tile_x10y5_ee4beg[4] ;
wire \tile_x10y5_ee4beg[5] ;
wire \tile_x10y5_ee4beg[6] ;
wire \tile_x10y5_ee4beg[7] ;
wire \tile_x10y5_ee4beg[8] ;
wire \tile_x10y5_ee4beg[9] ;
wire \tile_x10y5_framedata_o[0] ;
wire \tile_x10y5_framedata_o[10] ;
wire \tile_x10y5_framedata_o[11] ;
wire \tile_x10y5_framedata_o[12] ;
wire \tile_x10y5_framedata_o[13] ;
wire \tile_x10y5_framedata_o[14] ;
wire \tile_x10y5_framedata_o[15] ;
wire \tile_x10y5_framedata_o[16] ;
wire \tile_x10y5_framedata_o[17] ;
wire \tile_x10y5_framedata_o[18] ;
wire \tile_x10y5_framedata_o[19] ;
wire \tile_x10y5_framedata_o[1] ;
wire \tile_x10y5_framedata_o[20] ;
wire \tile_x10y5_framedata_o[21] ;
wire \tile_x10y5_framedata_o[22] ;
wire \tile_x10y5_framedata_o[23] ;
wire \tile_x10y5_framedata_o[24] ;
wire \tile_x10y5_framedata_o[25] ;
wire \tile_x10y5_framedata_o[26] ;
wire \tile_x10y5_framedata_o[27] ;
wire \tile_x10y5_framedata_o[28] ;
wire \tile_x10y5_framedata_o[29] ;
wire \tile_x10y5_framedata_o[2] ;
wire \tile_x10y5_framedata_o[30] ;
wire \tile_x10y5_framedata_o[31] ;
wire \tile_x10y5_framedata_o[3] ;
wire \tile_x10y5_framedata_o[4] ;
wire \tile_x10y5_framedata_o[5] ;
wire \tile_x10y5_framedata_o[6] ;
wire \tile_x10y5_framedata_o[7] ;
wire \tile_x10y5_framedata_o[8] ;
wire \tile_x10y5_framedata_o[9] ;
wire \tile_x10y5_framestrobe_o[0] ;
wire \tile_x10y5_framestrobe_o[10] ;
wire \tile_x10y5_framestrobe_o[11] ;
wire \tile_x10y5_framestrobe_o[12] ;
wire \tile_x10y5_framestrobe_o[13] ;
wire \tile_x10y5_framestrobe_o[14] ;
wire \tile_x10y5_framestrobe_o[15] ;
wire \tile_x10y5_framestrobe_o[16] ;
wire \tile_x10y5_framestrobe_o[17] ;
wire \tile_x10y5_framestrobe_o[18] ;
wire \tile_x10y5_framestrobe_o[19] ;
wire \tile_x10y5_framestrobe_o[1] ;
wire \tile_x10y5_framestrobe_o[2] ;
wire \tile_x10y5_framestrobe_o[3] ;
wire \tile_x10y5_framestrobe_o[4] ;
wire \tile_x10y5_framestrobe_o[5] ;
wire \tile_x10y5_framestrobe_o[6] ;
wire \tile_x10y5_framestrobe_o[7] ;
wire \tile_x10y5_framestrobe_o[8] ;
wire \tile_x10y5_framestrobe_o[9] ;
wire \tile_x10y5_n1beg[0] ;
wire \tile_x10y5_n1beg[1] ;
wire \tile_x10y5_n1beg[2] ;
wire \tile_x10y5_n1beg[3] ;
wire \tile_x10y5_n2beg[0] ;
wire \tile_x10y5_n2beg[1] ;
wire \tile_x10y5_n2beg[2] ;
wire \tile_x10y5_n2beg[3] ;
wire \tile_x10y5_n2beg[4] ;
wire \tile_x10y5_n2beg[5] ;
wire \tile_x10y5_n2beg[6] ;
wire \tile_x10y5_n2beg[7] ;
wire \tile_x10y5_n2begb[0] ;
wire \tile_x10y5_n2begb[1] ;
wire \tile_x10y5_n2begb[2] ;
wire \tile_x10y5_n2begb[3] ;
wire \tile_x10y5_n2begb[4] ;
wire \tile_x10y5_n2begb[5] ;
wire \tile_x10y5_n2begb[6] ;
wire \tile_x10y5_n2begb[7] ;
wire \tile_x10y5_n4beg[0] ;
wire \tile_x10y5_n4beg[10] ;
wire \tile_x10y5_n4beg[11] ;
wire \tile_x10y5_n4beg[12] ;
wire \tile_x10y5_n4beg[13] ;
wire \tile_x10y5_n4beg[14] ;
wire \tile_x10y5_n4beg[15] ;
wire \tile_x10y5_n4beg[1] ;
wire \tile_x10y5_n4beg[2] ;
wire \tile_x10y5_n4beg[3] ;
wire \tile_x10y5_n4beg[4] ;
wire \tile_x10y5_n4beg[5] ;
wire \tile_x10y5_n4beg[6] ;
wire \tile_x10y5_n4beg[7] ;
wire \tile_x10y5_n4beg[8] ;
wire \tile_x10y5_n4beg[9] ;
wire \tile_x10y5_nn4beg[0] ;
wire \tile_x10y5_nn4beg[10] ;
wire \tile_x10y5_nn4beg[11] ;
wire \tile_x10y5_nn4beg[12] ;
wire \tile_x10y5_nn4beg[13] ;
wire \tile_x10y5_nn4beg[14] ;
wire \tile_x10y5_nn4beg[15] ;
wire \tile_x10y5_nn4beg[1] ;
wire \tile_x10y5_nn4beg[2] ;
wire \tile_x10y5_nn4beg[3] ;
wire \tile_x10y5_nn4beg[4] ;
wire \tile_x10y5_nn4beg[5] ;
wire \tile_x10y5_nn4beg[6] ;
wire \tile_x10y5_nn4beg[7] ;
wire \tile_x10y5_nn4beg[8] ;
wire \tile_x10y5_nn4beg[9] ;
wire \tile_x10y5_s1beg[0] ;
wire \tile_x10y5_s1beg[1] ;
wire \tile_x10y5_s1beg[2] ;
wire \tile_x10y5_s1beg[3] ;
wire \tile_x10y5_s2beg[0] ;
wire \tile_x10y5_s2beg[1] ;
wire \tile_x10y5_s2beg[2] ;
wire \tile_x10y5_s2beg[3] ;
wire \tile_x10y5_s2beg[4] ;
wire \tile_x10y5_s2beg[5] ;
wire \tile_x10y5_s2beg[6] ;
wire \tile_x10y5_s2beg[7] ;
wire \tile_x10y5_s2begb[0] ;
wire \tile_x10y5_s2begb[1] ;
wire \tile_x10y5_s2begb[2] ;
wire \tile_x10y5_s2begb[3] ;
wire \tile_x10y5_s2begb[4] ;
wire \tile_x10y5_s2begb[5] ;
wire \tile_x10y5_s2begb[6] ;
wire \tile_x10y5_s2begb[7] ;
wire \tile_x10y5_s4beg[0] ;
wire \tile_x10y5_s4beg[10] ;
wire \tile_x10y5_s4beg[11] ;
wire \tile_x10y5_s4beg[12] ;
wire \tile_x10y5_s4beg[13] ;
wire \tile_x10y5_s4beg[14] ;
wire \tile_x10y5_s4beg[15] ;
wire \tile_x10y5_s4beg[1] ;
wire \tile_x10y5_s4beg[2] ;
wire \tile_x10y5_s4beg[3] ;
wire \tile_x10y5_s4beg[4] ;
wire \tile_x10y5_s4beg[5] ;
wire \tile_x10y5_s4beg[6] ;
wire \tile_x10y5_s4beg[7] ;
wire \tile_x10y5_s4beg[8] ;
wire \tile_x10y5_s4beg[9] ;
wire \tile_x10y5_ss4beg[0] ;
wire \tile_x10y5_ss4beg[10] ;
wire \tile_x10y5_ss4beg[11] ;
wire \tile_x10y5_ss4beg[12] ;
wire \tile_x10y5_ss4beg[13] ;
wire \tile_x10y5_ss4beg[14] ;
wire \tile_x10y5_ss4beg[15] ;
wire \tile_x10y5_ss4beg[1] ;
wire \tile_x10y5_ss4beg[2] ;
wire \tile_x10y5_ss4beg[3] ;
wire \tile_x10y5_ss4beg[4] ;
wire \tile_x10y5_ss4beg[5] ;
wire \tile_x10y5_ss4beg[6] ;
wire \tile_x10y5_ss4beg[7] ;
wire \tile_x10y5_ss4beg[8] ;
wire \tile_x10y5_ss4beg[9] ;
wire tile_x10y5_userclko;
wire \tile_x10y5_w1beg[0] ;
wire \tile_x10y5_w1beg[1] ;
wire \tile_x10y5_w1beg[2] ;
wire \tile_x10y5_w1beg[3] ;
wire \tile_x10y5_w2beg[0] ;
wire \tile_x10y5_w2beg[1] ;
wire \tile_x10y5_w2beg[2] ;
wire \tile_x10y5_w2beg[3] ;
wire \tile_x10y5_w2beg[4] ;
wire \tile_x10y5_w2beg[5] ;
wire \tile_x10y5_w2beg[6] ;
wire \tile_x10y5_w2beg[7] ;
wire \tile_x10y5_w2begb[0] ;
wire \tile_x10y5_w2begb[1] ;
wire \tile_x10y5_w2begb[2] ;
wire \tile_x10y5_w2begb[3] ;
wire \tile_x10y5_w2begb[4] ;
wire \tile_x10y5_w2begb[5] ;
wire \tile_x10y5_w2begb[6] ;
wire \tile_x10y5_w2begb[7] ;
wire \tile_x10y5_w6beg[0] ;
wire \tile_x10y5_w6beg[10] ;
wire \tile_x10y5_w6beg[11] ;
wire \tile_x10y5_w6beg[1] ;
wire \tile_x10y5_w6beg[2] ;
wire \tile_x10y5_w6beg[3] ;
wire \tile_x10y5_w6beg[4] ;
wire \tile_x10y5_w6beg[5] ;
wire \tile_x10y5_w6beg[6] ;
wire \tile_x10y5_w6beg[7] ;
wire \tile_x10y5_w6beg[8] ;
wire \tile_x10y5_w6beg[9] ;
wire \tile_x10y5_ww4beg[0] ;
wire \tile_x10y5_ww4beg[10] ;
wire \tile_x10y5_ww4beg[11] ;
wire \tile_x10y5_ww4beg[12] ;
wire \tile_x10y5_ww4beg[13] ;
wire \tile_x10y5_ww4beg[14] ;
wire \tile_x10y5_ww4beg[15] ;
wire \tile_x10y5_ww4beg[1] ;
wire \tile_x10y5_ww4beg[2] ;
wire \tile_x10y5_ww4beg[3] ;
wire \tile_x10y5_ww4beg[4] ;
wire \tile_x10y5_ww4beg[5] ;
wire \tile_x10y5_ww4beg[6] ;
wire \tile_x10y5_ww4beg[7] ;
wire \tile_x10y5_ww4beg[8] ;
wire \tile_x10y5_ww4beg[9] ;
wire tile_x10y6_co;
wire \tile_x10y6_e1beg[0] ;
wire \tile_x10y6_e1beg[1] ;
wire \tile_x10y6_e1beg[2] ;
wire \tile_x10y6_e1beg[3] ;
wire \tile_x10y6_e2beg[0] ;
wire \tile_x10y6_e2beg[1] ;
wire \tile_x10y6_e2beg[2] ;
wire \tile_x10y6_e2beg[3] ;
wire \tile_x10y6_e2beg[4] ;
wire \tile_x10y6_e2beg[5] ;
wire \tile_x10y6_e2beg[6] ;
wire \tile_x10y6_e2beg[7] ;
wire \tile_x10y6_e2begb[0] ;
wire \tile_x10y6_e2begb[1] ;
wire \tile_x10y6_e2begb[2] ;
wire \tile_x10y6_e2begb[3] ;
wire \tile_x10y6_e2begb[4] ;
wire \tile_x10y6_e2begb[5] ;
wire \tile_x10y6_e2begb[6] ;
wire \tile_x10y6_e2begb[7] ;
wire \tile_x10y6_e6beg[0] ;
wire \tile_x10y6_e6beg[10] ;
wire \tile_x10y6_e6beg[11] ;
wire \tile_x10y6_e6beg[1] ;
wire \tile_x10y6_e6beg[2] ;
wire \tile_x10y6_e6beg[3] ;
wire \tile_x10y6_e6beg[4] ;
wire \tile_x10y6_e6beg[5] ;
wire \tile_x10y6_e6beg[6] ;
wire \tile_x10y6_e6beg[7] ;
wire \tile_x10y6_e6beg[8] ;
wire \tile_x10y6_e6beg[9] ;
wire \tile_x10y6_ee4beg[0] ;
wire \tile_x10y6_ee4beg[10] ;
wire \tile_x10y6_ee4beg[11] ;
wire \tile_x10y6_ee4beg[12] ;
wire \tile_x10y6_ee4beg[13] ;
wire \tile_x10y6_ee4beg[14] ;
wire \tile_x10y6_ee4beg[15] ;
wire \tile_x10y6_ee4beg[1] ;
wire \tile_x10y6_ee4beg[2] ;
wire \tile_x10y6_ee4beg[3] ;
wire \tile_x10y6_ee4beg[4] ;
wire \tile_x10y6_ee4beg[5] ;
wire \tile_x10y6_ee4beg[6] ;
wire \tile_x10y6_ee4beg[7] ;
wire \tile_x10y6_ee4beg[8] ;
wire \tile_x10y6_ee4beg[9] ;
wire \tile_x10y6_framedata_o[0] ;
wire \tile_x10y6_framedata_o[10] ;
wire \tile_x10y6_framedata_o[11] ;
wire \tile_x10y6_framedata_o[12] ;
wire \tile_x10y6_framedata_o[13] ;
wire \tile_x10y6_framedata_o[14] ;
wire \tile_x10y6_framedata_o[15] ;
wire \tile_x10y6_framedata_o[16] ;
wire \tile_x10y6_framedata_o[17] ;
wire \tile_x10y6_framedata_o[18] ;
wire \tile_x10y6_framedata_o[19] ;
wire \tile_x10y6_framedata_o[1] ;
wire \tile_x10y6_framedata_o[20] ;
wire \tile_x10y6_framedata_o[21] ;
wire \tile_x10y6_framedata_o[22] ;
wire \tile_x10y6_framedata_o[23] ;
wire \tile_x10y6_framedata_o[24] ;
wire \tile_x10y6_framedata_o[25] ;
wire \tile_x10y6_framedata_o[26] ;
wire \tile_x10y6_framedata_o[27] ;
wire \tile_x10y6_framedata_o[28] ;
wire \tile_x10y6_framedata_o[29] ;
wire \tile_x10y6_framedata_o[2] ;
wire \tile_x10y6_framedata_o[30] ;
wire \tile_x10y6_framedata_o[31] ;
wire \tile_x10y6_framedata_o[3] ;
wire \tile_x10y6_framedata_o[4] ;
wire \tile_x10y6_framedata_o[5] ;
wire \tile_x10y6_framedata_o[6] ;
wire \tile_x10y6_framedata_o[7] ;
wire \tile_x10y6_framedata_o[8] ;
wire \tile_x10y6_framedata_o[9] ;
wire \tile_x10y6_framestrobe_o[0] ;
wire \tile_x10y6_framestrobe_o[10] ;
wire \tile_x10y6_framestrobe_o[11] ;
wire \tile_x10y6_framestrobe_o[12] ;
wire \tile_x10y6_framestrobe_o[13] ;
wire \tile_x10y6_framestrobe_o[14] ;
wire \tile_x10y6_framestrobe_o[15] ;
wire \tile_x10y6_framestrobe_o[16] ;
wire \tile_x10y6_framestrobe_o[17] ;
wire \tile_x10y6_framestrobe_o[18] ;
wire \tile_x10y6_framestrobe_o[19] ;
wire \tile_x10y6_framestrobe_o[1] ;
wire \tile_x10y6_framestrobe_o[2] ;
wire \tile_x10y6_framestrobe_o[3] ;
wire \tile_x10y6_framestrobe_o[4] ;
wire \tile_x10y6_framestrobe_o[5] ;
wire \tile_x10y6_framestrobe_o[6] ;
wire \tile_x10y6_framestrobe_o[7] ;
wire \tile_x10y6_framestrobe_o[8] ;
wire \tile_x10y6_framestrobe_o[9] ;
wire \tile_x10y6_n1beg[0] ;
wire \tile_x10y6_n1beg[1] ;
wire \tile_x10y6_n1beg[2] ;
wire \tile_x10y6_n1beg[3] ;
wire \tile_x10y6_n2beg[0] ;
wire \tile_x10y6_n2beg[1] ;
wire \tile_x10y6_n2beg[2] ;
wire \tile_x10y6_n2beg[3] ;
wire \tile_x10y6_n2beg[4] ;
wire \tile_x10y6_n2beg[5] ;
wire \tile_x10y6_n2beg[6] ;
wire \tile_x10y6_n2beg[7] ;
wire \tile_x10y6_n2begb[0] ;
wire \tile_x10y6_n2begb[1] ;
wire \tile_x10y6_n2begb[2] ;
wire \tile_x10y6_n2begb[3] ;
wire \tile_x10y6_n2begb[4] ;
wire \tile_x10y6_n2begb[5] ;
wire \tile_x10y6_n2begb[6] ;
wire \tile_x10y6_n2begb[7] ;
wire \tile_x10y6_n4beg[0] ;
wire \tile_x10y6_n4beg[10] ;
wire \tile_x10y6_n4beg[11] ;
wire \tile_x10y6_n4beg[12] ;
wire \tile_x10y6_n4beg[13] ;
wire \tile_x10y6_n4beg[14] ;
wire \tile_x10y6_n4beg[15] ;
wire \tile_x10y6_n4beg[1] ;
wire \tile_x10y6_n4beg[2] ;
wire \tile_x10y6_n4beg[3] ;
wire \tile_x10y6_n4beg[4] ;
wire \tile_x10y6_n4beg[5] ;
wire \tile_x10y6_n4beg[6] ;
wire \tile_x10y6_n4beg[7] ;
wire \tile_x10y6_n4beg[8] ;
wire \tile_x10y6_n4beg[9] ;
wire \tile_x10y6_nn4beg[0] ;
wire \tile_x10y6_nn4beg[10] ;
wire \tile_x10y6_nn4beg[11] ;
wire \tile_x10y6_nn4beg[12] ;
wire \tile_x10y6_nn4beg[13] ;
wire \tile_x10y6_nn4beg[14] ;
wire \tile_x10y6_nn4beg[15] ;
wire \tile_x10y6_nn4beg[1] ;
wire \tile_x10y6_nn4beg[2] ;
wire \tile_x10y6_nn4beg[3] ;
wire \tile_x10y6_nn4beg[4] ;
wire \tile_x10y6_nn4beg[5] ;
wire \tile_x10y6_nn4beg[6] ;
wire \tile_x10y6_nn4beg[7] ;
wire \tile_x10y6_nn4beg[8] ;
wire \tile_x10y6_nn4beg[9] ;
wire \tile_x10y6_s1beg[0] ;
wire \tile_x10y6_s1beg[1] ;
wire \tile_x10y6_s1beg[2] ;
wire \tile_x10y6_s1beg[3] ;
wire \tile_x10y6_s2beg[0] ;
wire \tile_x10y6_s2beg[1] ;
wire \tile_x10y6_s2beg[2] ;
wire \tile_x10y6_s2beg[3] ;
wire \tile_x10y6_s2beg[4] ;
wire \tile_x10y6_s2beg[5] ;
wire \tile_x10y6_s2beg[6] ;
wire \tile_x10y6_s2beg[7] ;
wire \tile_x10y6_s2begb[0] ;
wire \tile_x10y6_s2begb[1] ;
wire \tile_x10y6_s2begb[2] ;
wire \tile_x10y6_s2begb[3] ;
wire \tile_x10y6_s2begb[4] ;
wire \tile_x10y6_s2begb[5] ;
wire \tile_x10y6_s2begb[6] ;
wire \tile_x10y6_s2begb[7] ;
wire \tile_x10y6_s4beg[0] ;
wire \tile_x10y6_s4beg[10] ;
wire \tile_x10y6_s4beg[11] ;
wire \tile_x10y6_s4beg[12] ;
wire \tile_x10y6_s4beg[13] ;
wire \tile_x10y6_s4beg[14] ;
wire \tile_x10y6_s4beg[15] ;
wire \tile_x10y6_s4beg[1] ;
wire \tile_x10y6_s4beg[2] ;
wire \tile_x10y6_s4beg[3] ;
wire \tile_x10y6_s4beg[4] ;
wire \tile_x10y6_s4beg[5] ;
wire \tile_x10y6_s4beg[6] ;
wire \tile_x10y6_s4beg[7] ;
wire \tile_x10y6_s4beg[8] ;
wire \tile_x10y6_s4beg[9] ;
wire \tile_x10y6_ss4beg[0] ;
wire \tile_x10y6_ss4beg[10] ;
wire \tile_x10y6_ss4beg[11] ;
wire \tile_x10y6_ss4beg[12] ;
wire \tile_x10y6_ss4beg[13] ;
wire \tile_x10y6_ss4beg[14] ;
wire \tile_x10y6_ss4beg[15] ;
wire \tile_x10y6_ss4beg[1] ;
wire \tile_x10y6_ss4beg[2] ;
wire \tile_x10y6_ss4beg[3] ;
wire \tile_x10y6_ss4beg[4] ;
wire \tile_x10y6_ss4beg[5] ;
wire \tile_x10y6_ss4beg[6] ;
wire \tile_x10y6_ss4beg[7] ;
wire \tile_x10y6_ss4beg[8] ;
wire \tile_x10y6_ss4beg[9] ;
wire tile_x10y6_userclko;
wire \tile_x10y6_w1beg[0] ;
wire \tile_x10y6_w1beg[1] ;
wire \tile_x10y6_w1beg[2] ;
wire \tile_x10y6_w1beg[3] ;
wire \tile_x10y6_w2beg[0] ;
wire \tile_x10y6_w2beg[1] ;
wire \tile_x10y6_w2beg[2] ;
wire \tile_x10y6_w2beg[3] ;
wire \tile_x10y6_w2beg[4] ;
wire \tile_x10y6_w2beg[5] ;
wire \tile_x10y6_w2beg[6] ;
wire \tile_x10y6_w2beg[7] ;
wire \tile_x10y6_w2begb[0] ;
wire \tile_x10y6_w2begb[1] ;
wire \tile_x10y6_w2begb[2] ;
wire \tile_x10y6_w2begb[3] ;
wire \tile_x10y6_w2begb[4] ;
wire \tile_x10y6_w2begb[5] ;
wire \tile_x10y6_w2begb[6] ;
wire \tile_x10y6_w2begb[7] ;
wire \tile_x10y6_w6beg[0] ;
wire \tile_x10y6_w6beg[10] ;
wire \tile_x10y6_w6beg[11] ;
wire \tile_x10y6_w6beg[1] ;
wire \tile_x10y6_w6beg[2] ;
wire \tile_x10y6_w6beg[3] ;
wire \tile_x10y6_w6beg[4] ;
wire \tile_x10y6_w6beg[5] ;
wire \tile_x10y6_w6beg[6] ;
wire \tile_x10y6_w6beg[7] ;
wire \tile_x10y6_w6beg[8] ;
wire \tile_x10y6_w6beg[9] ;
wire \tile_x10y6_ww4beg[0] ;
wire \tile_x10y6_ww4beg[10] ;
wire \tile_x10y6_ww4beg[11] ;
wire \tile_x10y6_ww4beg[12] ;
wire \tile_x10y6_ww4beg[13] ;
wire \tile_x10y6_ww4beg[14] ;
wire \tile_x10y6_ww4beg[15] ;
wire \tile_x10y6_ww4beg[1] ;
wire \tile_x10y6_ww4beg[2] ;
wire \tile_x10y6_ww4beg[3] ;
wire \tile_x10y6_ww4beg[4] ;
wire \tile_x10y6_ww4beg[5] ;
wire \tile_x10y6_ww4beg[6] ;
wire \tile_x10y6_ww4beg[7] ;
wire \tile_x10y6_ww4beg[8] ;
wire \tile_x10y6_ww4beg[9] ;
wire tile_x10y7_co;
wire \tile_x10y7_e1beg[0] ;
wire \tile_x10y7_e1beg[1] ;
wire \tile_x10y7_e1beg[2] ;
wire \tile_x10y7_e1beg[3] ;
wire \tile_x10y7_e2beg[0] ;
wire \tile_x10y7_e2beg[1] ;
wire \tile_x10y7_e2beg[2] ;
wire \tile_x10y7_e2beg[3] ;
wire \tile_x10y7_e2beg[4] ;
wire \tile_x10y7_e2beg[5] ;
wire \tile_x10y7_e2beg[6] ;
wire \tile_x10y7_e2beg[7] ;
wire \tile_x10y7_e2begb[0] ;
wire \tile_x10y7_e2begb[1] ;
wire \tile_x10y7_e2begb[2] ;
wire \tile_x10y7_e2begb[3] ;
wire \tile_x10y7_e2begb[4] ;
wire \tile_x10y7_e2begb[5] ;
wire \tile_x10y7_e2begb[6] ;
wire \tile_x10y7_e2begb[7] ;
wire \tile_x10y7_e6beg[0] ;
wire \tile_x10y7_e6beg[10] ;
wire \tile_x10y7_e6beg[11] ;
wire \tile_x10y7_e6beg[1] ;
wire \tile_x10y7_e6beg[2] ;
wire \tile_x10y7_e6beg[3] ;
wire \tile_x10y7_e6beg[4] ;
wire \tile_x10y7_e6beg[5] ;
wire \tile_x10y7_e6beg[6] ;
wire \tile_x10y7_e6beg[7] ;
wire \tile_x10y7_e6beg[8] ;
wire \tile_x10y7_e6beg[9] ;
wire \tile_x10y7_ee4beg[0] ;
wire \tile_x10y7_ee4beg[10] ;
wire \tile_x10y7_ee4beg[11] ;
wire \tile_x10y7_ee4beg[12] ;
wire \tile_x10y7_ee4beg[13] ;
wire \tile_x10y7_ee4beg[14] ;
wire \tile_x10y7_ee4beg[15] ;
wire \tile_x10y7_ee4beg[1] ;
wire \tile_x10y7_ee4beg[2] ;
wire \tile_x10y7_ee4beg[3] ;
wire \tile_x10y7_ee4beg[4] ;
wire \tile_x10y7_ee4beg[5] ;
wire \tile_x10y7_ee4beg[6] ;
wire \tile_x10y7_ee4beg[7] ;
wire \tile_x10y7_ee4beg[8] ;
wire \tile_x10y7_ee4beg[9] ;
wire \tile_x10y7_framedata_o[0] ;
wire \tile_x10y7_framedata_o[10] ;
wire \tile_x10y7_framedata_o[11] ;
wire \tile_x10y7_framedata_o[12] ;
wire \tile_x10y7_framedata_o[13] ;
wire \tile_x10y7_framedata_o[14] ;
wire \tile_x10y7_framedata_o[15] ;
wire \tile_x10y7_framedata_o[16] ;
wire \tile_x10y7_framedata_o[17] ;
wire \tile_x10y7_framedata_o[18] ;
wire \tile_x10y7_framedata_o[19] ;
wire \tile_x10y7_framedata_o[1] ;
wire \tile_x10y7_framedata_o[20] ;
wire \tile_x10y7_framedata_o[21] ;
wire \tile_x10y7_framedata_o[22] ;
wire \tile_x10y7_framedata_o[23] ;
wire \tile_x10y7_framedata_o[24] ;
wire \tile_x10y7_framedata_o[25] ;
wire \tile_x10y7_framedata_o[26] ;
wire \tile_x10y7_framedata_o[27] ;
wire \tile_x10y7_framedata_o[28] ;
wire \tile_x10y7_framedata_o[29] ;
wire \tile_x10y7_framedata_o[2] ;
wire \tile_x10y7_framedata_o[30] ;
wire \tile_x10y7_framedata_o[31] ;
wire \tile_x10y7_framedata_o[3] ;
wire \tile_x10y7_framedata_o[4] ;
wire \tile_x10y7_framedata_o[5] ;
wire \tile_x10y7_framedata_o[6] ;
wire \tile_x10y7_framedata_o[7] ;
wire \tile_x10y7_framedata_o[8] ;
wire \tile_x10y7_framedata_o[9] ;
wire \tile_x10y7_framestrobe_o[0] ;
wire \tile_x10y7_framestrobe_o[10] ;
wire \tile_x10y7_framestrobe_o[11] ;
wire \tile_x10y7_framestrobe_o[12] ;
wire \tile_x10y7_framestrobe_o[13] ;
wire \tile_x10y7_framestrobe_o[14] ;
wire \tile_x10y7_framestrobe_o[15] ;
wire \tile_x10y7_framestrobe_o[16] ;
wire \tile_x10y7_framestrobe_o[17] ;
wire \tile_x10y7_framestrobe_o[18] ;
wire \tile_x10y7_framestrobe_o[19] ;
wire \tile_x10y7_framestrobe_o[1] ;
wire \tile_x10y7_framestrobe_o[2] ;
wire \tile_x10y7_framestrobe_o[3] ;
wire \tile_x10y7_framestrobe_o[4] ;
wire \tile_x10y7_framestrobe_o[5] ;
wire \tile_x10y7_framestrobe_o[6] ;
wire \tile_x10y7_framestrobe_o[7] ;
wire \tile_x10y7_framestrobe_o[8] ;
wire \tile_x10y7_framestrobe_o[9] ;
wire \tile_x10y7_n1beg[0] ;
wire \tile_x10y7_n1beg[1] ;
wire \tile_x10y7_n1beg[2] ;
wire \tile_x10y7_n1beg[3] ;
wire \tile_x10y7_n2beg[0] ;
wire \tile_x10y7_n2beg[1] ;
wire \tile_x10y7_n2beg[2] ;
wire \tile_x10y7_n2beg[3] ;
wire \tile_x10y7_n2beg[4] ;
wire \tile_x10y7_n2beg[5] ;
wire \tile_x10y7_n2beg[6] ;
wire \tile_x10y7_n2beg[7] ;
wire \tile_x10y7_n2begb[0] ;
wire \tile_x10y7_n2begb[1] ;
wire \tile_x10y7_n2begb[2] ;
wire \tile_x10y7_n2begb[3] ;
wire \tile_x10y7_n2begb[4] ;
wire \tile_x10y7_n2begb[5] ;
wire \tile_x10y7_n2begb[6] ;
wire \tile_x10y7_n2begb[7] ;
wire \tile_x10y7_n4beg[0] ;
wire \tile_x10y7_n4beg[10] ;
wire \tile_x10y7_n4beg[11] ;
wire \tile_x10y7_n4beg[12] ;
wire \tile_x10y7_n4beg[13] ;
wire \tile_x10y7_n4beg[14] ;
wire \tile_x10y7_n4beg[15] ;
wire \tile_x10y7_n4beg[1] ;
wire \tile_x10y7_n4beg[2] ;
wire \tile_x10y7_n4beg[3] ;
wire \tile_x10y7_n4beg[4] ;
wire \tile_x10y7_n4beg[5] ;
wire \tile_x10y7_n4beg[6] ;
wire \tile_x10y7_n4beg[7] ;
wire \tile_x10y7_n4beg[8] ;
wire \tile_x10y7_n4beg[9] ;
wire \tile_x10y7_nn4beg[0] ;
wire \tile_x10y7_nn4beg[10] ;
wire \tile_x10y7_nn4beg[11] ;
wire \tile_x10y7_nn4beg[12] ;
wire \tile_x10y7_nn4beg[13] ;
wire \tile_x10y7_nn4beg[14] ;
wire \tile_x10y7_nn4beg[15] ;
wire \tile_x10y7_nn4beg[1] ;
wire \tile_x10y7_nn4beg[2] ;
wire \tile_x10y7_nn4beg[3] ;
wire \tile_x10y7_nn4beg[4] ;
wire \tile_x10y7_nn4beg[5] ;
wire \tile_x10y7_nn4beg[6] ;
wire \tile_x10y7_nn4beg[7] ;
wire \tile_x10y7_nn4beg[8] ;
wire \tile_x10y7_nn4beg[9] ;
wire \tile_x10y7_s1beg[0] ;
wire \tile_x10y7_s1beg[1] ;
wire \tile_x10y7_s1beg[2] ;
wire \tile_x10y7_s1beg[3] ;
wire \tile_x10y7_s2beg[0] ;
wire \tile_x10y7_s2beg[1] ;
wire \tile_x10y7_s2beg[2] ;
wire \tile_x10y7_s2beg[3] ;
wire \tile_x10y7_s2beg[4] ;
wire \tile_x10y7_s2beg[5] ;
wire \tile_x10y7_s2beg[6] ;
wire \tile_x10y7_s2beg[7] ;
wire \tile_x10y7_s2begb[0] ;
wire \tile_x10y7_s2begb[1] ;
wire \tile_x10y7_s2begb[2] ;
wire \tile_x10y7_s2begb[3] ;
wire \tile_x10y7_s2begb[4] ;
wire \tile_x10y7_s2begb[5] ;
wire \tile_x10y7_s2begb[6] ;
wire \tile_x10y7_s2begb[7] ;
wire \tile_x10y7_s4beg[0] ;
wire \tile_x10y7_s4beg[10] ;
wire \tile_x10y7_s4beg[11] ;
wire \tile_x10y7_s4beg[12] ;
wire \tile_x10y7_s4beg[13] ;
wire \tile_x10y7_s4beg[14] ;
wire \tile_x10y7_s4beg[15] ;
wire \tile_x10y7_s4beg[1] ;
wire \tile_x10y7_s4beg[2] ;
wire \tile_x10y7_s4beg[3] ;
wire \tile_x10y7_s4beg[4] ;
wire \tile_x10y7_s4beg[5] ;
wire \tile_x10y7_s4beg[6] ;
wire \tile_x10y7_s4beg[7] ;
wire \tile_x10y7_s4beg[8] ;
wire \tile_x10y7_s4beg[9] ;
wire \tile_x10y7_ss4beg[0] ;
wire \tile_x10y7_ss4beg[10] ;
wire \tile_x10y7_ss4beg[11] ;
wire \tile_x10y7_ss4beg[12] ;
wire \tile_x10y7_ss4beg[13] ;
wire \tile_x10y7_ss4beg[14] ;
wire \tile_x10y7_ss4beg[15] ;
wire \tile_x10y7_ss4beg[1] ;
wire \tile_x10y7_ss4beg[2] ;
wire \tile_x10y7_ss4beg[3] ;
wire \tile_x10y7_ss4beg[4] ;
wire \tile_x10y7_ss4beg[5] ;
wire \tile_x10y7_ss4beg[6] ;
wire \tile_x10y7_ss4beg[7] ;
wire \tile_x10y7_ss4beg[8] ;
wire \tile_x10y7_ss4beg[9] ;
wire tile_x10y7_userclko;
wire \tile_x10y7_w1beg[0] ;
wire \tile_x10y7_w1beg[1] ;
wire \tile_x10y7_w1beg[2] ;
wire \tile_x10y7_w1beg[3] ;
wire \tile_x10y7_w2beg[0] ;
wire \tile_x10y7_w2beg[1] ;
wire \tile_x10y7_w2beg[2] ;
wire \tile_x10y7_w2beg[3] ;
wire \tile_x10y7_w2beg[4] ;
wire \tile_x10y7_w2beg[5] ;
wire \tile_x10y7_w2beg[6] ;
wire \tile_x10y7_w2beg[7] ;
wire \tile_x10y7_w2begb[0] ;
wire \tile_x10y7_w2begb[1] ;
wire \tile_x10y7_w2begb[2] ;
wire \tile_x10y7_w2begb[3] ;
wire \tile_x10y7_w2begb[4] ;
wire \tile_x10y7_w2begb[5] ;
wire \tile_x10y7_w2begb[6] ;
wire \tile_x10y7_w2begb[7] ;
wire \tile_x10y7_w6beg[0] ;
wire \tile_x10y7_w6beg[10] ;
wire \tile_x10y7_w6beg[11] ;
wire \tile_x10y7_w6beg[1] ;
wire \tile_x10y7_w6beg[2] ;
wire \tile_x10y7_w6beg[3] ;
wire \tile_x10y7_w6beg[4] ;
wire \tile_x10y7_w6beg[5] ;
wire \tile_x10y7_w6beg[6] ;
wire \tile_x10y7_w6beg[7] ;
wire \tile_x10y7_w6beg[8] ;
wire \tile_x10y7_w6beg[9] ;
wire \tile_x10y7_ww4beg[0] ;
wire \tile_x10y7_ww4beg[10] ;
wire \tile_x10y7_ww4beg[11] ;
wire \tile_x10y7_ww4beg[12] ;
wire \tile_x10y7_ww4beg[13] ;
wire \tile_x10y7_ww4beg[14] ;
wire \tile_x10y7_ww4beg[15] ;
wire \tile_x10y7_ww4beg[1] ;
wire \tile_x10y7_ww4beg[2] ;
wire \tile_x10y7_ww4beg[3] ;
wire \tile_x10y7_ww4beg[4] ;
wire \tile_x10y7_ww4beg[5] ;
wire \tile_x10y7_ww4beg[6] ;
wire \tile_x10y7_ww4beg[7] ;
wire \tile_x10y7_ww4beg[8] ;
wire \tile_x10y7_ww4beg[9] ;
wire tile_x10y8_co;
wire \tile_x10y8_e1beg[0] ;
wire \tile_x10y8_e1beg[1] ;
wire \tile_x10y8_e1beg[2] ;
wire \tile_x10y8_e1beg[3] ;
wire \tile_x10y8_e2beg[0] ;
wire \tile_x10y8_e2beg[1] ;
wire \tile_x10y8_e2beg[2] ;
wire \tile_x10y8_e2beg[3] ;
wire \tile_x10y8_e2beg[4] ;
wire \tile_x10y8_e2beg[5] ;
wire \tile_x10y8_e2beg[6] ;
wire \tile_x10y8_e2beg[7] ;
wire \tile_x10y8_e2begb[0] ;
wire \tile_x10y8_e2begb[1] ;
wire \tile_x10y8_e2begb[2] ;
wire \tile_x10y8_e2begb[3] ;
wire \tile_x10y8_e2begb[4] ;
wire \tile_x10y8_e2begb[5] ;
wire \tile_x10y8_e2begb[6] ;
wire \tile_x10y8_e2begb[7] ;
wire \tile_x10y8_e6beg[0] ;
wire \tile_x10y8_e6beg[10] ;
wire \tile_x10y8_e6beg[11] ;
wire \tile_x10y8_e6beg[1] ;
wire \tile_x10y8_e6beg[2] ;
wire \tile_x10y8_e6beg[3] ;
wire \tile_x10y8_e6beg[4] ;
wire \tile_x10y8_e6beg[5] ;
wire \tile_x10y8_e6beg[6] ;
wire \tile_x10y8_e6beg[7] ;
wire \tile_x10y8_e6beg[8] ;
wire \tile_x10y8_e6beg[9] ;
wire \tile_x10y8_ee4beg[0] ;
wire \tile_x10y8_ee4beg[10] ;
wire \tile_x10y8_ee4beg[11] ;
wire \tile_x10y8_ee4beg[12] ;
wire \tile_x10y8_ee4beg[13] ;
wire \tile_x10y8_ee4beg[14] ;
wire \tile_x10y8_ee4beg[15] ;
wire \tile_x10y8_ee4beg[1] ;
wire \tile_x10y8_ee4beg[2] ;
wire \tile_x10y8_ee4beg[3] ;
wire \tile_x10y8_ee4beg[4] ;
wire \tile_x10y8_ee4beg[5] ;
wire \tile_x10y8_ee4beg[6] ;
wire \tile_x10y8_ee4beg[7] ;
wire \tile_x10y8_ee4beg[8] ;
wire \tile_x10y8_ee4beg[9] ;
wire \tile_x10y8_framedata_o[0] ;
wire \tile_x10y8_framedata_o[10] ;
wire \tile_x10y8_framedata_o[11] ;
wire \tile_x10y8_framedata_o[12] ;
wire \tile_x10y8_framedata_o[13] ;
wire \tile_x10y8_framedata_o[14] ;
wire \tile_x10y8_framedata_o[15] ;
wire \tile_x10y8_framedata_o[16] ;
wire \tile_x10y8_framedata_o[17] ;
wire \tile_x10y8_framedata_o[18] ;
wire \tile_x10y8_framedata_o[19] ;
wire \tile_x10y8_framedata_o[1] ;
wire \tile_x10y8_framedata_o[20] ;
wire \tile_x10y8_framedata_o[21] ;
wire \tile_x10y8_framedata_o[22] ;
wire \tile_x10y8_framedata_o[23] ;
wire \tile_x10y8_framedata_o[24] ;
wire \tile_x10y8_framedata_o[25] ;
wire \tile_x10y8_framedata_o[26] ;
wire \tile_x10y8_framedata_o[27] ;
wire \tile_x10y8_framedata_o[28] ;
wire \tile_x10y8_framedata_o[29] ;
wire \tile_x10y8_framedata_o[2] ;
wire \tile_x10y8_framedata_o[30] ;
wire \tile_x10y8_framedata_o[31] ;
wire \tile_x10y8_framedata_o[3] ;
wire \tile_x10y8_framedata_o[4] ;
wire \tile_x10y8_framedata_o[5] ;
wire \tile_x10y8_framedata_o[6] ;
wire \tile_x10y8_framedata_o[7] ;
wire \tile_x10y8_framedata_o[8] ;
wire \tile_x10y8_framedata_o[9] ;
wire \tile_x10y8_framestrobe_o[0] ;
wire \tile_x10y8_framestrobe_o[10] ;
wire \tile_x10y8_framestrobe_o[11] ;
wire \tile_x10y8_framestrobe_o[12] ;
wire \tile_x10y8_framestrobe_o[13] ;
wire \tile_x10y8_framestrobe_o[14] ;
wire \tile_x10y8_framestrobe_o[15] ;
wire \tile_x10y8_framestrobe_o[16] ;
wire \tile_x10y8_framestrobe_o[17] ;
wire \tile_x10y8_framestrobe_o[18] ;
wire \tile_x10y8_framestrobe_o[19] ;
wire \tile_x10y8_framestrobe_o[1] ;
wire \tile_x10y8_framestrobe_o[2] ;
wire \tile_x10y8_framestrobe_o[3] ;
wire \tile_x10y8_framestrobe_o[4] ;
wire \tile_x10y8_framestrobe_o[5] ;
wire \tile_x10y8_framestrobe_o[6] ;
wire \tile_x10y8_framestrobe_o[7] ;
wire \tile_x10y8_framestrobe_o[8] ;
wire \tile_x10y8_framestrobe_o[9] ;
wire \tile_x10y8_n1beg[0] ;
wire \tile_x10y8_n1beg[1] ;
wire \tile_x10y8_n1beg[2] ;
wire \tile_x10y8_n1beg[3] ;
wire \tile_x10y8_n2beg[0] ;
wire \tile_x10y8_n2beg[1] ;
wire \tile_x10y8_n2beg[2] ;
wire \tile_x10y8_n2beg[3] ;
wire \tile_x10y8_n2beg[4] ;
wire \tile_x10y8_n2beg[5] ;
wire \tile_x10y8_n2beg[6] ;
wire \tile_x10y8_n2beg[7] ;
wire \tile_x10y8_n2begb[0] ;
wire \tile_x10y8_n2begb[1] ;
wire \tile_x10y8_n2begb[2] ;
wire \tile_x10y8_n2begb[3] ;
wire \tile_x10y8_n2begb[4] ;
wire \tile_x10y8_n2begb[5] ;
wire \tile_x10y8_n2begb[6] ;
wire \tile_x10y8_n2begb[7] ;
wire \tile_x10y8_n4beg[0] ;
wire \tile_x10y8_n4beg[10] ;
wire \tile_x10y8_n4beg[11] ;
wire \tile_x10y8_n4beg[12] ;
wire \tile_x10y8_n4beg[13] ;
wire \tile_x10y8_n4beg[14] ;
wire \tile_x10y8_n4beg[15] ;
wire \tile_x10y8_n4beg[1] ;
wire \tile_x10y8_n4beg[2] ;
wire \tile_x10y8_n4beg[3] ;
wire \tile_x10y8_n4beg[4] ;
wire \tile_x10y8_n4beg[5] ;
wire \tile_x10y8_n4beg[6] ;
wire \tile_x10y8_n4beg[7] ;
wire \tile_x10y8_n4beg[8] ;
wire \tile_x10y8_n4beg[9] ;
wire \tile_x10y8_nn4beg[0] ;
wire \tile_x10y8_nn4beg[10] ;
wire \tile_x10y8_nn4beg[11] ;
wire \tile_x10y8_nn4beg[12] ;
wire \tile_x10y8_nn4beg[13] ;
wire \tile_x10y8_nn4beg[14] ;
wire \tile_x10y8_nn4beg[15] ;
wire \tile_x10y8_nn4beg[1] ;
wire \tile_x10y8_nn4beg[2] ;
wire \tile_x10y8_nn4beg[3] ;
wire \tile_x10y8_nn4beg[4] ;
wire \tile_x10y8_nn4beg[5] ;
wire \tile_x10y8_nn4beg[6] ;
wire \tile_x10y8_nn4beg[7] ;
wire \tile_x10y8_nn4beg[8] ;
wire \tile_x10y8_nn4beg[9] ;
wire \tile_x10y8_s1beg[0] ;
wire \tile_x10y8_s1beg[1] ;
wire \tile_x10y8_s1beg[2] ;
wire \tile_x10y8_s1beg[3] ;
wire \tile_x10y8_s2beg[0] ;
wire \tile_x10y8_s2beg[1] ;
wire \tile_x10y8_s2beg[2] ;
wire \tile_x10y8_s2beg[3] ;
wire \tile_x10y8_s2beg[4] ;
wire \tile_x10y8_s2beg[5] ;
wire \tile_x10y8_s2beg[6] ;
wire \tile_x10y8_s2beg[7] ;
wire \tile_x10y8_s2begb[0] ;
wire \tile_x10y8_s2begb[1] ;
wire \tile_x10y8_s2begb[2] ;
wire \tile_x10y8_s2begb[3] ;
wire \tile_x10y8_s2begb[4] ;
wire \tile_x10y8_s2begb[5] ;
wire \tile_x10y8_s2begb[6] ;
wire \tile_x10y8_s2begb[7] ;
wire \tile_x10y8_s4beg[0] ;
wire \tile_x10y8_s4beg[10] ;
wire \tile_x10y8_s4beg[11] ;
wire \tile_x10y8_s4beg[12] ;
wire \tile_x10y8_s4beg[13] ;
wire \tile_x10y8_s4beg[14] ;
wire \tile_x10y8_s4beg[15] ;
wire \tile_x10y8_s4beg[1] ;
wire \tile_x10y8_s4beg[2] ;
wire \tile_x10y8_s4beg[3] ;
wire \tile_x10y8_s4beg[4] ;
wire \tile_x10y8_s4beg[5] ;
wire \tile_x10y8_s4beg[6] ;
wire \tile_x10y8_s4beg[7] ;
wire \tile_x10y8_s4beg[8] ;
wire \tile_x10y8_s4beg[9] ;
wire \tile_x10y8_ss4beg[0] ;
wire \tile_x10y8_ss4beg[10] ;
wire \tile_x10y8_ss4beg[11] ;
wire \tile_x10y8_ss4beg[12] ;
wire \tile_x10y8_ss4beg[13] ;
wire \tile_x10y8_ss4beg[14] ;
wire \tile_x10y8_ss4beg[15] ;
wire \tile_x10y8_ss4beg[1] ;
wire \tile_x10y8_ss4beg[2] ;
wire \tile_x10y8_ss4beg[3] ;
wire \tile_x10y8_ss4beg[4] ;
wire \tile_x10y8_ss4beg[5] ;
wire \tile_x10y8_ss4beg[6] ;
wire \tile_x10y8_ss4beg[7] ;
wire \tile_x10y8_ss4beg[8] ;
wire \tile_x10y8_ss4beg[9] ;
wire tile_x10y8_userclko;
wire \tile_x10y8_w1beg[0] ;
wire \tile_x10y8_w1beg[1] ;
wire \tile_x10y8_w1beg[2] ;
wire \tile_x10y8_w1beg[3] ;
wire \tile_x10y8_w2beg[0] ;
wire \tile_x10y8_w2beg[1] ;
wire \tile_x10y8_w2beg[2] ;
wire \tile_x10y8_w2beg[3] ;
wire \tile_x10y8_w2beg[4] ;
wire \tile_x10y8_w2beg[5] ;
wire \tile_x10y8_w2beg[6] ;
wire \tile_x10y8_w2beg[7] ;
wire \tile_x10y8_w2begb[0] ;
wire \tile_x10y8_w2begb[1] ;
wire \tile_x10y8_w2begb[2] ;
wire \tile_x10y8_w2begb[3] ;
wire \tile_x10y8_w2begb[4] ;
wire \tile_x10y8_w2begb[5] ;
wire \tile_x10y8_w2begb[6] ;
wire \tile_x10y8_w2begb[7] ;
wire \tile_x10y8_w6beg[0] ;
wire \tile_x10y8_w6beg[10] ;
wire \tile_x10y8_w6beg[11] ;
wire \tile_x10y8_w6beg[1] ;
wire \tile_x10y8_w6beg[2] ;
wire \tile_x10y8_w6beg[3] ;
wire \tile_x10y8_w6beg[4] ;
wire \tile_x10y8_w6beg[5] ;
wire \tile_x10y8_w6beg[6] ;
wire \tile_x10y8_w6beg[7] ;
wire \tile_x10y8_w6beg[8] ;
wire \tile_x10y8_w6beg[9] ;
wire \tile_x10y8_ww4beg[0] ;
wire \tile_x10y8_ww4beg[10] ;
wire \tile_x10y8_ww4beg[11] ;
wire \tile_x10y8_ww4beg[12] ;
wire \tile_x10y8_ww4beg[13] ;
wire \tile_x10y8_ww4beg[14] ;
wire \tile_x10y8_ww4beg[15] ;
wire \tile_x10y8_ww4beg[1] ;
wire \tile_x10y8_ww4beg[2] ;
wire \tile_x10y8_ww4beg[3] ;
wire \tile_x10y8_ww4beg[4] ;
wire \tile_x10y8_ww4beg[5] ;
wire \tile_x10y8_ww4beg[6] ;
wire \tile_x10y8_ww4beg[7] ;
wire \tile_x10y8_ww4beg[8] ;
wire \tile_x10y8_ww4beg[9] ;
wire tile_x10y9_co;
wire \tile_x10y9_e1beg[0] ;
wire \tile_x10y9_e1beg[1] ;
wire \tile_x10y9_e1beg[2] ;
wire \tile_x10y9_e1beg[3] ;
wire \tile_x10y9_e2beg[0] ;
wire \tile_x10y9_e2beg[1] ;
wire \tile_x10y9_e2beg[2] ;
wire \tile_x10y9_e2beg[3] ;
wire \tile_x10y9_e2beg[4] ;
wire \tile_x10y9_e2beg[5] ;
wire \tile_x10y9_e2beg[6] ;
wire \tile_x10y9_e2beg[7] ;
wire \tile_x10y9_e2begb[0] ;
wire \tile_x10y9_e2begb[1] ;
wire \tile_x10y9_e2begb[2] ;
wire \tile_x10y9_e2begb[3] ;
wire \tile_x10y9_e2begb[4] ;
wire \tile_x10y9_e2begb[5] ;
wire \tile_x10y9_e2begb[6] ;
wire \tile_x10y9_e2begb[7] ;
wire \tile_x10y9_e6beg[0] ;
wire \tile_x10y9_e6beg[10] ;
wire \tile_x10y9_e6beg[11] ;
wire \tile_x10y9_e6beg[1] ;
wire \tile_x10y9_e6beg[2] ;
wire \tile_x10y9_e6beg[3] ;
wire \tile_x10y9_e6beg[4] ;
wire \tile_x10y9_e6beg[5] ;
wire \tile_x10y9_e6beg[6] ;
wire \tile_x10y9_e6beg[7] ;
wire \tile_x10y9_e6beg[8] ;
wire \tile_x10y9_e6beg[9] ;
wire \tile_x10y9_ee4beg[0] ;
wire \tile_x10y9_ee4beg[10] ;
wire \tile_x10y9_ee4beg[11] ;
wire \tile_x10y9_ee4beg[12] ;
wire \tile_x10y9_ee4beg[13] ;
wire \tile_x10y9_ee4beg[14] ;
wire \tile_x10y9_ee4beg[15] ;
wire \tile_x10y9_ee4beg[1] ;
wire \tile_x10y9_ee4beg[2] ;
wire \tile_x10y9_ee4beg[3] ;
wire \tile_x10y9_ee4beg[4] ;
wire \tile_x10y9_ee4beg[5] ;
wire \tile_x10y9_ee4beg[6] ;
wire \tile_x10y9_ee4beg[7] ;
wire \tile_x10y9_ee4beg[8] ;
wire \tile_x10y9_ee4beg[9] ;
wire \tile_x10y9_framedata_o[0] ;
wire \tile_x10y9_framedata_o[10] ;
wire \tile_x10y9_framedata_o[11] ;
wire \tile_x10y9_framedata_o[12] ;
wire \tile_x10y9_framedata_o[13] ;
wire \tile_x10y9_framedata_o[14] ;
wire \tile_x10y9_framedata_o[15] ;
wire \tile_x10y9_framedata_o[16] ;
wire \tile_x10y9_framedata_o[17] ;
wire \tile_x10y9_framedata_o[18] ;
wire \tile_x10y9_framedata_o[19] ;
wire \tile_x10y9_framedata_o[1] ;
wire \tile_x10y9_framedata_o[20] ;
wire \tile_x10y9_framedata_o[21] ;
wire \tile_x10y9_framedata_o[22] ;
wire \tile_x10y9_framedata_o[23] ;
wire \tile_x10y9_framedata_o[24] ;
wire \tile_x10y9_framedata_o[25] ;
wire \tile_x10y9_framedata_o[26] ;
wire \tile_x10y9_framedata_o[27] ;
wire \tile_x10y9_framedata_o[28] ;
wire \tile_x10y9_framedata_o[29] ;
wire \tile_x10y9_framedata_o[2] ;
wire \tile_x10y9_framedata_o[30] ;
wire \tile_x10y9_framedata_o[31] ;
wire \tile_x10y9_framedata_o[3] ;
wire \tile_x10y9_framedata_o[4] ;
wire \tile_x10y9_framedata_o[5] ;
wire \tile_x10y9_framedata_o[6] ;
wire \tile_x10y9_framedata_o[7] ;
wire \tile_x10y9_framedata_o[8] ;
wire \tile_x10y9_framedata_o[9] ;
wire \tile_x10y9_framestrobe_o[0] ;
wire \tile_x10y9_framestrobe_o[10] ;
wire \tile_x10y9_framestrobe_o[11] ;
wire \tile_x10y9_framestrobe_o[12] ;
wire \tile_x10y9_framestrobe_o[13] ;
wire \tile_x10y9_framestrobe_o[14] ;
wire \tile_x10y9_framestrobe_o[15] ;
wire \tile_x10y9_framestrobe_o[16] ;
wire \tile_x10y9_framestrobe_o[17] ;
wire \tile_x10y9_framestrobe_o[18] ;
wire \tile_x10y9_framestrobe_o[19] ;
wire \tile_x10y9_framestrobe_o[1] ;
wire \tile_x10y9_framestrobe_o[2] ;
wire \tile_x10y9_framestrobe_o[3] ;
wire \tile_x10y9_framestrobe_o[4] ;
wire \tile_x10y9_framestrobe_o[5] ;
wire \tile_x10y9_framestrobe_o[6] ;
wire \tile_x10y9_framestrobe_o[7] ;
wire \tile_x10y9_framestrobe_o[8] ;
wire \tile_x10y9_framestrobe_o[9] ;
wire \tile_x10y9_n1beg[0] ;
wire \tile_x10y9_n1beg[1] ;
wire \tile_x10y9_n1beg[2] ;
wire \tile_x10y9_n1beg[3] ;
wire \tile_x10y9_n2beg[0] ;
wire \tile_x10y9_n2beg[1] ;
wire \tile_x10y9_n2beg[2] ;
wire \tile_x10y9_n2beg[3] ;
wire \tile_x10y9_n2beg[4] ;
wire \tile_x10y9_n2beg[5] ;
wire \tile_x10y9_n2beg[6] ;
wire \tile_x10y9_n2beg[7] ;
wire \tile_x10y9_n2begb[0] ;
wire \tile_x10y9_n2begb[1] ;
wire \tile_x10y9_n2begb[2] ;
wire \tile_x10y9_n2begb[3] ;
wire \tile_x10y9_n2begb[4] ;
wire \tile_x10y9_n2begb[5] ;
wire \tile_x10y9_n2begb[6] ;
wire \tile_x10y9_n2begb[7] ;
wire \tile_x10y9_n4beg[0] ;
wire \tile_x10y9_n4beg[10] ;
wire \tile_x10y9_n4beg[11] ;
wire \tile_x10y9_n4beg[12] ;
wire \tile_x10y9_n4beg[13] ;
wire \tile_x10y9_n4beg[14] ;
wire \tile_x10y9_n4beg[15] ;
wire \tile_x10y9_n4beg[1] ;
wire \tile_x10y9_n4beg[2] ;
wire \tile_x10y9_n4beg[3] ;
wire \tile_x10y9_n4beg[4] ;
wire \tile_x10y9_n4beg[5] ;
wire \tile_x10y9_n4beg[6] ;
wire \tile_x10y9_n4beg[7] ;
wire \tile_x10y9_n4beg[8] ;
wire \tile_x10y9_n4beg[9] ;
wire \tile_x10y9_nn4beg[0] ;
wire \tile_x10y9_nn4beg[10] ;
wire \tile_x10y9_nn4beg[11] ;
wire \tile_x10y9_nn4beg[12] ;
wire \tile_x10y9_nn4beg[13] ;
wire \tile_x10y9_nn4beg[14] ;
wire \tile_x10y9_nn4beg[15] ;
wire \tile_x10y9_nn4beg[1] ;
wire \tile_x10y9_nn4beg[2] ;
wire \tile_x10y9_nn4beg[3] ;
wire \tile_x10y9_nn4beg[4] ;
wire \tile_x10y9_nn4beg[5] ;
wire \tile_x10y9_nn4beg[6] ;
wire \tile_x10y9_nn4beg[7] ;
wire \tile_x10y9_nn4beg[8] ;
wire \tile_x10y9_nn4beg[9] ;
wire \tile_x10y9_s1beg[0] ;
wire \tile_x10y9_s1beg[1] ;
wire \tile_x10y9_s1beg[2] ;
wire \tile_x10y9_s1beg[3] ;
wire \tile_x10y9_s2beg[0] ;
wire \tile_x10y9_s2beg[1] ;
wire \tile_x10y9_s2beg[2] ;
wire \tile_x10y9_s2beg[3] ;
wire \tile_x10y9_s2beg[4] ;
wire \tile_x10y9_s2beg[5] ;
wire \tile_x10y9_s2beg[6] ;
wire \tile_x10y9_s2beg[7] ;
wire \tile_x10y9_s2begb[0] ;
wire \tile_x10y9_s2begb[1] ;
wire \tile_x10y9_s2begb[2] ;
wire \tile_x10y9_s2begb[3] ;
wire \tile_x10y9_s2begb[4] ;
wire \tile_x10y9_s2begb[5] ;
wire \tile_x10y9_s2begb[6] ;
wire \tile_x10y9_s2begb[7] ;
wire \tile_x10y9_s4beg[0] ;
wire \tile_x10y9_s4beg[10] ;
wire \tile_x10y9_s4beg[11] ;
wire \tile_x10y9_s4beg[12] ;
wire \tile_x10y9_s4beg[13] ;
wire \tile_x10y9_s4beg[14] ;
wire \tile_x10y9_s4beg[15] ;
wire \tile_x10y9_s4beg[1] ;
wire \tile_x10y9_s4beg[2] ;
wire \tile_x10y9_s4beg[3] ;
wire \tile_x10y9_s4beg[4] ;
wire \tile_x10y9_s4beg[5] ;
wire \tile_x10y9_s4beg[6] ;
wire \tile_x10y9_s4beg[7] ;
wire \tile_x10y9_s4beg[8] ;
wire \tile_x10y9_s4beg[9] ;
wire \tile_x10y9_ss4beg[0] ;
wire \tile_x10y9_ss4beg[10] ;
wire \tile_x10y9_ss4beg[11] ;
wire \tile_x10y9_ss4beg[12] ;
wire \tile_x10y9_ss4beg[13] ;
wire \tile_x10y9_ss4beg[14] ;
wire \tile_x10y9_ss4beg[15] ;
wire \tile_x10y9_ss4beg[1] ;
wire \tile_x10y9_ss4beg[2] ;
wire \tile_x10y9_ss4beg[3] ;
wire \tile_x10y9_ss4beg[4] ;
wire \tile_x10y9_ss4beg[5] ;
wire \tile_x10y9_ss4beg[6] ;
wire \tile_x10y9_ss4beg[7] ;
wire \tile_x10y9_ss4beg[8] ;
wire \tile_x10y9_ss4beg[9] ;
wire tile_x10y9_userclko;
wire \tile_x10y9_w1beg[0] ;
wire \tile_x10y9_w1beg[1] ;
wire \tile_x10y9_w1beg[2] ;
wire \tile_x10y9_w1beg[3] ;
wire \tile_x10y9_w2beg[0] ;
wire \tile_x10y9_w2beg[1] ;
wire \tile_x10y9_w2beg[2] ;
wire \tile_x10y9_w2beg[3] ;
wire \tile_x10y9_w2beg[4] ;
wire \tile_x10y9_w2beg[5] ;
wire \tile_x10y9_w2beg[6] ;
wire \tile_x10y9_w2beg[7] ;
wire \tile_x10y9_w2begb[0] ;
wire \tile_x10y9_w2begb[1] ;
wire \tile_x10y9_w2begb[2] ;
wire \tile_x10y9_w2begb[3] ;
wire \tile_x10y9_w2begb[4] ;
wire \tile_x10y9_w2begb[5] ;
wire \tile_x10y9_w2begb[6] ;
wire \tile_x10y9_w2begb[7] ;
wire \tile_x10y9_w6beg[0] ;
wire \tile_x10y9_w6beg[10] ;
wire \tile_x10y9_w6beg[11] ;
wire \tile_x10y9_w6beg[1] ;
wire \tile_x10y9_w6beg[2] ;
wire \tile_x10y9_w6beg[3] ;
wire \tile_x10y9_w6beg[4] ;
wire \tile_x10y9_w6beg[5] ;
wire \tile_x10y9_w6beg[6] ;
wire \tile_x10y9_w6beg[7] ;
wire \tile_x10y9_w6beg[8] ;
wire \tile_x10y9_w6beg[9] ;
wire \tile_x10y9_ww4beg[0] ;
wire \tile_x10y9_ww4beg[10] ;
wire \tile_x10y9_ww4beg[11] ;
wire \tile_x10y9_ww4beg[12] ;
wire \tile_x10y9_ww4beg[13] ;
wire \tile_x10y9_ww4beg[14] ;
wire \tile_x10y9_ww4beg[15] ;
wire \tile_x10y9_ww4beg[1] ;
wire \tile_x10y9_ww4beg[2] ;
wire \tile_x10y9_ww4beg[3] ;
wire \tile_x10y9_ww4beg[4] ;
wire \tile_x10y9_ww4beg[5] ;
wire \tile_x10y9_ww4beg[6] ;
wire \tile_x10y9_ww4beg[7] ;
wire \tile_x10y9_ww4beg[8] ;
wire \tile_x10y9_ww4beg[9] ;
wire tile_x11y10_co;
wire \tile_x11y10_e1beg[0] ;
wire \tile_x11y10_e1beg[1] ;
wire \tile_x11y10_e1beg[2] ;
wire \tile_x11y10_e1beg[3] ;
wire \tile_x11y10_e2beg[0] ;
wire \tile_x11y10_e2beg[1] ;
wire \tile_x11y10_e2beg[2] ;
wire \tile_x11y10_e2beg[3] ;
wire \tile_x11y10_e2beg[4] ;
wire \tile_x11y10_e2beg[5] ;
wire \tile_x11y10_e2beg[6] ;
wire \tile_x11y10_e2beg[7] ;
wire \tile_x11y10_e2begb[0] ;
wire \tile_x11y10_e2begb[1] ;
wire \tile_x11y10_e2begb[2] ;
wire \tile_x11y10_e2begb[3] ;
wire \tile_x11y10_e2begb[4] ;
wire \tile_x11y10_e2begb[5] ;
wire \tile_x11y10_e2begb[6] ;
wire \tile_x11y10_e2begb[7] ;
wire \tile_x11y10_e6beg[0] ;
wire \tile_x11y10_e6beg[10] ;
wire \tile_x11y10_e6beg[11] ;
wire \tile_x11y10_e6beg[1] ;
wire \tile_x11y10_e6beg[2] ;
wire \tile_x11y10_e6beg[3] ;
wire \tile_x11y10_e6beg[4] ;
wire \tile_x11y10_e6beg[5] ;
wire \tile_x11y10_e6beg[6] ;
wire \tile_x11y10_e6beg[7] ;
wire \tile_x11y10_e6beg[8] ;
wire \tile_x11y10_e6beg[9] ;
wire \tile_x11y10_ee4beg[0] ;
wire \tile_x11y10_ee4beg[10] ;
wire \tile_x11y10_ee4beg[11] ;
wire \tile_x11y10_ee4beg[12] ;
wire \tile_x11y10_ee4beg[13] ;
wire \tile_x11y10_ee4beg[14] ;
wire \tile_x11y10_ee4beg[15] ;
wire \tile_x11y10_ee4beg[1] ;
wire \tile_x11y10_ee4beg[2] ;
wire \tile_x11y10_ee4beg[3] ;
wire \tile_x11y10_ee4beg[4] ;
wire \tile_x11y10_ee4beg[5] ;
wire \tile_x11y10_ee4beg[6] ;
wire \tile_x11y10_ee4beg[7] ;
wire \tile_x11y10_ee4beg[8] ;
wire \tile_x11y10_ee4beg[9] ;
wire \tile_x11y10_framedata_o[0] ;
wire \tile_x11y10_framedata_o[10] ;
wire \tile_x11y10_framedata_o[11] ;
wire \tile_x11y10_framedata_o[12] ;
wire \tile_x11y10_framedata_o[13] ;
wire \tile_x11y10_framedata_o[14] ;
wire \tile_x11y10_framedata_o[15] ;
wire \tile_x11y10_framedata_o[16] ;
wire \tile_x11y10_framedata_o[17] ;
wire \tile_x11y10_framedata_o[18] ;
wire \tile_x11y10_framedata_o[19] ;
wire \tile_x11y10_framedata_o[1] ;
wire \tile_x11y10_framedata_o[20] ;
wire \tile_x11y10_framedata_o[21] ;
wire \tile_x11y10_framedata_o[22] ;
wire \tile_x11y10_framedata_o[23] ;
wire \tile_x11y10_framedata_o[24] ;
wire \tile_x11y10_framedata_o[25] ;
wire \tile_x11y10_framedata_o[26] ;
wire \tile_x11y10_framedata_o[27] ;
wire \tile_x11y10_framedata_o[28] ;
wire \tile_x11y10_framedata_o[29] ;
wire \tile_x11y10_framedata_o[2] ;
wire \tile_x11y10_framedata_o[30] ;
wire \tile_x11y10_framedata_o[31] ;
wire \tile_x11y10_framedata_o[3] ;
wire \tile_x11y10_framedata_o[4] ;
wire \tile_x11y10_framedata_o[5] ;
wire \tile_x11y10_framedata_o[6] ;
wire \tile_x11y10_framedata_o[7] ;
wire \tile_x11y10_framedata_o[8] ;
wire \tile_x11y10_framedata_o[9] ;
wire \tile_x11y10_framestrobe_o[0] ;
wire \tile_x11y10_framestrobe_o[10] ;
wire \tile_x11y10_framestrobe_o[11] ;
wire \tile_x11y10_framestrobe_o[12] ;
wire \tile_x11y10_framestrobe_o[13] ;
wire \tile_x11y10_framestrobe_o[14] ;
wire \tile_x11y10_framestrobe_o[15] ;
wire \tile_x11y10_framestrobe_o[16] ;
wire \tile_x11y10_framestrobe_o[17] ;
wire \tile_x11y10_framestrobe_o[18] ;
wire \tile_x11y10_framestrobe_o[19] ;
wire \tile_x11y10_framestrobe_o[1] ;
wire \tile_x11y10_framestrobe_o[2] ;
wire \tile_x11y10_framestrobe_o[3] ;
wire \tile_x11y10_framestrobe_o[4] ;
wire \tile_x11y10_framestrobe_o[5] ;
wire \tile_x11y10_framestrobe_o[6] ;
wire \tile_x11y10_framestrobe_o[7] ;
wire \tile_x11y10_framestrobe_o[8] ;
wire \tile_x11y10_framestrobe_o[9] ;
wire \tile_x11y10_n1beg[0] ;
wire \tile_x11y10_n1beg[1] ;
wire \tile_x11y10_n1beg[2] ;
wire \tile_x11y10_n1beg[3] ;
wire \tile_x11y10_n2beg[0] ;
wire \tile_x11y10_n2beg[1] ;
wire \tile_x11y10_n2beg[2] ;
wire \tile_x11y10_n2beg[3] ;
wire \tile_x11y10_n2beg[4] ;
wire \tile_x11y10_n2beg[5] ;
wire \tile_x11y10_n2beg[6] ;
wire \tile_x11y10_n2beg[7] ;
wire \tile_x11y10_n2begb[0] ;
wire \tile_x11y10_n2begb[1] ;
wire \tile_x11y10_n2begb[2] ;
wire \tile_x11y10_n2begb[3] ;
wire \tile_x11y10_n2begb[4] ;
wire \tile_x11y10_n2begb[5] ;
wire \tile_x11y10_n2begb[6] ;
wire \tile_x11y10_n2begb[7] ;
wire \tile_x11y10_n4beg[0] ;
wire \tile_x11y10_n4beg[10] ;
wire \tile_x11y10_n4beg[11] ;
wire \tile_x11y10_n4beg[12] ;
wire \tile_x11y10_n4beg[13] ;
wire \tile_x11y10_n4beg[14] ;
wire \tile_x11y10_n4beg[15] ;
wire \tile_x11y10_n4beg[1] ;
wire \tile_x11y10_n4beg[2] ;
wire \tile_x11y10_n4beg[3] ;
wire \tile_x11y10_n4beg[4] ;
wire \tile_x11y10_n4beg[5] ;
wire \tile_x11y10_n4beg[6] ;
wire \tile_x11y10_n4beg[7] ;
wire \tile_x11y10_n4beg[8] ;
wire \tile_x11y10_n4beg[9] ;
wire \tile_x11y10_nn4beg[0] ;
wire \tile_x11y10_nn4beg[10] ;
wire \tile_x11y10_nn4beg[11] ;
wire \tile_x11y10_nn4beg[12] ;
wire \tile_x11y10_nn4beg[13] ;
wire \tile_x11y10_nn4beg[14] ;
wire \tile_x11y10_nn4beg[15] ;
wire \tile_x11y10_nn4beg[1] ;
wire \tile_x11y10_nn4beg[2] ;
wire \tile_x11y10_nn4beg[3] ;
wire \tile_x11y10_nn4beg[4] ;
wire \tile_x11y10_nn4beg[5] ;
wire \tile_x11y10_nn4beg[6] ;
wire \tile_x11y10_nn4beg[7] ;
wire \tile_x11y10_nn4beg[8] ;
wire \tile_x11y10_nn4beg[9] ;
wire \tile_x11y10_s1beg[0] ;
wire \tile_x11y10_s1beg[1] ;
wire \tile_x11y10_s1beg[2] ;
wire \tile_x11y10_s1beg[3] ;
wire \tile_x11y10_s2beg[0] ;
wire \tile_x11y10_s2beg[1] ;
wire \tile_x11y10_s2beg[2] ;
wire \tile_x11y10_s2beg[3] ;
wire \tile_x11y10_s2beg[4] ;
wire \tile_x11y10_s2beg[5] ;
wire \tile_x11y10_s2beg[6] ;
wire \tile_x11y10_s2beg[7] ;
wire \tile_x11y10_s2begb[0] ;
wire \tile_x11y10_s2begb[1] ;
wire \tile_x11y10_s2begb[2] ;
wire \tile_x11y10_s2begb[3] ;
wire \tile_x11y10_s2begb[4] ;
wire \tile_x11y10_s2begb[5] ;
wire \tile_x11y10_s2begb[6] ;
wire \tile_x11y10_s2begb[7] ;
wire \tile_x11y10_s4beg[0] ;
wire \tile_x11y10_s4beg[10] ;
wire \tile_x11y10_s4beg[11] ;
wire \tile_x11y10_s4beg[12] ;
wire \tile_x11y10_s4beg[13] ;
wire \tile_x11y10_s4beg[14] ;
wire \tile_x11y10_s4beg[15] ;
wire \tile_x11y10_s4beg[1] ;
wire \tile_x11y10_s4beg[2] ;
wire \tile_x11y10_s4beg[3] ;
wire \tile_x11y10_s4beg[4] ;
wire \tile_x11y10_s4beg[5] ;
wire \tile_x11y10_s4beg[6] ;
wire \tile_x11y10_s4beg[7] ;
wire \tile_x11y10_s4beg[8] ;
wire \tile_x11y10_s4beg[9] ;
wire \tile_x11y10_ss4beg[0] ;
wire \tile_x11y10_ss4beg[10] ;
wire \tile_x11y10_ss4beg[11] ;
wire \tile_x11y10_ss4beg[12] ;
wire \tile_x11y10_ss4beg[13] ;
wire \tile_x11y10_ss4beg[14] ;
wire \tile_x11y10_ss4beg[15] ;
wire \tile_x11y10_ss4beg[1] ;
wire \tile_x11y10_ss4beg[2] ;
wire \tile_x11y10_ss4beg[3] ;
wire \tile_x11y10_ss4beg[4] ;
wire \tile_x11y10_ss4beg[5] ;
wire \tile_x11y10_ss4beg[6] ;
wire \tile_x11y10_ss4beg[7] ;
wire \tile_x11y10_ss4beg[8] ;
wire \tile_x11y10_ss4beg[9] ;
wire tile_x11y10_userclko;
wire \tile_x11y10_w1beg[0] ;
wire \tile_x11y10_w1beg[1] ;
wire \tile_x11y10_w1beg[2] ;
wire \tile_x11y10_w1beg[3] ;
wire \tile_x11y10_w2beg[0] ;
wire \tile_x11y10_w2beg[1] ;
wire \tile_x11y10_w2beg[2] ;
wire \tile_x11y10_w2beg[3] ;
wire \tile_x11y10_w2beg[4] ;
wire \tile_x11y10_w2beg[5] ;
wire \tile_x11y10_w2beg[6] ;
wire \tile_x11y10_w2beg[7] ;
wire \tile_x11y10_w2begb[0] ;
wire \tile_x11y10_w2begb[1] ;
wire \tile_x11y10_w2begb[2] ;
wire \tile_x11y10_w2begb[3] ;
wire \tile_x11y10_w2begb[4] ;
wire \tile_x11y10_w2begb[5] ;
wire \tile_x11y10_w2begb[6] ;
wire \tile_x11y10_w2begb[7] ;
wire \tile_x11y10_w6beg[0] ;
wire \tile_x11y10_w6beg[10] ;
wire \tile_x11y10_w6beg[11] ;
wire \tile_x11y10_w6beg[1] ;
wire \tile_x11y10_w6beg[2] ;
wire \tile_x11y10_w6beg[3] ;
wire \tile_x11y10_w6beg[4] ;
wire \tile_x11y10_w6beg[5] ;
wire \tile_x11y10_w6beg[6] ;
wire \tile_x11y10_w6beg[7] ;
wire \tile_x11y10_w6beg[8] ;
wire \tile_x11y10_w6beg[9] ;
wire \tile_x11y10_ww4beg[0] ;
wire \tile_x11y10_ww4beg[10] ;
wire \tile_x11y10_ww4beg[11] ;
wire \tile_x11y10_ww4beg[12] ;
wire \tile_x11y10_ww4beg[13] ;
wire \tile_x11y10_ww4beg[14] ;
wire \tile_x11y10_ww4beg[15] ;
wire \tile_x11y10_ww4beg[1] ;
wire \tile_x11y10_ww4beg[2] ;
wire \tile_x11y10_ww4beg[3] ;
wire \tile_x11y10_ww4beg[4] ;
wire \tile_x11y10_ww4beg[5] ;
wire \tile_x11y10_ww4beg[6] ;
wire \tile_x11y10_ww4beg[7] ;
wire \tile_x11y10_ww4beg[8] ;
wire \tile_x11y10_ww4beg[9] ;
wire tile_x11y11_co;
wire \tile_x11y11_e1beg[0] ;
wire \tile_x11y11_e1beg[1] ;
wire \tile_x11y11_e1beg[2] ;
wire \tile_x11y11_e1beg[3] ;
wire \tile_x11y11_e2beg[0] ;
wire \tile_x11y11_e2beg[1] ;
wire \tile_x11y11_e2beg[2] ;
wire \tile_x11y11_e2beg[3] ;
wire \tile_x11y11_e2beg[4] ;
wire \tile_x11y11_e2beg[5] ;
wire \tile_x11y11_e2beg[6] ;
wire \tile_x11y11_e2beg[7] ;
wire \tile_x11y11_e2begb[0] ;
wire \tile_x11y11_e2begb[1] ;
wire \tile_x11y11_e2begb[2] ;
wire \tile_x11y11_e2begb[3] ;
wire \tile_x11y11_e2begb[4] ;
wire \tile_x11y11_e2begb[5] ;
wire \tile_x11y11_e2begb[6] ;
wire \tile_x11y11_e2begb[7] ;
wire \tile_x11y11_e6beg[0] ;
wire \tile_x11y11_e6beg[10] ;
wire \tile_x11y11_e6beg[11] ;
wire \tile_x11y11_e6beg[1] ;
wire \tile_x11y11_e6beg[2] ;
wire \tile_x11y11_e6beg[3] ;
wire \tile_x11y11_e6beg[4] ;
wire \tile_x11y11_e6beg[5] ;
wire \tile_x11y11_e6beg[6] ;
wire \tile_x11y11_e6beg[7] ;
wire \tile_x11y11_e6beg[8] ;
wire \tile_x11y11_e6beg[9] ;
wire \tile_x11y11_ee4beg[0] ;
wire \tile_x11y11_ee4beg[10] ;
wire \tile_x11y11_ee4beg[11] ;
wire \tile_x11y11_ee4beg[12] ;
wire \tile_x11y11_ee4beg[13] ;
wire \tile_x11y11_ee4beg[14] ;
wire \tile_x11y11_ee4beg[15] ;
wire \tile_x11y11_ee4beg[1] ;
wire \tile_x11y11_ee4beg[2] ;
wire \tile_x11y11_ee4beg[3] ;
wire \tile_x11y11_ee4beg[4] ;
wire \tile_x11y11_ee4beg[5] ;
wire \tile_x11y11_ee4beg[6] ;
wire \tile_x11y11_ee4beg[7] ;
wire \tile_x11y11_ee4beg[8] ;
wire \tile_x11y11_ee4beg[9] ;
wire \tile_x11y11_framedata_o[0] ;
wire \tile_x11y11_framedata_o[10] ;
wire \tile_x11y11_framedata_o[11] ;
wire \tile_x11y11_framedata_o[12] ;
wire \tile_x11y11_framedata_o[13] ;
wire \tile_x11y11_framedata_o[14] ;
wire \tile_x11y11_framedata_o[15] ;
wire \tile_x11y11_framedata_o[16] ;
wire \tile_x11y11_framedata_o[17] ;
wire \tile_x11y11_framedata_o[18] ;
wire \tile_x11y11_framedata_o[19] ;
wire \tile_x11y11_framedata_o[1] ;
wire \tile_x11y11_framedata_o[20] ;
wire \tile_x11y11_framedata_o[21] ;
wire \tile_x11y11_framedata_o[22] ;
wire \tile_x11y11_framedata_o[23] ;
wire \tile_x11y11_framedata_o[24] ;
wire \tile_x11y11_framedata_o[25] ;
wire \tile_x11y11_framedata_o[26] ;
wire \tile_x11y11_framedata_o[27] ;
wire \tile_x11y11_framedata_o[28] ;
wire \tile_x11y11_framedata_o[29] ;
wire \tile_x11y11_framedata_o[2] ;
wire \tile_x11y11_framedata_o[30] ;
wire \tile_x11y11_framedata_o[31] ;
wire \tile_x11y11_framedata_o[3] ;
wire \tile_x11y11_framedata_o[4] ;
wire \tile_x11y11_framedata_o[5] ;
wire \tile_x11y11_framedata_o[6] ;
wire \tile_x11y11_framedata_o[7] ;
wire \tile_x11y11_framedata_o[8] ;
wire \tile_x11y11_framedata_o[9] ;
wire \tile_x11y11_framestrobe_o[0] ;
wire \tile_x11y11_framestrobe_o[10] ;
wire \tile_x11y11_framestrobe_o[11] ;
wire \tile_x11y11_framestrobe_o[12] ;
wire \tile_x11y11_framestrobe_o[13] ;
wire \tile_x11y11_framestrobe_o[14] ;
wire \tile_x11y11_framestrobe_o[15] ;
wire \tile_x11y11_framestrobe_o[16] ;
wire \tile_x11y11_framestrobe_o[17] ;
wire \tile_x11y11_framestrobe_o[18] ;
wire \tile_x11y11_framestrobe_o[19] ;
wire \tile_x11y11_framestrobe_o[1] ;
wire \tile_x11y11_framestrobe_o[2] ;
wire \tile_x11y11_framestrobe_o[3] ;
wire \tile_x11y11_framestrobe_o[4] ;
wire \tile_x11y11_framestrobe_o[5] ;
wire \tile_x11y11_framestrobe_o[6] ;
wire \tile_x11y11_framestrobe_o[7] ;
wire \tile_x11y11_framestrobe_o[8] ;
wire \tile_x11y11_framestrobe_o[9] ;
wire \tile_x11y11_n1beg[0] ;
wire \tile_x11y11_n1beg[1] ;
wire \tile_x11y11_n1beg[2] ;
wire \tile_x11y11_n1beg[3] ;
wire \tile_x11y11_n2beg[0] ;
wire \tile_x11y11_n2beg[1] ;
wire \tile_x11y11_n2beg[2] ;
wire \tile_x11y11_n2beg[3] ;
wire \tile_x11y11_n2beg[4] ;
wire \tile_x11y11_n2beg[5] ;
wire \tile_x11y11_n2beg[6] ;
wire \tile_x11y11_n2beg[7] ;
wire \tile_x11y11_n2begb[0] ;
wire \tile_x11y11_n2begb[1] ;
wire \tile_x11y11_n2begb[2] ;
wire \tile_x11y11_n2begb[3] ;
wire \tile_x11y11_n2begb[4] ;
wire \tile_x11y11_n2begb[5] ;
wire \tile_x11y11_n2begb[6] ;
wire \tile_x11y11_n2begb[7] ;
wire \tile_x11y11_n4beg[0] ;
wire \tile_x11y11_n4beg[10] ;
wire \tile_x11y11_n4beg[11] ;
wire \tile_x11y11_n4beg[12] ;
wire \tile_x11y11_n4beg[13] ;
wire \tile_x11y11_n4beg[14] ;
wire \tile_x11y11_n4beg[15] ;
wire \tile_x11y11_n4beg[1] ;
wire \tile_x11y11_n4beg[2] ;
wire \tile_x11y11_n4beg[3] ;
wire \tile_x11y11_n4beg[4] ;
wire \tile_x11y11_n4beg[5] ;
wire \tile_x11y11_n4beg[6] ;
wire \tile_x11y11_n4beg[7] ;
wire \tile_x11y11_n4beg[8] ;
wire \tile_x11y11_n4beg[9] ;
wire \tile_x11y11_nn4beg[0] ;
wire \tile_x11y11_nn4beg[10] ;
wire \tile_x11y11_nn4beg[11] ;
wire \tile_x11y11_nn4beg[12] ;
wire \tile_x11y11_nn4beg[13] ;
wire \tile_x11y11_nn4beg[14] ;
wire \tile_x11y11_nn4beg[15] ;
wire \tile_x11y11_nn4beg[1] ;
wire \tile_x11y11_nn4beg[2] ;
wire \tile_x11y11_nn4beg[3] ;
wire \tile_x11y11_nn4beg[4] ;
wire \tile_x11y11_nn4beg[5] ;
wire \tile_x11y11_nn4beg[6] ;
wire \tile_x11y11_nn4beg[7] ;
wire \tile_x11y11_nn4beg[8] ;
wire \tile_x11y11_nn4beg[9] ;
wire \tile_x11y11_s1beg[0] ;
wire \tile_x11y11_s1beg[1] ;
wire \tile_x11y11_s1beg[2] ;
wire \tile_x11y11_s1beg[3] ;
wire \tile_x11y11_s2beg[0] ;
wire \tile_x11y11_s2beg[1] ;
wire \tile_x11y11_s2beg[2] ;
wire \tile_x11y11_s2beg[3] ;
wire \tile_x11y11_s2beg[4] ;
wire \tile_x11y11_s2beg[5] ;
wire \tile_x11y11_s2beg[6] ;
wire \tile_x11y11_s2beg[7] ;
wire \tile_x11y11_s2begb[0] ;
wire \tile_x11y11_s2begb[1] ;
wire \tile_x11y11_s2begb[2] ;
wire \tile_x11y11_s2begb[3] ;
wire \tile_x11y11_s2begb[4] ;
wire \tile_x11y11_s2begb[5] ;
wire \tile_x11y11_s2begb[6] ;
wire \tile_x11y11_s2begb[7] ;
wire \tile_x11y11_s4beg[0] ;
wire \tile_x11y11_s4beg[10] ;
wire \tile_x11y11_s4beg[11] ;
wire \tile_x11y11_s4beg[12] ;
wire \tile_x11y11_s4beg[13] ;
wire \tile_x11y11_s4beg[14] ;
wire \tile_x11y11_s4beg[15] ;
wire \tile_x11y11_s4beg[1] ;
wire \tile_x11y11_s4beg[2] ;
wire \tile_x11y11_s4beg[3] ;
wire \tile_x11y11_s4beg[4] ;
wire \tile_x11y11_s4beg[5] ;
wire \tile_x11y11_s4beg[6] ;
wire \tile_x11y11_s4beg[7] ;
wire \tile_x11y11_s4beg[8] ;
wire \tile_x11y11_s4beg[9] ;
wire \tile_x11y11_ss4beg[0] ;
wire \tile_x11y11_ss4beg[10] ;
wire \tile_x11y11_ss4beg[11] ;
wire \tile_x11y11_ss4beg[12] ;
wire \tile_x11y11_ss4beg[13] ;
wire \tile_x11y11_ss4beg[14] ;
wire \tile_x11y11_ss4beg[15] ;
wire \tile_x11y11_ss4beg[1] ;
wire \tile_x11y11_ss4beg[2] ;
wire \tile_x11y11_ss4beg[3] ;
wire \tile_x11y11_ss4beg[4] ;
wire \tile_x11y11_ss4beg[5] ;
wire \tile_x11y11_ss4beg[6] ;
wire \tile_x11y11_ss4beg[7] ;
wire \tile_x11y11_ss4beg[8] ;
wire \tile_x11y11_ss4beg[9] ;
wire tile_x11y11_userclko;
wire \tile_x11y11_w1beg[0] ;
wire \tile_x11y11_w1beg[1] ;
wire \tile_x11y11_w1beg[2] ;
wire \tile_x11y11_w1beg[3] ;
wire \tile_x11y11_w2beg[0] ;
wire \tile_x11y11_w2beg[1] ;
wire \tile_x11y11_w2beg[2] ;
wire \tile_x11y11_w2beg[3] ;
wire \tile_x11y11_w2beg[4] ;
wire \tile_x11y11_w2beg[5] ;
wire \tile_x11y11_w2beg[6] ;
wire \tile_x11y11_w2beg[7] ;
wire \tile_x11y11_w2begb[0] ;
wire \tile_x11y11_w2begb[1] ;
wire \tile_x11y11_w2begb[2] ;
wire \tile_x11y11_w2begb[3] ;
wire \tile_x11y11_w2begb[4] ;
wire \tile_x11y11_w2begb[5] ;
wire \tile_x11y11_w2begb[6] ;
wire \tile_x11y11_w2begb[7] ;
wire \tile_x11y11_w6beg[0] ;
wire \tile_x11y11_w6beg[10] ;
wire \tile_x11y11_w6beg[11] ;
wire \tile_x11y11_w6beg[1] ;
wire \tile_x11y11_w6beg[2] ;
wire \tile_x11y11_w6beg[3] ;
wire \tile_x11y11_w6beg[4] ;
wire \tile_x11y11_w6beg[5] ;
wire \tile_x11y11_w6beg[6] ;
wire \tile_x11y11_w6beg[7] ;
wire \tile_x11y11_w6beg[8] ;
wire \tile_x11y11_w6beg[9] ;
wire \tile_x11y11_ww4beg[0] ;
wire \tile_x11y11_ww4beg[10] ;
wire \tile_x11y11_ww4beg[11] ;
wire \tile_x11y11_ww4beg[12] ;
wire \tile_x11y11_ww4beg[13] ;
wire \tile_x11y11_ww4beg[14] ;
wire \tile_x11y11_ww4beg[15] ;
wire \tile_x11y11_ww4beg[1] ;
wire \tile_x11y11_ww4beg[2] ;
wire \tile_x11y11_ww4beg[3] ;
wire \tile_x11y11_ww4beg[4] ;
wire \tile_x11y11_ww4beg[5] ;
wire \tile_x11y11_ww4beg[6] ;
wire \tile_x11y11_ww4beg[7] ;
wire \tile_x11y11_ww4beg[8] ;
wire \tile_x11y11_ww4beg[9] ;
wire tile_x11y12_co;
wire \tile_x11y12_e1beg[0] ;
wire \tile_x11y12_e1beg[1] ;
wire \tile_x11y12_e1beg[2] ;
wire \tile_x11y12_e1beg[3] ;
wire \tile_x11y12_e2beg[0] ;
wire \tile_x11y12_e2beg[1] ;
wire \tile_x11y12_e2beg[2] ;
wire \tile_x11y12_e2beg[3] ;
wire \tile_x11y12_e2beg[4] ;
wire \tile_x11y12_e2beg[5] ;
wire \tile_x11y12_e2beg[6] ;
wire \tile_x11y12_e2beg[7] ;
wire \tile_x11y12_e2begb[0] ;
wire \tile_x11y12_e2begb[1] ;
wire \tile_x11y12_e2begb[2] ;
wire \tile_x11y12_e2begb[3] ;
wire \tile_x11y12_e2begb[4] ;
wire \tile_x11y12_e2begb[5] ;
wire \tile_x11y12_e2begb[6] ;
wire \tile_x11y12_e2begb[7] ;
wire \tile_x11y12_e6beg[0] ;
wire \tile_x11y12_e6beg[10] ;
wire \tile_x11y12_e6beg[11] ;
wire \tile_x11y12_e6beg[1] ;
wire \tile_x11y12_e6beg[2] ;
wire \tile_x11y12_e6beg[3] ;
wire \tile_x11y12_e6beg[4] ;
wire \tile_x11y12_e6beg[5] ;
wire \tile_x11y12_e6beg[6] ;
wire \tile_x11y12_e6beg[7] ;
wire \tile_x11y12_e6beg[8] ;
wire \tile_x11y12_e6beg[9] ;
wire \tile_x11y12_ee4beg[0] ;
wire \tile_x11y12_ee4beg[10] ;
wire \tile_x11y12_ee4beg[11] ;
wire \tile_x11y12_ee4beg[12] ;
wire \tile_x11y12_ee4beg[13] ;
wire \tile_x11y12_ee4beg[14] ;
wire \tile_x11y12_ee4beg[15] ;
wire \tile_x11y12_ee4beg[1] ;
wire \tile_x11y12_ee4beg[2] ;
wire \tile_x11y12_ee4beg[3] ;
wire \tile_x11y12_ee4beg[4] ;
wire \tile_x11y12_ee4beg[5] ;
wire \tile_x11y12_ee4beg[6] ;
wire \tile_x11y12_ee4beg[7] ;
wire \tile_x11y12_ee4beg[8] ;
wire \tile_x11y12_ee4beg[9] ;
wire \tile_x11y12_framedata_o[0] ;
wire \tile_x11y12_framedata_o[10] ;
wire \tile_x11y12_framedata_o[11] ;
wire \tile_x11y12_framedata_o[12] ;
wire \tile_x11y12_framedata_o[13] ;
wire \tile_x11y12_framedata_o[14] ;
wire \tile_x11y12_framedata_o[15] ;
wire \tile_x11y12_framedata_o[16] ;
wire \tile_x11y12_framedata_o[17] ;
wire \tile_x11y12_framedata_o[18] ;
wire \tile_x11y12_framedata_o[19] ;
wire \tile_x11y12_framedata_o[1] ;
wire \tile_x11y12_framedata_o[20] ;
wire \tile_x11y12_framedata_o[21] ;
wire \tile_x11y12_framedata_o[22] ;
wire \tile_x11y12_framedata_o[23] ;
wire \tile_x11y12_framedata_o[24] ;
wire \tile_x11y12_framedata_o[25] ;
wire \tile_x11y12_framedata_o[26] ;
wire \tile_x11y12_framedata_o[27] ;
wire \tile_x11y12_framedata_o[28] ;
wire \tile_x11y12_framedata_o[29] ;
wire \tile_x11y12_framedata_o[2] ;
wire \tile_x11y12_framedata_o[30] ;
wire \tile_x11y12_framedata_o[31] ;
wire \tile_x11y12_framedata_o[3] ;
wire \tile_x11y12_framedata_o[4] ;
wire \tile_x11y12_framedata_o[5] ;
wire \tile_x11y12_framedata_o[6] ;
wire \tile_x11y12_framedata_o[7] ;
wire \tile_x11y12_framedata_o[8] ;
wire \tile_x11y12_framedata_o[9] ;
wire \tile_x11y12_framestrobe_o[0] ;
wire \tile_x11y12_framestrobe_o[10] ;
wire \tile_x11y12_framestrobe_o[11] ;
wire \tile_x11y12_framestrobe_o[12] ;
wire \tile_x11y12_framestrobe_o[13] ;
wire \tile_x11y12_framestrobe_o[14] ;
wire \tile_x11y12_framestrobe_o[15] ;
wire \tile_x11y12_framestrobe_o[16] ;
wire \tile_x11y12_framestrobe_o[17] ;
wire \tile_x11y12_framestrobe_o[18] ;
wire \tile_x11y12_framestrobe_o[19] ;
wire \tile_x11y12_framestrobe_o[1] ;
wire \tile_x11y12_framestrobe_o[2] ;
wire \tile_x11y12_framestrobe_o[3] ;
wire \tile_x11y12_framestrobe_o[4] ;
wire \tile_x11y12_framestrobe_o[5] ;
wire \tile_x11y12_framestrobe_o[6] ;
wire \tile_x11y12_framestrobe_o[7] ;
wire \tile_x11y12_framestrobe_o[8] ;
wire \tile_x11y12_framestrobe_o[9] ;
wire \tile_x11y12_n1beg[0] ;
wire \tile_x11y12_n1beg[1] ;
wire \tile_x11y12_n1beg[2] ;
wire \tile_x11y12_n1beg[3] ;
wire \tile_x11y12_n2beg[0] ;
wire \tile_x11y12_n2beg[1] ;
wire \tile_x11y12_n2beg[2] ;
wire \tile_x11y12_n2beg[3] ;
wire \tile_x11y12_n2beg[4] ;
wire \tile_x11y12_n2beg[5] ;
wire \tile_x11y12_n2beg[6] ;
wire \tile_x11y12_n2beg[7] ;
wire \tile_x11y12_n2begb[0] ;
wire \tile_x11y12_n2begb[1] ;
wire \tile_x11y12_n2begb[2] ;
wire \tile_x11y12_n2begb[3] ;
wire \tile_x11y12_n2begb[4] ;
wire \tile_x11y12_n2begb[5] ;
wire \tile_x11y12_n2begb[6] ;
wire \tile_x11y12_n2begb[7] ;
wire \tile_x11y12_n4beg[0] ;
wire \tile_x11y12_n4beg[10] ;
wire \tile_x11y12_n4beg[11] ;
wire \tile_x11y12_n4beg[12] ;
wire \tile_x11y12_n4beg[13] ;
wire \tile_x11y12_n4beg[14] ;
wire \tile_x11y12_n4beg[15] ;
wire \tile_x11y12_n4beg[1] ;
wire \tile_x11y12_n4beg[2] ;
wire \tile_x11y12_n4beg[3] ;
wire \tile_x11y12_n4beg[4] ;
wire \tile_x11y12_n4beg[5] ;
wire \tile_x11y12_n4beg[6] ;
wire \tile_x11y12_n4beg[7] ;
wire \tile_x11y12_n4beg[8] ;
wire \tile_x11y12_n4beg[9] ;
wire \tile_x11y12_nn4beg[0] ;
wire \tile_x11y12_nn4beg[10] ;
wire \tile_x11y12_nn4beg[11] ;
wire \tile_x11y12_nn4beg[12] ;
wire \tile_x11y12_nn4beg[13] ;
wire \tile_x11y12_nn4beg[14] ;
wire \tile_x11y12_nn4beg[15] ;
wire \tile_x11y12_nn4beg[1] ;
wire \tile_x11y12_nn4beg[2] ;
wire \tile_x11y12_nn4beg[3] ;
wire \tile_x11y12_nn4beg[4] ;
wire \tile_x11y12_nn4beg[5] ;
wire \tile_x11y12_nn4beg[6] ;
wire \tile_x11y12_nn4beg[7] ;
wire \tile_x11y12_nn4beg[8] ;
wire \tile_x11y12_nn4beg[9] ;
wire \tile_x11y12_s1beg[0] ;
wire \tile_x11y12_s1beg[1] ;
wire \tile_x11y12_s1beg[2] ;
wire \tile_x11y12_s1beg[3] ;
wire \tile_x11y12_s2beg[0] ;
wire \tile_x11y12_s2beg[1] ;
wire \tile_x11y12_s2beg[2] ;
wire \tile_x11y12_s2beg[3] ;
wire \tile_x11y12_s2beg[4] ;
wire \tile_x11y12_s2beg[5] ;
wire \tile_x11y12_s2beg[6] ;
wire \tile_x11y12_s2beg[7] ;
wire \tile_x11y12_s2begb[0] ;
wire \tile_x11y12_s2begb[1] ;
wire \tile_x11y12_s2begb[2] ;
wire \tile_x11y12_s2begb[3] ;
wire \tile_x11y12_s2begb[4] ;
wire \tile_x11y12_s2begb[5] ;
wire \tile_x11y12_s2begb[6] ;
wire \tile_x11y12_s2begb[7] ;
wire \tile_x11y12_s4beg[0] ;
wire \tile_x11y12_s4beg[10] ;
wire \tile_x11y12_s4beg[11] ;
wire \tile_x11y12_s4beg[12] ;
wire \tile_x11y12_s4beg[13] ;
wire \tile_x11y12_s4beg[14] ;
wire \tile_x11y12_s4beg[15] ;
wire \tile_x11y12_s4beg[1] ;
wire \tile_x11y12_s4beg[2] ;
wire \tile_x11y12_s4beg[3] ;
wire \tile_x11y12_s4beg[4] ;
wire \tile_x11y12_s4beg[5] ;
wire \tile_x11y12_s4beg[6] ;
wire \tile_x11y12_s4beg[7] ;
wire \tile_x11y12_s4beg[8] ;
wire \tile_x11y12_s4beg[9] ;
wire \tile_x11y12_ss4beg[0] ;
wire \tile_x11y12_ss4beg[10] ;
wire \tile_x11y12_ss4beg[11] ;
wire \tile_x11y12_ss4beg[12] ;
wire \tile_x11y12_ss4beg[13] ;
wire \tile_x11y12_ss4beg[14] ;
wire \tile_x11y12_ss4beg[15] ;
wire \tile_x11y12_ss4beg[1] ;
wire \tile_x11y12_ss4beg[2] ;
wire \tile_x11y12_ss4beg[3] ;
wire \tile_x11y12_ss4beg[4] ;
wire \tile_x11y12_ss4beg[5] ;
wire \tile_x11y12_ss4beg[6] ;
wire \tile_x11y12_ss4beg[7] ;
wire \tile_x11y12_ss4beg[8] ;
wire \tile_x11y12_ss4beg[9] ;
wire tile_x11y12_userclko;
wire \tile_x11y12_w1beg[0] ;
wire \tile_x11y12_w1beg[1] ;
wire \tile_x11y12_w1beg[2] ;
wire \tile_x11y12_w1beg[3] ;
wire \tile_x11y12_w2beg[0] ;
wire \tile_x11y12_w2beg[1] ;
wire \tile_x11y12_w2beg[2] ;
wire \tile_x11y12_w2beg[3] ;
wire \tile_x11y12_w2beg[4] ;
wire \tile_x11y12_w2beg[5] ;
wire \tile_x11y12_w2beg[6] ;
wire \tile_x11y12_w2beg[7] ;
wire \tile_x11y12_w2begb[0] ;
wire \tile_x11y12_w2begb[1] ;
wire \tile_x11y12_w2begb[2] ;
wire \tile_x11y12_w2begb[3] ;
wire \tile_x11y12_w2begb[4] ;
wire \tile_x11y12_w2begb[5] ;
wire \tile_x11y12_w2begb[6] ;
wire \tile_x11y12_w2begb[7] ;
wire \tile_x11y12_w6beg[0] ;
wire \tile_x11y12_w6beg[10] ;
wire \tile_x11y12_w6beg[11] ;
wire \tile_x11y12_w6beg[1] ;
wire \tile_x11y12_w6beg[2] ;
wire \tile_x11y12_w6beg[3] ;
wire \tile_x11y12_w6beg[4] ;
wire \tile_x11y12_w6beg[5] ;
wire \tile_x11y12_w6beg[6] ;
wire \tile_x11y12_w6beg[7] ;
wire \tile_x11y12_w6beg[8] ;
wire \tile_x11y12_w6beg[9] ;
wire \tile_x11y12_ww4beg[0] ;
wire \tile_x11y12_ww4beg[10] ;
wire \tile_x11y12_ww4beg[11] ;
wire \tile_x11y12_ww4beg[12] ;
wire \tile_x11y12_ww4beg[13] ;
wire \tile_x11y12_ww4beg[14] ;
wire \tile_x11y12_ww4beg[15] ;
wire \tile_x11y12_ww4beg[1] ;
wire \tile_x11y12_ww4beg[2] ;
wire \tile_x11y12_ww4beg[3] ;
wire \tile_x11y12_ww4beg[4] ;
wire \tile_x11y12_ww4beg[5] ;
wire \tile_x11y12_ww4beg[6] ;
wire \tile_x11y12_ww4beg[7] ;
wire \tile_x11y12_ww4beg[8] ;
wire \tile_x11y12_ww4beg[9] ;
wire tile_x11y13_co;
wire \tile_x11y13_e1beg[0] ;
wire \tile_x11y13_e1beg[1] ;
wire \tile_x11y13_e1beg[2] ;
wire \tile_x11y13_e1beg[3] ;
wire \tile_x11y13_e2beg[0] ;
wire \tile_x11y13_e2beg[1] ;
wire \tile_x11y13_e2beg[2] ;
wire \tile_x11y13_e2beg[3] ;
wire \tile_x11y13_e2beg[4] ;
wire \tile_x11y13_e2beg[5] ;
wire \tile_x11y13_e2beg[6] ;
wire \tile_x11y13_e2beg[7] ;
wire \tile_x11y13_e2begb[0] ;
wire \tile_x11y13_e2begb[1] ;
wire \tile_x11y13_e2begb[2] ;
wire \tile_x11y13_e2begb[3] ;
wire \tile_x11y13_e2begb[4] ;
wire \tile_x11y13_e2begb[5] ;
wire \tile_x11y13_e2begb[6] ;
wire \tile_x11y13_e2begb[7] ;
wire \tile_x11y13_e6beg[0] ;
wire \tile_x11y13_e6beg[10] ;
wire \tile_x11y13_e6beg[11] ;
wire \tile_x11y13_e6beg[1] ;
wire \tile_x11y13_e6beg[2] ;
wire \tile_x11y13_e6beg[3] ;
wire \tile_x11y13_e6beg[4] ;
wire \tile_x11y13_e6beg[5] ;
wire \tile_x11y13_e6beg[6] ;
wire \tile_x11y13_e6beg[7] ;
wire \tile_x11y13_e6beg[8] ;
wire \tile_x11y13_e6beg[9] ;
wire \tile_x11y13_ee4beg[0] ;
wire \tile_x11y13_ee4beg[10] ;
wire \tile_x11y13_ee4beg[11] ;
wire \tile_x11y13_ee4beg[12] ;
wire \tile_x11y13_ee4beg[13] ;
wire \tile_x11y13_ee4beg[14] ;
wire \tile_x11y13_ee4beg[15] ;
wire \tile_x11y13_ee4beg[1] ;
wire \tile_x11y13_ee4beg[2] ;
wire \tile_x11y13_ee4beg[3] ;
wire \tile_x11y13_ee4beg[4] ;
wire \tile_x11y13_ee4beg[5] ;
wire \tile_x11y13_ee4beg[6] ;
wire \tile_x11y13_ee4beg[7] ;
wire \tile_x11y13_ee4beg[8] ;
wire \tile_x11y13_ee4beg[9] ;
wire \tile_x11y13_framedata_o[0] ;
wire \tile_x11y13_framedata_o[10] ;
wire \tile_x11y13_framedata_o[11] ;
wire \tile_x11y13_framedata_o[12] ;
wire \tile_x11y13_framedata_o[13] ;
wire \tile_x11y13_framedata_o[14] ;
wire \tile_x11y13_framedata_o[15] ;
wire \tile_x11y13_framedata_o[16] ;
wire \tile_x11y13_framedata_o[17] ;
wire \tile_x11y13_framedata_o[18] ;
wire \tile_x11y13_framedata_o[19] ;
wire \tile_x11y13_framedata_o[1] ;
wire \tile_x11y13_framedata_o[20] ;
wire \tile_x11y13_framedata_o[21] ;
wire \tile_x11y13_framedata_o[22] ;
wire \tile_x11y13_framedata_o[23] ;
wire \tile_x11y13_framedata_o[24] ;
wire \tile_x11y13_framedata_o[25] ;
wire \tile_x11y13_framedata_o[26] ;
wire \tile_x11y13_framedata_o[27] ;
wire \tile_x11y13_framedata_o[28] ;
wire \tile_x11y13_framedata_o[29] ;
wire \tile_x11y13_framedata_o[2] ;
wire \tile_x11y13_framedata_o[30] ;
wire \tile_x11y13_framedata_o[31] ;
wire \tile_x11y13_framedata_o[3] ;
wire \tile_x11y13_framedata_o[4] ;
wire \tile_x11y13_framedata_o[5] ;
wire \tile_x11y13_framedata_o[6] ;
wire \tile_x11y13_framedata_o[7] ;
wire \tile_x11y13_framedata_o[8] ;
wire \tile_x11y13_framedata_o[9] ;
wire \tile_x11y13_framestrobe_o[0] ;
wire \tile_x11y13_framestrobe_o[10] ;
wire \tile_x11y13_framestrobe_o[11] ;
wire \tile_x11y13_framestrobe_o[12] ;
wire \tile_x11y13_framestrobe_o[13] ;
wire \tile_x11y13_framestrobe_o[14] ;
wire \tile_x11y13_framestrobe_o[15] ;
wire \tile_x11y13_framestrobe_o[16] ;
wire \tile_x11y13_framestrobe_o[17] ;
wire \tile_x11y13_framestrobe_o[18] ;
wire \tile_x11y13_framestrobe_o[19] ;
wire \tile_x11y13_framestrobe_o[1] ;
wire \tile_x11y13_framestrobe_o[2] ;
wire \tile_x11y13_framestrobe_o[3] ;
wire \tile_x11y13_framestrobe_o[4] ;
wire \tile_x11y13_framestrobe_o[5] ;
wire \tile_x11y13_framestrobe_o[6] ;
wire \tile_x11y13_framestrobe_o[7] ;
wire \tile_x11y13_framestrobe_o[8] ;
wire \tile_x11y13_framestrobe_o[9] ;
wire \tile_x11y13_n1beg[0] ;
wire \tile_x11y13_n1beg[1] ;
wire \tile_x11y13_n1beg[2] ;
wire \tile_x11y13_n1beg[3] ;
wire \tile_x11y13_n2beg[0] ;
wire \tile_x11y13_n2beg[1] ;
wire \tile_x11y13_n2beg[2] ;
wire \tile_x11y13_n2beg[3] ;
wire \tile_x11y13_n2beg[4] ;
wire \tile_x11y13_n2beg[5] ;
wire \tile_x11y13_n2beg[6] ;
wire \tile_x11y13_n2beg[7] ;
wire \tile_x11y13_n2begb[0] ;
wire \tile_x11y13_n2begb[1] ;
wire \tile_x11y13_n2begb[2] ;
wire \tile_x11y13_n2begb[3] ;
wire \tile_x11y13_n2begb[4] ;
wire \tile_x11y13_n2begb[5] ;
wire \tile_x11y13_n2begb[6] ;
wire \tile_x11y13_n2begb[7] ;
wire \tile_x11y13_n4beg[0] ;
wire \tile_x11y13_n4beg[10] ;
wire \tile_x11y13_n4beg[11] ;
wire \tile_x11y13_n4beg[12] ;
wire \tile_x11y13_n4beg[13] ;
wire \tile_x11y13_n4beg[14] ;
wire \tile_x11y13_n4beg[15] ;
wire \tile_x11y13_n4beg[1] ;
wire \tile_x11y13_n4beg[2] ;
wire \tile_x11y13_n4beg[3] ;
wire \tile_x11y13_n4beg[4] ;
wire \tile_x11y13_n4beg[5] ;
wire \tile_x11y13_n4beg[6] ;
wire \tile_x11y13_n4beg[7] ;
wire \tile_x11y13_n4beg[8] ;
wire \tile_x11y13_n4beg[9] ;
wire \tile_x11y13_nn4beg[0] ;
wire \tile_x11y13_nn4beg[10] ;
wire \tile_x11y13_nn4beg[11] ;
wire \tile_x11y13_nn4beg[12] ;
wire \tile_x11y13_nn4beg[13] ;
wire \tile_x11y13_nn4beg[14] ;
wire \tile_x11y13_nn4beg[15] ;
wire \tile_x11y13_nn4beg[1] ;
wire \tile_x11y13_nn4beg[2] ;
wire \tile_x11y13_nn4beg[3] ;
wire \tile_x11y13_nn4beg[4] ;
wire \tile_x11y13_nn4beg[5] ;
wire \tile_x11y13_nn4beg[6] ;
wire \tile_x11y13_nn4beg[7] ;
wire \tile_x11y13_nn4beg[8] ;
wire \tile_x11y13_nn4beg[9] ;
wire \tile_x11y13_s1beg[0] ;
wire \tile_x11y13_s1beg[1] ;
wire \tile_x11y13_s1beg[2] ;
wire \tile_x11y13_s1beg[3] ;
wire \tile_x11y13_s2beg[0] ;
wire \tile_x11y13_s2beg[1] ;
wire \tile_x11y13_s2beg[2] ;
wire \tile_x11y13_s2beg[3] ;
wire \tile_x11y13_s2beg[4] ;
wire \tile_x11y13_s2beg[5] ;
wire \tile_x11y13_s2beg[6] ;
wire \tile_x11y13_s2beg[7] ;
wire \tile_x11y13_s2begb[0] ;
wire \tile_x11y13_s2begb[1] ;
wire \tile_x11y13_s2begb[2] ;
wire \tile_x11y13_s2begb[3] ;
wire \tile_x11y13_s2begb[4] ;
wire \tile_x11y13_s2begb[5] ;
wire \tile_x11y13_s2begb[6] ;
wire \tile_x11y13_s2begb[7] ;
wire \tile_x11y13_s4beg[0] ;
wire \tile_x11y13_s4beg[10] ;
wire \tile_x11y13_s4beg[11] ;
wire \tile_x11y13_s4beg[12] ;
wire \tile_x11y13_s4beg[13] ;
wire \tile_x11y13_s4beg[14] ;
wire \tile_x11y13_s4beg[15] ;
wire \tile_x11y13_s4beg[1] ;
wire \tile_x11y13_s4beg[2] ;
wire \tile_x11y13_s4beg[3] ;
wire \tile_x11y13_s4beg[4] ;
wire \tile_x11y13_s4beg[5] ;
wire \tile_x11y13_s4beg[6] ;
wire \tile_x11y13_s4beg[7] ;
wire \tile_x11y13_s4beg[8] ;
wire \tile_x11y13_s4beg[9] ;
wire \tile_x11y13_ss4beg[0] ;
wire \tile_x11y13_ss4beg[10] ;
wire \tile_x11y13_ss4beg[11] ;
wire \tile_x11y13_ss4beg[12] ;
wire \tile_x11y13_ss4beg[13] ;
wire \tile_x11y13_ss4beg[14] ;
wire \tile_x11y13_ss4beg[15] ;
wire \tile_x11y13_ss4beg[1] ;
wire \tile_x11y13_ss4beg[2] ;
wire \tile_x11y13_ss4beg[3] ;
wire \tile_x11y13_ss4beg[4] ;
wire \tile_x11y13_ss4beg[5] ;
wire \tile_x11y13_ss4beg[6] ;
wire \tile_x11y13_ss4beg[7] ;
wire \tile_x11y13_ss4beg[8] ;
wire \tile_x11y13_ss4beg[9] ;
wire tile_x11y13_userclko;
wire \tile_x11y13_w1beg[0] ;
wire \tile_x11y13_w1beg[1] ;
wire \tile_x11y13_w1beg[2] ;
wire \tile_x11y13_w1beg[3] ;
wire \tile_x11y13_w2beg[0] ;
wire \tile_x11y13_w2beg[1] ;
wire \tile_x11y13_w2beg[2] ;
wire \tile_x11y13_w2beg[3] ;
wire \tile_x11y13_w2beg[4] ;
wire \tile_x11y13_w2beg[5] ;
wire \tile_x11y13_w2beg[6] ;
wire \tile_x11y13_w2beg[7] ;
wire \tile_x11y13_w2begb[0] ;
wire \tile_x11y13_w2begb[1] ;
wire \tile_x11y13_w2begb[2] ;
wire \tile_x11y13_w2begb[3] ;
wire \tile_x11y13_w2begb[4] ;
wire \tile_x11y13_w2begb[5] ;
wire \tile_x11y13_w2begb[6] ;
wire \tile_x11y13_w2begb[7] ;
wire \tile_x11y13_w6beg[0] ;
wire \tile_x11y13_w6beg[10] ;
wire \tile_x11y13_w6beg[11] ;
wire \tile_x11y13_w6beg[1] ;
wire \tile_x11y13_w6beg[2] ;
wire \tile_x11y13_w6beg[3] ;
wire \tile_x11y13_w6beg[4] ;
wire \tile_x11y13_w6beg[5] ;
wire \tile_x11y13_w6beg[6] ;
wire \tile_x11y13_w6beg[7] ;
wire \tile_x11y13_w6beg[8] ;
wire \tile_x11y13_w6beg[9] ;
wire \tile_x11y13_ww4beg[0] ;
wire \tile_x11y13_ww4beg[10] ;
wire \tile_x11y13_ww4beg[11] ;
wire \tile_x11y13_ww4beg[12] ;
wire \tile_x11y13_ww4beg[13] ;
wire \tile_x11y13_ww4beg[14] ;
wire \tile_x11y13_ww4beg[15] ;
wire \tile_x11y13_ww4beg[1] ;
wire \tile_x11y13_ww4beg[2] ;
wire \tile_x11y13_ww4beg[3] ;
wire \tile_x11y13_ww4beg[4] ;
wire \tile_x11y13_ww4beg[5] ;
wire \tile_x11y13_ww4beg[6] ;
wire \tile_x11y13_ww4beg[7] ;
wire \tile_x11y13_ww4beg[8] ;
wire \tile_x11y13_ww4beg[9] ;
wire tile_x11y14_co;
wire \tile_x11y14_e1beg[0] ;
wire \tile_x11y14_e1beg[1] ;
wire \tile_x11y14_e1beg[2] ;
wire \tile_x11y14_e1beg[3] ;
wire \tile_x11y14_e2beg[0] ;
wire \tile_x11y14_e2beg[1] ;
wire \tile_x11y14_e2beg[2] ;
wire \tile_x11y14_e2beg[3] ;
wire \tile_x11y14_e2beg[4] ;
wire \tile_x11y14_e2beg[5] ;
wire \tile_x11y14_e2beg[6] ;
wire \tile_x11y14_e2beg[7] ;
wire \tile_x11y14_e2begb[0] ;
wire \tile_x11y14_e2begb[1] ;
wire \tile_x11y14_e2begb[2] ;
wire \tile_x11y14_e2begb[3] ;
wire \tile_x11y14_e2begb[4] ;
wire \tile_x11y14_e2begb[5] ;
wire \tile_x11y14_e2begb[6] ;
wire \tile_x11y14_e2begb[7] ;
wire \tile_x11y14_e6beg[0] ;
wire \tile_x11y14_e6beg[10] ;
wire \tile_x11y14_e6beg[11] ;
wire \tile_x11y14_e6beg[1] ;
wire \tile_x11y14_e6beg[2] ;
wire \tile_x11y14_e6beg[3] ;
wire \tile_x11y14_e6beg[4] ;
wire \tile_x11y14_e6beg[5] ;
wire \tile_x11y14_e6beg[6] ;
wire \tile_x11y14_e6beg[7] ;
wire \tile_x11y14_e6beg[8] ;
wire \tile_x11y14_e6beg[9] ;
wire \tile_x11y14_ee4beg[0] ;
wire \tile_x11y14_ee4beg[10] ;
wire \tile_x11y14_ee4beg[11] ;
wire \tile_x11y14_ee4beg[12] ;
wire \tile_x11y14_ee4beg[13] ;
wire \tile_x11y14_ee4beg[14] ;
wire \tile_x11y14_ee4beg[15] ;
wire \tile_x11y14_ee4beg[1] ;
wire \tile_x11y14_ee4beg[2] ;
wire \tile_x11y14_ee4beg[3] ;
wire \tile_x11y14_ee4beg[4] ;
wire \tile_x11y14_ee4beg[5] ;
wire \tile_x11y14_ee4beg[6] ;
wire \tile_x11y14_ee4beg[7] ;
wire \tile_x11y14_ee4beg[8] ;
wire \tile_x11y14_ee4beg[9] ;
wire \tile_x11y14_framedata_o[0] ;
wire \tile_x11y14_framedata_o[10] ;
wire \tile_x11y14_framedata_o[11] ;
wire \tile_x11y14_framedata_o[12] ;
wire \tile_x11y14_framedata_o[13] ;
wire \tile_x11y14_framedata_o[14] ;
wire \tile_x11y14_framedata_o[15] ;
wire \tile_x11y14_framedata_o[16] ;
wire \tile_x11y14_framedata_o[17] ;
wire \tile_x11y14_framedata_o[18] ;
wire \tile_x11y14_framedata_o[19] ;
wire \tile_x11y14_framedata_o[1] ;
wire \tile_x11y14_framedata_o[20] ;
wire \tile_x11y14_framedata_o[21] ;
wire \tile_x11y14_framedata_o[22] ;
wire \tile_x11y14_framedata_o[23] ;
wire \tile_x11y14_framedata_o[24] ;
wire \tile_x11y14_framedata_o[25] ;
wire \tile_x11y14_framedata_o[26] ;
wire \tile_x11y14_framedata_o[27] ;
wire \tile_x11y14_framedata_o[28] ;
wire \tile_x11y14_framedata_o[29] ;
wire \tile_x11y14_framedata_o[2] ;
wire \tile_x11y14_framedata_o[30] ;
wire \tile_x11y14_framedata_o[31] ;
wire \tile_x11y14_framedata_o[3] ;
wire \tile_x11y14_framedata_o[4] ;
wire \tile_x11y14_framedata_o[5] ;
wire \tile_x11y14_framedata_o[6] ;
wire \tile_x11y14_framedata_o[7] ;
wire \tile_x11y14_framedata_o[8] ;
wire \tile_x11y14_framedata_o[9] ;
wire \tile_x11y14_framestrobe_o[0] ;
wire \tile_x11y14_framestrobe_o[10] ;
wire \tile_x11y14_framestrobe_o[11] ;
wire \tile_x11y14_framestrobe_o[12] ;
wire \tile_x11y14_framestrobe_o[13] ;
wire \tile_x11y14_framestrobe_o[14] ;
wire \tile_x11y14_framestrobe_o[15] ;
wire \tile_x11y14_framestrobe_o[16] ;
wire \tile_x11y14_framestrobe_o[17] ;
wire \tile_x11y14_framestrobe_o[18] ;
wire \tile_x11y14_framestrobe_o[19] ;
wire \tile_x11y14_framestrobe_o[1] ;
wire \tile_x11y14_framestrobe_o[2] ;
wire \tile_x11y14_framestrobe_o[3] ;
wire \tile_x11y14_framestrobe_o[4] ;
wire \tile_x11y14_framestrobe_o[5] ;
wire \tile_x11y14_framestrobe_o[6] ;
wire \tile_x11y14_framestrobe_o[7] ;
wire \tile_x11y14_framestrobe_o[8] ;
wire \tile_x11y14_framestrobe_o[9] ;
wire \tile_x11y14_n1beg[0] ;
wire \tile_x11y14_n1beg[1] ;
wire \tile_x11y14_n1beg[2] ;
wire \tile_x11y14_n1beg[3] ;
wire \tile_x11y14_n2beg[0] ;
wire \tile_x11y14_n2beg[1] ;
wire \tile_x11y14_n2beg[2] ;
wire \tile_x11y14_n2beg[3] ;
wire \tile_x11y14_n2beg[4] ;
wire \tile_x11y14_n2beg[5] ;
wire \tile_x11y14_n2beg[6] ;
wire \tile_x11y14_n2beg[7] ;
wire \tile_x11y14_n2begb[0] ;
wire \tile_x11y14_n2begb[1] ;
wire \tile_x11y14_n2begb[2] ;
wire \tile_x11y14_n2begb[3] ;
wire \tile_x11y14_n2begb[4] ;
wire \tile_x11y14_n2begb[5] ;
wire \tile_x11y14_n2begb[6] ;
wire \tile_x11y14_n2begb[7] ;
wire \tile_x11y14_n4beg[0] ;
wire \tile_x11y14_n4beg[10] ;
wire \tile_x11y14_n4beg[11] ;
wire \tile_x11y14_n4beg[12] ;
wire \tile_x11y14_n4beg[13] ;
wire \tile_x11y14_n4beg[14] ;
wire \tile_x11y14_n4beg[15] ;
wire \tile_x11y14_n4beg[1] ;
wire \tile_x11y14_n4beg[2] ;
wire \tile_x11y14_n4beg[3] ;
wire \tile_x11y14_n4beg[4] ;
wire \tile_x11y14_n4beg[5] ;
wire \tile_x11y14_n4beg[6] ;
wire \tile_x11y14_n4beg[7] ;
wire \tile_x11y14_n4beg[8] ;
wire \tile_x11y14_n4beg[9] ;
wire \tile_x11y14_nn4beg[0] ;
wire \tile_x11y14_nn4beg[10] ;
wire \tile_x11y14_nn4beg[11] ;
wire \tile_x11y14_nn4beg[12] ;
wire \tile_x11y14_nn4beg[13] ;
wire \tile_x11y14_nn4beg[14] ;
wire \tile_x11y14_nn4beg[15] ;
wire \tile_x11y14_nn4beg[1] ;
wire \tile_x11y14_nn4beg[2] ;
wire \tile_x11y14_nn4beg[3] ;
wire \tile_x11y14_nn4beg[4] ;
wire \tile_x11y14_nn4beg[5] ;
wire \tile_x11y14_nn4beg[6] ;
wire \tile_x11y14_nn4beg[7] ;
wire \tile_x11y14_nn4beg[8] ;
wire \tile_x11y14_nn4beg[9] ;
wire \tile_x11y14_s1beg[0] ;
wire \tile_x11y14_s1beg[1] ;
wire \tile_x11y14_s1beg[2] ;
wire \tile_x11y14_s1beg[3] ;
wire \tile_x11y14_s2beg[0] ;
wire \tile_x11y14_s2beg[1] ;
wire \tile_x11y14_s2beg[2] ;
wire \tile_x11y14_s2beg[3] ;
wire \tile_x11y14_s2beg[4] ;
wire \tile_x11y14_s2beg[5] ;
wire \tile_x11y14_s2beg[6] ;
wire \tile_x11y14_s2beg[7] ;
wire \tile_x11y14_s2begb[0] ;
wire \tile_x11y14_s2begb[1] ;
wire \tile_x11y14_s2begb[2] ;
wire \tile_x11y14_s2begb[3] ;
wire \tile_x11y14_s2begb[4] ;
wire \tile_x11y14_s2begb[5] ;
wire \tile_x11y14_s2begb[6] ;
wire \tile_x11y14_s2begb[7] ;
wire \tile_x11y14_s4beg[0] ;
wire \tile_x11y14_s4beg[10] ;
wire \tile_x11y14_s4beg[11] ;
wire \tile_x11y14_s4beg[12] ;
wire \tile_x11y14_s4beg[13] ;
wire \tile_x11y14_s4beg[14] ;
wire \tile_x11y14_s4beg[15] ;
wire \tile_x11y14_s4beg[1] ;
wire \tile_x11y14_s4beg[2] ;
wire \tile_x11y14_s4beg[3] ;
wire \tile_x11y14_s4beg[4] ;
wire \tile_x11y14_s4beg[5] ;
wire \tile_x11y14_s4beg[6] ;
wire \tile_x11y14_s4beg[7] ;
wire \tile_x11y14_s4beg[8] ;
wire \tile_x11y14_s4beg[9] ;
wire \tile_x11y14_ss4beg[0] ;
wire \tile_x11y14_ss4beg[10] ;
wire \tile_x11y14_ss4beg[11] ;
wire \tile_x11y14_ss4beg[12] ;
wire \tile_x11y14_ss4beg[13] ;
wire \tile_x11y14_ss4beg[14] ;
wire \tile_x11y14_ss4beg[15] ;
wire \tile_x11y14_ss4beg[1] ;
wire \tile_x11y14_ss4beg[2] ;
wire \tile_x11y14_ss4beg[3] ;
wire \tile_x11y14_ss4beg[4] ;
wire \tile_x11y14_ss4beg[5] ;
wire \tile_x11y14_ss4beg[6] ;
wire \tile_x11y14_ss4beg[7] ;
wire \tile_x11y14_ss4beg[8] ;
wire \tile_x11y14_ss4beg[9] ;
wire tile_x11y14_userclko;
wire \tile_x11y14_w1beg[0] ;
wire \tile_x11y14_w1beg[1] ;
wire \tile_x11y14_w1beg[2] ;
wire \tile_x11y14_w1beg[3] ;
wire \tile_x11y14_w2beg[0] ;
wire \tile_x11y14_w2beg[1] ;
wire \tile_x11y14_w2beg[2] ;
wire \tile_x11y14_w2beg[3] ;
wire \tile_x11y14_w2beg[4] ;
wire \tile_x11y14_w2beg[5] ;
wire \tile_x11y14_w2beg[6] ;
wire \tile_x11y14_w2beg[7] ;
wire \tile_x11y14_w2begb[0] ;
wire \tile_x11y14_w2begb[1] ;
wire \tile_x11y14_w2begb[2] ;
wire \tile_x11y14_w2begb[3] ;
wire \tile_x11y14_w2begb[4] ;
wire \tile_x11y14_w2begb[5] ;
wire \tile_x11y14_w2begb[6] ;
wire \tile_x11y14_w2begb[7] ;
wire \tile_x11y14_w6beg[0] ;
wire \tile_x11y14_w6beg[10] ;
wire \tile_x11y14_w6beg[11] ;
wire \tile_x11y14_w6beg[1] ;
wire \tile_x11y14_w6beg[2] ;
wire \tile_x11y14_w6beg[3] ;
wire \tile_x11y14_w6beg[4] ;
wire \tile_x11y14_w6beg[5] ;
wire \tile_x11y14_w6beg[6] ;
wire \tile_x11y14_w6beg[7] ;
wire \tile_x11y14_w6beg[8] ;
wire \tile_x11y14_w6beg[9] ;
wire \tile_x11y14_ww4beg[0] ;
wire \tile_x11y14_ww4beg[10] ;
wire \tile_x11y14_ww4beg[11] ;
wire \tile_x11y14_ww4beg[12] ;
wire \tile_x11y14_ww4beg[13] ;
wire \tile_x11y14_ww4beg[14] ;
wire \tile_x11y14_ww4beg[15] ;
wire \tile_x11y14_ww4beg[1] ;
wire \tile_x11y14_ww4beg[2] ;
wire \tile_x11y14_ww4beg[3] ;
wire \tile_x11y14_ww4beg[4] ;
wire \tile_x11y14_ww4beg[5] ;
wire \tile_x11y14_ww4beg[6] ;
wire \tile_x11y14_ww4beg[7] ;
wire \tile_x11y14_ww4beg[8] ;
wire \tile_x11y14_ww4beg[9] ;
wire tile_x11y15_co;
wire \tile_x11y15_framestrobe_o[0] ;
wire \tile_x11y15_framestrobe_o[10] ;
wire \tile_x11y15_framestrobe_o[11] ;
wire \tile_x11y15_framestrobe_o[12] ;
wire \tile_x11y15_framestrobe_o[13] ;
wire \tile_x11y15_framestrobe_o[14] ;
wire \tile_x11y15_framestrobe_o[15] ;
wire \tile_x11y15_framestrobe_o[16] ;
wire \tile_x11y15_framestrobe_o[17] ;
wire \tile_x11y15_framestrobe_o[18] ;
wire \tile_x11y15_framestrobe_o[19] ;
wire \tile_x11y15_framestrobe_o[1] ;
wire \tile_x11y15_framestrobe_o[2] ;
wire \tile_x11y15_framestrobe_o[3] ;
wire \tile_x11y15_framestrobe_o[4] ;
wire \tile_x11y15_framestrobe_o[5] ;
wire \tile_x11y15_framestrobe_o[6] ;
wire \tile_x11y15_framestrobe_o[7] ;
wire \tile_x11y15_framestrobe_o[8] ;
wire \tile_x11y15_framestrobe_o[9] ;
wire \tile_x11y15_n1beg[0] ;
wire \tile_x11y15_n1beg[1] ;
wire \tile_x11y15_n1beg[2] ;
wire \tile_x11y15_n1beg[3] ;
wire \tile_x11y15_n2beg[0] ;
wire \tile_x11y15_n2beg[1] ;
wire \tile_x11y15_n2beg[2] ;
wire \tile_x11y15_n2beg[3] ;
wire \tile_x11y15_n2beg[4] ;
wire \tile_x11y15_n2beg[5] ;
wire \tile_x11y15_n2beg[6] ;
wire \tile_x11y15_n2beg[7] ;
wire \tile_x11y15_n2begb[0] ;
wire \tile_x11y15_n2begb[1] ;
wire \tile_x11y15_n2begb[2] ;
wire \tile_x11y15_n2begb[3] ;
wire \tile_x11y15_n2begb[4] ;
wire \tile_x11y15_n2begb[5] ;
wire \tile_x11y15_n2begb[6] ;
wire \tile_x11y15_n2begb[7] ;
wire \tile_x11y15_n4beg[0] ;
wire \tile_x11y15_n4beg[10] ;
wire \tile_x11y15_n4beg[11] ;
wire \tile_x11y15_n4beg[12] ;
wire \tile_x11y15_n4beg[13] ;
wire \tile_x11y15_n4beg[14] ;
wire \tile_x11y15_n4beg[15] ;
wire \tile_x11y15_n4beg[1] ;
wire \tile_x11y15_n4beg[2] ;
wire \tile_x11y15_n4beg[3] ;
wire \tile_x11y15_n4beg[4] ;
wire \tile_x11y15_n4beg[5] ;
wire \tile_x11y15_n4beg[6] ;
wire \tile_x11y15_n4beg[7] ;
wire \tile_x11y15_n4beg[8] ;
wire \tile_x11y15_n4beg[9] ;
wire \tile_x11y15_nn4beg[0] ;
wire \tile_x11y15_nn4beg[10] ;
wire \tile_x11y15_nn4beg[11] ;
wire \tile_x11y15_nn4beg[12] ;
wire \tile_x11y15_nn4beg[13] ;
wire \tile_x11y15_nn4beg[14] ;
wire \tile_x11y15_nn4beg[15] ;
wire \tile_x11y15_nn4beg[1] ;
wire \tile_x11y15_nn4beg[2] ;
wire \tile_x11y15_nn4beg[3] ;
wire \tile_x11y15_nn4beg[4] ;
wire \tile_x11y15_nn4beg[5] ;
wire \tile_x11y15_nn4beg[6] ;
wire \tile_x11y15_nn4beg[7] ;
wire \tile_x11y15_nn4beg[8] ;
wire \tile_x11y15_nn4beg[9] ;
wire tile_x11y15_userclko;
wire \tile_x11y1_framedata_o[0] ;
wire \tile_x11y1_framedata_o[10] ;
wire \tile_x11y1_framedata_o[11] ;
wire \tile_x11y1_framedata_o[12] ;
wire \tile_x11y1_framedata_o[13] ;
wire \tile_x11y1_framedata_o[14] ;
wire \tile_x11y1_framedata_o[15] ;
wire \tile_x11y1_framedata_o[16] ;
wire \tile_x11y1_framedata_o[17] ;
wire \tile_x11y1_framedata_o[18] ;
wire \tile_x11y1_framedata_o[19] ;
wire \tile_x11y1_framedata_o[1] ;
wire \tile_x11y1_framedata_o[20] ;
wire \tile_x11y1_framedata_o[21] ;
wire \tile_x11y1_framedata_o[22] ;
wire \tile_x11y1_framedata_o[23] ;
wire \tile_x11y1_framedata_o[24] ;
wire \tile_x11y1_framedata_o[25] ;
wire \tile_x11y1_framedata_o[26] ;
wire \tile_x11y1_framedata_o[27] ;
wire \tile_x11y1_framedata_o[28] ;
wire \tile_x11y1_framedata_o[29] ;
wire \tile_x11y1_framedata_o[2] ;
wire \tile_x11y1_framedata_o[30] ;
wire \tile_x11y1_framedata_o[31] ;
wire \tile_x11y1_framedata_o[3] ;
wire \tile_x11y1_framedata_o[4] ;
wire \tile_x11y1_framedata_o[5] ;
wire \tile_x11y1_framedata_o[6] ;
wire \tile_x11y1_framedata_o[7] ;
wire \tile_x11y1_framedata_o[8] ;
wire \tile_x11y1_framedata_o[9] ;
wire \tile_x11y1_framestrobe_o[0] ;
wire \tile_x11y1_framestrobe_o[10] ;
wire \tile_x11y1_framestrobe_o[11] ;
wire \tile_x11y1_framestrobe_o[12] ;
wire \tile_x11y1_framestrobe_o[13] ;
wire \tile_x11y1_framestrobe_o[14] ;
wire \tile_x11y1_framestrobe_o[15] ;
wire \tile_x11y1_framestrobe_o[16] ;
wire \tile_x11y1_framestrobe_o[17] ;
wire \tile_x11y1_framestrobe_o[18] ;
wire \tile_x11y1_framestrobe_o[19] ;
wire \tile_x11y1_framestrobe_o[1] ;
wire \tile_x11y1_framestrobe_o[2] ;
wire \tile_x11y1_framestrobe_o[3] ;
wire \tile_x11y1_framestrobe_o[4] ;
wire \tile_x11y1_framestrobe_o[5] ;
wire \tile_x11y1_framestrobe_o[6] ;
wire \tile_x11y1_framestrobe_o[7] ;
wire \tile_x11y1_framestrobe_o[8] ;
wire \tile_x11y1_framestrobe_o[9] ;
input tile_x11y1_opa_i0;
input tile_x11y1_opa_i1;
input tile_x11y1_opa_i2;
input tile_x11y1_opa_i3;
input tile_x11y1_opb_i0;
input tile_x11y1_opb_i1;
input tile_x11y1_opb_i2;
input tile_x11y1_opb_i3;
output tile_x11y1_res0_o0;
output tile_x11y1_res0_o1;
output tile_x11y1_res0_o2;
output tile_x11y1_res0_o3;
output tile_x11y1_res1_o0;
output tile_x11y1_res1_o1;
output tile_x11y1_res1_o2;
output tile_x11y1_res1_o3;
output tile_x11y1_res2_o0;
output tile_x11y1_res2_o1;
output tile_x11y1_res2_o2;
output tile_x11y1_res2_o3;
wire tile_x11y1_userclko;
wire \tile_x11y1_w1beg[0] ;
wire \tile_x11y1_w1beg[1] ;
wire \tile_x11y1_w1beg[2] ;
wire \tile_x11y1_w1beg[3] ;
wire \tile_x11y1_w2beg[0] ;
wire \tile_x11y1_w2beg[1] ;
wire \tile_x11y1_w2beg[2] ;
wire \tile_x11y1_w2beg[3] ;
wire \tile_x11y1_w2beg[4] ;
wire \tile_x11y1_w2beg[5] ;
wire \tile_x11y1_w2beg[6] ;
wire \tile_x11y1_w2beg[7] ;
wire \tile_x11y1_w2begb[0] ;
wire \tile_x11y1_w2begb[1] ;
wire \tile_x11y1_w2begb[2] ;
wire \tile_x11y1_w2begb[3] ;
wire \tile_x11y1_w2begb[4] ;
wire \tile_x11y1_w2begb[5] ;
wire \tile_x11y1_w2begb[6] ;
wire \tile_x11y1_w2begb[7] ;
wire \tile_x11y1_w6beg[0] ;
wire \tile_x11y1_w6beg[10] ;
wire \tile_x11y1_w6beg[11] ;
wire \tile_x11y1_w6beg[1] ;
wire \tile_x11y1_w6beg[2] ;
wire \tile_x11y1_w6beg[3] ;
wire \tile_x11y1_w6beg[4] ;
wire \tile_x11y1_w6beg[5] ;
wire \tile_x11y1_w6beg[6] ;
wire \tile_x11y1_w6beg[7] ;
wire \tile_x11y1_w6beg[8] ;
wire \tile_x11y1_w6beg[9] ;
wire \tile_x11y1_ww4beg[0] ;
wire \tile_x11y1_ww4beg[10] ;
wire \tile_x11y1_ww4beg[11] ;
wire \tile_x11y1_ww4beg[12] ;
wire \tile_x11y1_ww4beg[13] ;
wire \tile_x11y1_ww4beg[14] ;
wire \tile_x11y1_ww4beg[15] ;
wire \tile_x11y1_ww4beg[1] ;
wire \tile_x11y1_ww4beg[2] ;
wire \tile_x11y1_ww4beg[3] ;
wire \tile_x11y1_ww4beg[4] ;
wire \tile_x11y1_ww4beg[5] ;
wire \tile_x11y1_ww4beg[6] ;
wire \tile_x11y1_ww4beg[7] ;
wire \tile_x11y1_ww4beg[8] ;
wire \tile_x11y1_ww4beg[9] ;
wire \tile_x11y2_framedata_o[0] ;
wire \tile_x11y2_framedata_o[10] ;
wire \tile_x11y2_framedata_o[11] ;
wire \tile_x11y2_framedata_o[12] ;
wire \tile_x11y2_framedata_o[13] ;
wire \tile_x11y2_framedata_o[14] ;
wire \tile_x11y2_framedata_o[15] ;
wire \tile_x11y2_framedata_o[16] ;
wire \tile_x11y2_framedata_o[17] ;
wire \tile_x11y2_framedata_o[18] ;
wire \tile_x11y2_framedata_o[19] ;
wire \tile_x11y2_framedata_o[1] ;
wire \tile_x11y2_framedata_o[20] ;
wire \tile_x11y2_framedata_o[21] ;
wire \tile_x11y2_framedata_o[22] ;
wire \tile_x11y2_framedata_o[23] ;
wire \tile_x11y2_framedata_o[24] ;
wire \tile_x11y2_framedata_o[25] ;
wire \tile_x11y2_framedata_o[26] ;
wire \tile_x11y2_framedata_o[27] ;
wire \tile_x11y2_framedata_o[28] ;
wire \tile_x11y2_framedata_o[29] ;
wire \tile_x11y2_framedata_o[2] ;
wire \tile_x11y2_framedata_o[30] ;
wire \tile_x11y2_framedata_o[31] ;
wire \tile_x11y2_framedata_o[3] ;
wire \tile_x11y2_framedata_o[4] ;
wire \tile_x11y2_framedata_o[5] ;
wire \tile_x11y2_framedata_o[6] ;
wire \tile_x11y2_framedata_o[7] ;
wire \tile_x11y2_framedata_o[8] ;
wire \tile_x11y2_framedata_o[9] ;
wire \tile_x11y2_framestrobe_o[0] ;
wire \tile_x11y2_framestrobe_o[10] ;
wire \tile_x11y2_framestrobe_o[11] ;
wire \tile_x11y2_framestrobe_o[12] ;
wire \tile_x11y2_framestrobe_o[13] ;
wire \tile_x11y2_framestrobe_o[14] ;
wire \tile_x11y2_framestrobe_o[15] ;
wire \tile_x11y2_framestrobe_o[16] ;
wire \tile_x11y2_framestrobe_o[17] ;
wire \tile_x11y2_framestrobe_o[18] ;
wire \tile_x11y2_framestrobe_o[19] ;
wire \tile_x11y2_framestrobe_o[1] ;
wire \tile_x11y2_framestrobe_o[2] ;
wire \tile_x11y2_framestrobe_o[3] ;
wire \tile_x11y2_framestrobe_o[4] ;
wire \tile_x11y2_framestrobe_o[5] ;
wire \tile_x11y2_framestrobe_o[6] ;
wire \tile_x11y2_framestrobe_o[7] ;
wire \tile_x11y2_framestrobe_o[8] ;
wire \tile_x11y2_framestrobe_o[9] ;
input tile_x11y2_opa_i0;
input tile_x11y2_opa_i1;
input tile_x11y2_opa_i2;
input tile_x11y2_opa_i3;
input tile_x11y2_opb_i0;
input tile_x11y2_opb_i1;
input tile_x11y2_opb_i2;
input tile_x11y2_opb_i3;
output tile_x11y2_res0_o0;
output tile_x11y2_res0_o1;
output tile_x11y2_res0_o2;
output tile_x11y2_res0_o3;
output tile_x11y2_res1_o0;
output tile_x11y2_res1_o1;
output tile_x11y2_res1_o2;
output tile_x11y2_res1_o3;
output tile_x11y2_res2_o0;
output tile_x11y2_res2_o1;
output tile_x11y2_res2_o2;
output tile_x11y2_res2_o3;
wire tile_x11y2_userclko;
wire \tile_x11y2_w1beg[0] ;
wire \tile_x11y2_w1beg[1] ;
wire \tile_x11y2_w1beg[2] ;
wire \tile_x11y2_w1beg[3] ;
wire \tile_x11y2_w2beg[0] ;
wire \tile_x11y2_w2beg[1] ;
wire \tile_x11y2_w2beg[2] ;
wire \tile_x11y2_w2beg[3] ;
wire \tile_x11y2_w2beg[4] ;
wire \tile_x11y2_w2beg[5] ;
wire \tile_x11y2_w2beg[6] ;
wire \tile_x11y2_w2beg[7] ;
wire \tile_x11y2_w2begb[0] ;
wire \tile_x11y2_w2begb[1] ;
wire \tile_x11y2_w2begb[2] ;
wire \tile_x11y2_w2begb[3] ;
wire \tile_x11y2_w2begb[4] ;
wire \tile_x11y2_w2begb[5] ;
wire \tile_x11y2_w2begb[6] ;
wire \tile_x11y2_w2begb[7] ;
wire \tile_x11y2_w6beg[0] ;
wire \tile_x11y2_w6beg[10] ;
wire \tile_x11y2_w6beg[11] ;
wire \tile_x11y2_w6beg[1] ;
wire \tile_x11y2_w6beg[2] ;
wire \tile_x11y2_w6beg[3] ;
wire \tile_x11y2_w6beg[4] ;
wire \tile_x11y2_w6beg[5] ;
wire \tile_x11y2_w6beg[6] ;
wire \tile_x11y2_w6beg[7] ;
wire \tile_x11y2_w6beg[8] ;
wire \tile_x11y2_w6beg[9] ;
wire \tile_x11y2_ww4beg[0] ;
wire \tile_x11y2_ww4beg[10] ;
wire \tile_x11y2_ww4beg[11] ;
wire \tile_x11y2_ww4beg[12] ;
wire \tile_x11y2_ww4beg[13] ;
wire \tile_x11y2_ww4beg[14] ;
wire \tile_x11y2_ww4beg[15] ;
wire \tile_x11y2_ww4beg[1] ;
wire \tile_x11y2_ww4beg[2] ;
wire \tile_x11y2_ww4beg[3] ;
wire \tile_x11y2_ww4beg[4] ;
wire \tile_x11y2_ww4beg[5] ;
wire \tile_x11y2_ww4beg[6] ;
wire \tile_x11y2_ww4beg[7] ;
wire \tile_x11y2_ww4beg[8] ;
wire \tile_x11y2_ww4beg[9] ;
wire \tile_x11y3_framedata_o[0] ;
wire \tile_x11y3_framedata_o[10] ;
wire \tile_x11y3_framedata_o[11] ;
wire \tile_x11y3_framedata_o[12] ;
wire \tile_x11y3_framedata_o[13] ;
wire \tile_x11y3_framedata_o[14] ;
wire \tile_x11y3_framedata_o[15] ;
wire \tile_x11y3_framedata_o[16] ;
wire \tile_x11y3_framedata_o[17] ;
wire \tile_x11y3_framedata_o[18] ;
wire \tile_x11y3_framedata_o[19] ;
wire \tile_x11y3_framedata_o[1] ;
wire \tile_x11y3_framedata_o[20] ;
wire \tile_x11y3_framedata_o[21] ;
wire \tile_x11y3_framedata_o[22] ;
wire \tile_x11y3_framedata_o[23] ;
wire \tile_x11y3_framedata_o[24] ;
wire \tile_x11y3_framedata_o[25] ;
wire \tile_x11y3_framedata_o[26] ;
wire \tile_x11y3_framedata_o[27] ;
wire \tile_x11y3_framedata_o[28] ;
wire \tile_x11y3_framedata_o[29] ;
wire \tile_x11y3_framedata_o[2] ;
wire \tile_x11y3_framedata_o[30] ;
wire \tile_x11y3_framedata_o[31] ;
wire \tile_x11y3_framedata_o[3] ;
wire \tile_x11y3_framedata_o[4] ;
wire \tile_x11y3_framedata_o[5] ;
wire \tile_x11y3_framedata_o[6] ;
wire \tile_x11y3_framedata_o[7] ;
wire \tile_x11y3_framedata_o[8] ;
wire \tile_x11y3_framedata_o[9] ;
wire \tile_x11y3_framestrobe_o[0] ;
wire \tile_x11y3_framestrobe_o[10] ;
wire \tile_x11y3_framestrobe_o[11] ;
wire \tile_x11y3_framestrobe_o[12] ;
wire \tile_x11y3_framestrobe_o[13] ;
wire \tile_x11y3_framestrobe_o[14] ;
wire \tile_x11y3_framestrobe_o[15] ;
wire \tile_x11y3_framestrobe_o[16] ;
wire \tile_x11y3_framestrobe_o[17] ;
wire \tile_x11y3_framestrobe_o[18] ;
wire \tile_x11y3_framestrobe_o[19] ;
wire \tile_x11y3_framestrobe_o[1] ;
wire \tile_x11y3_framestrobe_o[2] ;
wire \tile_x11y3_framestrobe_o[3] ;
wire \tile_x11y3_framestrobe_o[4] ;
wire \tile_x11y3_framestrobe_o[5] ;
wire \tile_x11y3_framestrobe_o[6] ;
wire \tile_x11y3_framestrobe_o[7] ;
wire \tile_x11y3_framestrobe_o[8] ;
wire \tile_x11y3_framestrobe_o[9] ;
input tile_x11y3_opa_i0;
input tile_x11y3_opa_i1;
input tile_x11y3_opa_i2;
input tile_x11y3_opa_i3;
input tile_x11y3_opb_i0;
input tile_x11y3_opb_i1;
input tile_x11y3_opb_i2;
input tile_x11y3_opb_i3;
output tile_x11y3_res0_o0;
output tile_x11y3_res0_o1;
output tile_x11y3_res0_o2;
output tile_x11y3_res0_o3;
output tile_x11y3_res1_o0;
output tile_x11y3_res1_o1;
output tile_x11y3_res1_o2;
output tile_x11y3_res1_o3;
output tile_x11y3_res2_o0;
output tile_x11y3_res2_o1;
output tile_x11y3_res2_o2;
output tile_x11y3_res2_o3;
wire tile_x11y3_userclko;
wire \tile_x11y3_w1beg[0] ;
wire \tile_x11y3_w1beg[1] ;
wire \tile_x11y3_w1beg[2] ;
wire \tile_x11y3_w1beg[3] ;
wire \tile_x11y3_w2beg[0] ;
wire \tile_x11y3_w2beg[1] ;
wire \tile_x11y3_w2beg[2] ;
wire \tile_x11y3_w2beg[3] ;
wire \tile_x11y3_w2beg[4] ;
wire \tile_x11y3_w2beg[5] ;
wire \tile_x11y3_w2beg[6] ;
wire \tile_x11y3_w2beg[7] ;
wire \tile_x11y3_w2begb[0] ;
wire \tile_x11y3_w2begb[1] ;
wire \tile_x11y3_w2begb[2] ;
wire \tile_x11y3_w2begb[3] ;
wire \tile_x11y3_w2begb[4] ;
wire \tile_x11y3_w2begb[5] ;
wire \tile_x11y3_w2begb[6] ;
wire \tile_x11y3_w2begb[7] ;
wire \tile_x11y3_w6beg[0] ;
wire \tile_x11y3_w6beg[10] ;
wire \tile_x11y3_w6beg[11] ;
wire \tile_x11y3_w6beg[1] ;
wire \tile_x11y3_w6beg[2] ;
wire \tile_x11y3_w6beg[3] ;
wire \tile_x11y3_w6beg[4] ;
wire \tile_x11y3_w6beg[5] ;
wire \tile_x11y3_w6beg[6] ;
wire \tile_x11y3_w6beg[7] ;
wire \tile_x11y3_w6beg[8] ;
wire \tile_x11y3_w6beg[9] ;
wire \tile_x11y3_ww4beg[0] ;
wire \tile_x11y3_ww4beg[10] ;
wire \tile_x11y3_ww4beg[11] ;
wire \tile_x11y3_ww4beg[12] ;
wire \tile_x11y3_ww4beg[13] ;
wire \tile_x11y3_ww4beg[14] ;
wire \tile_x11y3_ww4beg[15] ;
wire \tile_x11y3_ww4beg[1] ;
wire \tile_x11y3_ww4beg[2] ;
wire \tile_x11y3_ww4beg[3] ;
wire \tile_x11y3_ww4beg[4] ;
wire \tile_x11y3_ww4beg[5] ;
wire \tile_x11y3_ww4beg[6] ;
wire \tile_x11y3_ww4beg[7] ;
wire \tile_x11y3_ww4beg[8] ;
wire \tile_x11y3_ww4beg[9] ;
wire \tile_x11y4_framedata_o[0] ;
wire \tile_x11y4_framedata_o[10] ;
wire \tile_x11y4_framedata_o[11] ;
wire \tile_x11y4_framedata_o[12] ;
wire \tile_x11y4_framedata_o[13] ;
wire \tile_x11y4_framedata_o[14] ;
wire \tile_x11y4_framedata_o[15] ;
wire \tile_x11y4_framedata_o[16] ;
wire \tile_x11y4_framedata_o[17] ;
wire \tile_x11y4_framedata_o[18] ;
wire \tile_x11y4_framedata_o[19] ;
wire \tile_x11y4_framedata_o[1] ;
wire \tile_x11y4_framedata_o[20] ;
wire \tile_x11y4_framedata_o[21] ;
wire \tile_x11y4_framedata_o[22] ;
wire \tile_x11y4_framedata_o[23] ;
wire \tile_x11y4_framedata_o[24] ;
wire \tile_x11y4_framedata_o[25] ;
wire \tile_x11y4_framedata_o[26] ;
wire \tile_x11y4_framedata_o[27] ;
wire \tile_x11y4_framedata_o[28] ;
wire \tile_x11y4_framedata_o[29] ;
wire \tile_x11y4_framedata_o[2] ;
wire \tile_x11y4_framedata_o[30] ;
wire \tile_x11y4_framedata_o[31] ;
wire \tile_x11y4_framedata_o[3] ;
wire \tile_x11y4_framedata_o[4] ;
wire \tile_x11y4_framedata_o[5] ;
wire \tile_x11y4_framedata_o[6] ;
wire \tile_x11y4_framedata_o[7] ;
wire \tile_x11y4_framedata_o[8] ;
wire \tile_x11y4_framedata_o[9] ;
wire \tile_x11y4_framestrobe_o[0] ;
wire \tile_x11y4_framestrobe_o[10] ;
wire \tile_x11y4_framestrobe_o[11] ;
wire \tile_x11y4_framestrobe_o[12] ;
wire \tile_x11y4_framestrobe_o[13] ;
wire \tile_x11y4_framestrobe_o[14] ;
wire \tile_x11y4_framestrobe_o[15] ;
wire \tile_x11y4_framestrobe_o[16] ;
wire \tile_x11y4_framestrobe_o[17] ;
wire \tile_x11y4_framestrobe_o[18] ;
wire \tile_x11y4_framestrobe_o[19] ;
wire \tile_x11y4_framestrobe_o[1] ;
wire \tile_x11y4_framestrobe_o[2] ;
wire \tile_x11y4_framestrobe_o[3] ;
wire \tile_x11y4_framestrobe_o[4] ;
wire \tile_x11y4_framestrobe_o[5] ;
wire \tile_x11y4_framestrobe_o[6] ;
wire \tile_x11y4_framestrobe_o[7] ;
wire \tile_x11y4_framestrobe_o[8] ;
wire \tile_x11y4_framestrobe_o[9] ;
input tile_x11y4_opa_i0;
input tile_x11y4_opa_i1;
input tile_x11y4_opa_i2;
input tile_x11y4_opa_i3;
input tile_x11y4_opb_i0;
input tile_x11y4_opb_i1;
input tile_x11y4_opb_i2;
input tile_x11y4_opb_i3;
output tile_x11y4_res0_o0;
output tile_x11y4_res0_o1;
output tile_x11y4_res0_o2;
output tile_x11y4_res0_o3;
output tile_x11y4_res1_o0;
output tile_x11y4_res1_o1;
output tile_x11y4_res1_o2;
output tile_x11y4_res1_o3;
output tile_x11y4_res2_o0;
output tile_x11y4_res2_o1;
output tile_x11y4_res2_o2;
output tile_x11y4_res2_o3;
wire tile_x11y4_userclko;
wire \tile_x11y4_w1beg[0] ;
wire \tile_x11y4_w1beg[1] ;
wire \tile_x11y4_w1beg[2] ;
wire \tile_x11y4_w1beg[3] ;
wire \tile_x11y4_w2beg[0] ;
wire \tile_x11y4_w2beg[1] ;
wire \tile_x11y4_w2beg[2] ;
wire \tile_x11y4_w2beg[3] ;
wire \tile_x11y4_w2beg[4] ;
wire \tile_x11y4_w2beg[5] ;
wire \tile_x11y4_w2beg[6] ;
wire \tile_x11y4_w2beg[7] ;
wire \tile_x11y4_w2begb[0] ;
wire \tile_x11y4_w2begb[1] ;
wire \tile_x11y4_w2begb[2] ;
wire \tile_x11y4_w2begb[3] ;
wire \tile_x11y4_w2begb[4] ;
wire \tile_x11y4_w2begb[5] ;
wire \tile_x11y4_w2begb[6] ;
wire \tile_x11y4_w2begb[7] ;
wire \tile_x11y4_w6beg[0] ;
wire \tile_x11y4_w6beg[10] ;
wire \tile_x11y4_w6beg[11] ;
wire \tile_x11y4_w6beg[1] ;
wire \tile_x11y4_w6beg[2] ;
wire \tile_x11y4_w6beg[3] ;
wire \tile_x11y4_w6beg[4] ;
wire \tile_x11y4_w6beg[5] ;
wire \tile_x11y4_w6beg[6] ;
wire \tile_x11y4_w6beg[7] ;
wire \tile_x11y4_w6beg[8] ;
wire \tile_x11y4_w6beg[9] ;
wire \tile_x11y4_ww4beg[0] ;
wire \tile_x11y4_ww4beg[10] ;
wire \tile_x11y4_ww4beg[11] ;
wire \tile_x11y4_ww4beg[12] ;
wire \tile_x11y4_ww4beg[13] ;
wire \tile_x11y4_ww4beg[14] ;
wire \tile_x11y4_ww4beg[15] ;
wire \tile_x11y4_ww4beg[1] ;
wire \tile_x11y4_ww4beg[2] ;
wire \tile_x11y4_ww4beg[3] ;
wire \tile_x11y4_ww4beg[4] ;
wire \tile_x11y4_ww4beg[5] ;
wire \tile_x11y4_ww4beg[6] ;
wire \tile_x11y4_ww4beg[7] ;
wire \tile_x11y4_ww4beg[8] ;
wire \tile_x11y4_ww4beg[9] ;
wire \tile_x11y5_framedata_o[0] ;
wire \tile_x11y5_framedata_o[10] ;
wire \tile_x11y5_framedata_o[11] ;
wire \tile_x11y5_framedata_o[12] ;
wire \tile_x11y5_framedata_o[13] ;
wire \tile_x11y5_framedata_o[14] ;
wire \tile_x11y5_framedata_o[15] ;
wire \tile_x11y5_framedata_o[16] ;
wire \tile_x11y5_framedata_o[17] ;
wire \tile_x11y5_framedata_o[18] ;
wire \tile_x11y5_framedata_o[19] ;
wire \tile_x11y5_framedata_o[1] ;
wire \tile_x11y5_framedata_o[20] ;
wire \tile_x11y5_framedata_o[21] ;
wire \tile_x11y5_framedata_o[22] ;
wire \tile_x11y5_framedata_o[23] ;
wire \tile_x11y5_framedata_o[24] ;
wire \tile_x11y5_framedata_o[25] ;
wire \tile_x11y5_framedata_o[26] ;
wire \tile_x11y5_framedata_o[27] ;
wire \tile_x11y5_framedata_o[28] ;
wire \tile_x11y5_framedata_o[29] ;
wire \tile_x11y5_framedata_o[2] ;
wire \tile_x11y5_framedata_o[30] ;
wire \tile_x11y5_framedata_o[31] ;
wire \tile_x11y5_framedata_o[3] ;
wire \tile_x11y5_framedata_o[4] ;
wire \tile_x11y5_framedata_o[5] ;
wire \tile_x11y5_framedata_o[6] ;
wire \tile_x11y5_framedata_o[7] ;
wire \tile_x11y5_framedata_o[8] ;
wire \tile_x11y5_framedata_o[9] ;
wire \tile_x11y5_framestrobe_o[0] ;
wire \tile_x11y5_framestrobe_o[10] ;
wire \tile_x11y5_framestrobe_o[11] ;
wire \tile_x11y5_framestrobe_o[12] ;
wire \tile_x11y5_framestrobe_o[13] ;
wire \tile_x11y5_framestrobe_o[14] ;
wire \tile_x11y5_framestrobe_o[15] ;
wire \tile_x11y5_framestrobe_o[16] ;
wire \tile_x11y5_framestrobe_o[17] ;
wire \tile_x11y5_framestrobe_o[18] ;
wire \tile_x11y5_framestrobe_o[19] ;
wire \tile_x11y5_framestrobe_o[1] ;
wire \tile_x11y5_framestrobe_o[2] ;
wire \tile_x11y5_framestrobe_o[3] ;
wire \tile_x11y5_framestrobe_o[4] ;
wire \tile_x11y5_framestrobe_o[5] ;
wire \tile_x11y5_framestrobe_o[6] ;
wire \tile_x11y5_framestrobe_o[7] ;
wire \tile_x11y5_framestrobe_o[8] ;
wire \tile_x11y5_framestrobe_o[9] ;
input tile_x11y5_opa_i0;
input tile_x11y5_opa_i1;
input tile_x11y5_opa_i2;
input tile_x11y5_opa_i3;
input tile_x11y5_opb_i0;
input tile_x11y5_opb_i1;
input tile_x11y5_opb_i2;
input tile_x11y5_opb_i3;
output tile_x11y5_res0_o0;
output tile_x11y5_res0_o1;
output tile_x11y5_res0_o2;
output tile_x11y5_res0_o3;
output tile_x11y5_res1_o0;
output tile_x11y5_res1_o1;
output tile_x11y5_res1_o2;
output tile_x11y5_res1_o3;
output tile_x11y5_res2_o0;
output tile_x11y5_res2_o1;
output tile_x11y5_res2_o2;
output tile_x11y5_res2_o3;
wire tile_x11y5_userclko;
wire \tile_x11y5_w1beg[0] ;
wire \tile_x11y5_w1beg[1] ;
wire \tile_x11y5_w1beg[2] ;
wire \tile_x11y5_w1beg[3] ;
wire \tile_x11y5_w2beg[0] ;
wire \tile_x11y5_w2beg[1] ;
wire \tile_x11y5_w2beg[2] ;
wire \tile_x11y5_w2beg[3] ;
wire \tile_x11y5_w2beg[4] ;
wire \tile_x11y5_w2beg[5] ;
wire \tile_x11y5_w2beg[6] ;
wire \tile_x11y5_w2beg[7] ;
wire \tile_x11y5_w2begb[0] ;
wire \tile_x11y5_w2begb[1] ;
wire \tile_x11y5_w2begb[2] ;
wire \tile_x11y5_w2begb[3] ;
wire \tile_x11y5_w2begb[4] ;
wire \tile_x11y5_w2begb[5] ;
wire \tile_x11y5_w2begb[6] ;
wire \tile_x11y5_w2begb[7] ;
wire \tile_x11y5_w6beg[0] ;
wire \tile_x11y5_w6beg[10] ;
wire \tile_x11y5_w6beg[11] ;
wire \tile_x11y5_w6beg[1] ;
wire \tile_x11y5_w6beg[2] ;
wire \tile_x11y5_w6beg[3] ;
wire \tile_x11y5_w6beg[4] ;
wire \tile_x11y5_w6beg[5] ;
wire \tile_x11y5_w6beg[6] ;
wire \tile_x11y5_w6beg[7] ;
wire \tile_x11y5_w6beg[8] ;
wire \tile_x11y5_w6beg[9] ;
wire \tile_x11y5_ww4beg[0] ;
wire \tile_x11y5_ww4beg[10] ;
wire \tile_x11y5_ww4beg[11] ;
wire \tile_x11y5_ww4beg[12] ;
wire \tile_x11y5_ww4beg[13] ;
wire \tile_x11y5_ww4beg[14] ;
wire \tile_x11y5_ww4beg[15] ;
wire \tile_x11y5_ww4beg[1] ;
wire \tile_x11y5_ww4beg[2] ;
wire \tile_x11y5_ww4beg[3] ;
wire \tile_x11y5_ww4beg[4] ;
wire \tile_x11y5_ww4beg[5] ;
wire \tile_x11y5_ww4beg[6] ;
wire \tile_x11y5_ww4beg[7] ;
wire \tile_x11y5_ww4beg[8] ;
wire \tile_x11y5_ww4beg[9] ;
wire \tile_x11y6_framedata_o[0] ;
wire \tile_x11y6_framedata_o[10] ;
wire \tile_x11y6_framedata_o[11] ;
wire \tile_x11y6_framedata_o[12] ;
wire \tile_x11y6_framedata_o[13] ;
wire \tile_x11y6_framedata_o[14] ;
wire \tile_x11y6_framedata_o[15] ;
wire \tile_x11y6_framedata_o[16] ;
wire \tile_x11y6_framedata_o[17] ;
wire \tile_x11y6_framedata_o[18] ;
wire \tile_x11y6_framedata_o[19] ;
wire \tile_x11y6_framedata_o[1] ;
wire \tile_x11y6_framedata_o[20] ;
wire \tile_x11y6_framedata_o[21] ;
wire \tile_x11y6_framedata_o[22] ;
wire \tile_x11y6_framedata_o[23] ;
wire \tile_x11y6_framedata_o[24] ;
wire \tile_x11y6_framedata_o[25] ;
wire \tile_x11y6_framedata_o[26] ;
wire \tile_x11y6_framedata_o[27] ;
wire \tile_x11y6_framedata_o[28] ;
wire \tile_x11y6_framedata_o[29] ;
wire \tile_x11y6_framedata_o[2] ;
wire \tile_x11y6_framedata_o[30] ;
wire \tile_x11y6_framedata_o[31] ;
wire \tile_x11y6_framedata_o[3] ;
wire \tile_x11y6_framedata_o[4] ;
wire \tile_x11y6_framedata_o[5] ;
wire \tile_x11y6_framedata_o[6] ;
wire \tile_x11y6_framedata_o[7] ;
wire \tile_x11y6_framedata_o[8] ;
wire \tile_x11y6_framedata_o[9] ;
wire \tile_x11y6_framestrobe_o[0] ;
wire \tile_x11y6_framestrobe_o[10] ;
wire \tile_x11y6_framestrobe_o[11] ;
wire \tile_x11y6_framestrobe_o[12] ;
wire \tile_x11y6_framestrobe_o[13] ;
wire \tile_x11y6_framestrobe_o[14] ;
wire \tile_x11y6_framestrobe_o[15] ;
wire \tile_x11y6_framestrobe_o[16] ;
wire \tile_x11y6_framestrobe_o[17] ;
wire \tile_x11y6_framestrobe_o[18] ;
wire \tile_x11y6_framestrobe_o[19] ;
wire \tile_x11y6_framestrobe_o[1] ;
wire \tile_x11y6_framestrobe_o[2] ;
wire \tile_x11y6_framestrobe_o[3] ;
wire \tile_x11y6_framestrobe_o[4] ;
wire \tile_x11y6_framestrobe_o[5] ;
wire \tile_x11y6_framestrobe_o[6] ;
wire \tile_x11y6_framestrobe_o[7] ;
wire \tile_x11y6_framestrobe_o[8] ;
wire \tile_x11y6_framestrobe_o[9] ;
input tile_x11y6_opa_i0;
input tile_x11y6_opa_i1;
input tile_x11y6_opa_i2;
input tile_x11y6_opa_i3;
input tile_x11y6_opb_i0;
input tile_x11y6_opb_i1;
input tile_x11y6_opb_i2;
input tile_x11y6_opb_i3;
output tile_x11y6_res0_o0;
output tile_x11y6_res0_o1;
output tile_x11y6_res0_o2;
output tile_x11y6_res0_o3;
output tile_x11y6_res1_o0;
output tile_x11y6_res1_o1;
output tile_x11y6_res1_o2;
output tile_x11y6_res1_o3;
output tile_x11y6_res2_o0;
output tile_x11y6_res2_o1;
output tile_x11y6_res2_o2;
output tile_x11y6_res2_o3;
wire tile_x11y6_userclko;
wire \tile_x11y6_w1beg[0] ;
wire \tile_x11y6_w1beg[1] ;
wire \tile_x11y6_w1beg[2] ;
wire \tile_x11y6_w1beg[3] ;
wire \tile_x11y6_w2beg[0] ;
wire \tile_x11y6_w2beg[1] ;
wire \tile_x11y6_w2beg[2] ;
wire \tile_x11y6_w2beg[3] ;
wire \tile_x11y6_w2beg[4] ;
wire \tile_x11y6_w2beg[5] ;
wire \tile_x11y6_w2beg[6] ;
wire \tile_x11y6_w2beg[7] ;
wire \tile_x11y6_w2begb[0] ;
wire \tile_x11y6_w2begb[1] ;
wire \tile_x11y6_w2begb[2] ;
wire \tile_x11y6_w2begb[3] ;
wire \tile_x11y6_w2begb[4] ;
wire \tile_x11y6_w2begb[5] ;
wire \tile_x11y6_w2begb[6] ;
wire \tile_x11y6_w2begb[7] ;
wire \tile_x11y6_w6beg[0] ;
wire \tile_x11y6_w6beg[10] ;
wire \tile_x11y6_w6beg[11] ;
wire \tile_x11y6_w6beg[1] ;
wire \tile_x11y6_w6beg[2] ;
wire \tile_x11y6_w6beg[3] ;
wire \tile_x11y6_w6beg[4] ;
wire \tile_x11y6_w6beg[5] ;
wire \tile_x11y6_w6beg[6] ;
wire \tile_x11y6_w6beg[7] ;
wire \tile_x11y6_w6beg[8] ;
wire \tile_x11y6_w6beg[9] ;
wire \tile_x11y6_ww4beg[0] ;
wire \tile_x11y6_ww4beg[10] ;
wire \tile_x11y6_ww4beg[11] ;
wire \tile_x11y6_ww4beg[12] ;
wire \tile_x11y6_ww4beg[13] ;
wire \tile_x11y6_ww4beg[14] ;
wire \tile_x11y6_ww4beg[15] ;
wire \tile_x11y6_ww4beg[1] ;
wire \tile_x11y6_ww4beg[2] ;
wire \tile_x11y6_ww4beg[3] ;
wire \tile_x11y6_ww4beg[4] ;
wire \tile_x11y6_ww4beg[5] ;
wire \tile_x11y6_ww4beg[6] ;
wire \tile_x11y6_ww4beg[7] ;
wire \tile_x11y6_ww4beg[8] ;
wire \tile_x11y6_ww4beg[9] ;
wire \tile_x11y7_framedata_o[0] ;
wire \tile_x11y7_framedata_o[10] ;
wire \tile_x11y7_framedata_o[11] ;
wire \tile_x11y7_framedata_o[12] ;
wire \tile_x11y7_framedata_o[13] ;
wire \tile_x11y7_framedata_o[14] ;
wire \tile_x11y7_framedata_o[15] ;
wire \tile_x11y7_framedata_o[16] ;
wire \tile_x11y7_framedata_o[17] ;
wire \tile_x11y7_framedata_o[18] ;
wire \tile_x11y7_framedata_o[19] ;
wire \tile_x11y7_framedata_o[1] ;
wire \tile_x11y7_framedata_o[20] ;
wire \tile_x11y7_framedata_o[21] ;
wire \tile_x11y7_framedata_o[22] ;
wire \tile_x11y7_framedata_o[23] ;
wire \tile_x11y7_framedata_o[24] ;
wire \tile_x11y7_framedata_o[25] ;
wire \tile_x11y7_framedata_o[26] ;
wire \tile_x11y7_framedata_o[27] ;
wire \tile_x11y7_framedata_o[28] ;
wire \tile_x11y7_framedata_o[29] ;
wire \tile_x11y7_framedata_o[2] ;
wire \tile_x11y7_framedata_o[30] ;
wire \tile_x11y7_framedata_o[31] ;
wire \tile_x11y7_framedata_o[3] ;
wire \tile_x11y7_framedata_o[4] ;
wire \tile_x11y7_framedata_o[5] ;
wire \tile_x11y7_framedata_o[6] ;
wire \tile_x11y7_framedata_o[7] ;
wire \tile_x11y7_framedata_o[8] ;
wire \tile_x11y7_framedata_o[9] ;
wire \tile_x11y7_framestrobe_o[0] ;
wire \tile_x11y7_framestrobe_o[10] ;
wire \tile_x11y7_framestrobe_o[11] ;
wire \tile_x11y7_framestrobe_o[12] ;
wire \tile_x11y7_framestrobe_o[13] ;
wire \tile_x11y7_framestrobe_o[14] ;
wire \tile_x11y7_framestrobe_o[15] ;
wire \tile_x11y7_framestrobe_o[16] ;
wire \tile_x11y7_framestrobe_o[17] ;
wire \tile_x11y7_framestrobe_o[18] ;
wire \tile_x11y7_framestrobe_o[19] ;
wire \tile_x11y7_framestrobe_o[1] ;
wire \tile_x11y7_framestrobe_o[2] ;
wire \tile_x11y7_framestrobe_o[3] ;
wire \tile_x11y7_framestrobe_o[4] ;
wire \tile_x11y7_framestrobe_o[5] ;
wire \tile_x11y7_framestrobe_o[6] ;
wire \tile_x11y7_framestrobe_o[7] ;
wire \tile_x11y7_framestrobe_o[8] ;
wire \tile_x11y7_framestrobe_o[9] ;
input tile_x11y7_opa_i0;
input tile_x11y7_opa_i1;
input tile_x11y7_opa_i2;
input tile_x11y7_opa_i3;
input tile_x11y7_opb_i0;
input tile_x11y7_opb_i1;
input tile_x11y7_opb_i2;
input tile_x11y7_opb_i3;
output tile_x11y7_res0_o0;
output tile_x11y7_res0_o1;
output tile_x11y7_res0_o2;
output tile_x11y7_res0_o3;
output tile_x11y7_res1_o0;
output tile_x11y7_res1_o1;
output tile_x11y7_res1_o2;
output tile_x11y7_res1_o3;
output tile_x11y7_res2_o0;
output tile_x11y7_res2_o1;
output tile_x11y7_res2_o2;
output tile_x11y7_res2_o3;
wire tile_x11y7_userclko;
wire \tile_x11y7_w1beg[0] ;
wire \tile_x11y7_w1beg[1] ;
wire \tile_x11y7_w1beg[2] ;
wire \tile_x11y7_w1beg[3] ;
wire \tile_x11y7_w2beg[0] ;
wire \tile_x11y7_w2beg[1] ;
wire \tile_x11y7_w2beg[2] ;
wire \tile_x11y7_w2beg[3] ;
wire \tile_x11y7_w2beg[4] ;
wire \tile_x11y7_w2beg[5] ;
wire \tile_x11y7_w2beg[6] ;
wire \tile_x11y7_w2beg[7] ;
wire \tile_x11y7_w2begb[0] ;
wire \tile_x11y7_w2begb[1] ;
wire \tile_x11y7_w2begb[2] ;
wire \tile_x11y7_w2begb[3] ;
wire \tile_x11y7_w2begb[4] ;
wire \tile_x11y7_w2begb[5] ;
wire \tile_x11y7_w2begb[6] ;
wire \tile_x11y7_w2begb[7] ;
wire \tile_x11y7_w6beg[0] ;
wire \tile_x11y7_w6beg[10] ;
wire \tile_x11y7_w6beg[11] ;
wire \tile_x11y7_w6beg[1] ;
wire \tile_x11y7_w6beg[2] ;
wire \tile_x11y7_w6beg[3] ;
wire \tile_x11y7_w6beg[4] ;
wire \tile_x11y7_w6beg[5] ;
wire \tile_x11y7_w6beg[6] ;
wire \tile_x11y7_w6beg[7] ;
wire \tile_x11y7_w6beg[8] ;
wire \tile_x11y7_w6beg[9] ;
wire \tile_x11y7_ww4beg[0] ;
wire \tile_x11y7_ww4beg[10] ;
wire \tile_x11y7_ww4beg[11] ;
wire \tile_x11y7_ww4beg[12] ;
wire \tile_x11y7_ww4beg[13] ;
wire \tile_x11y7_ww4beg[14] ;
wire \tile_x11y7_ww4beg[15] ;
wire \tile_x11y7_ww4beg[1] ;
wire \tile_x11y7_ww4beg[2] ;
wire \tile_x11y7_ww4beg[3] ;
wire \tile_x11y7_ww4beg[4] ;
wire \tile_x11y7_ww4beg[5] ;
wire \tile_x11y7_ww4beg[6] ;
wire \tile_x11y7_ww4beg[7] ;
wire \tile_x11y7_ww4beg[8] ;
wire \tile_x11y7_ww4beg[9] ;
wire \tile_x11y8_framedata_o[0] ;
wire \tile_x11y8_framedata_o[10] ;
wire \tile_x11y8_framedata_o[11] ;
wire \tile_x11y8_framedata_o[12] ;
wire \tile_x11y8_framedata_o[13] ;
wire \tile_x11y8_framedata_o[14] ;
wire \tile_x11y8_framedata_o[15] ;
wire \tile_x11y8_framedata_o[16] ;
wire \tile_x11y8_framedata_o[17] ;
wire \tile_x11y8_framedata_o[18] ;
wire \tile_x11y8_framedata_o[19] ;
wire \tile_x11y8_framedata_o[1] ;
wire \tile_x11y8_framedata_o[20] ;
wire \tile_x11y8_framedata_o[21] ;
wire \tile_x11y8_framedata_o[22] ;
wire \tile_x11y8_framedata_o[23] ;
wire \tile_x11y8_framedata_o[24] ;
wire \tile_x11y8_framedata_o[25] ;
wire \tile_x11y8_framedata_o[26] ;
wire \tile_x11y8_framedata_o[27] ;
wire \tile_x11y8_framedata_o[28] ;
wire \tile_x11y8_framedata_o[29] ;
wire \tile_x11y8_framedata_o[2] ;
wire \tile_x11y8_framedata_o[30] ;
wire \tile_x11y8_framedata_o[31] ;
wire \tile_x11y8_framedata_o[3] ;
wire \tile_x11y8_framedata_o[4] ;
wire \tile_x11y8_framedata_o[5] ;
wire \tile_x11y8_framedata_o[6] ;
wire \tile_x11y8_framedata_o[7] ;
wire \tile_x11y8_framedata_o[8] ;
wire \tile_x11y8_framedata_o[9] ;
wire \tile_x11y8_framestrobe_o[0] ;
wire \tile_x11y8_framestrobe_o[10] ;
wire \tile_x11y8_framestrobe_o[11] ;
wire \tile_x11y8_framestrobe_o[12] ;
wire \tile_x11y8_framestrobe_o[13] ;
wire \tile_x11y8_framestrobe_o[14] ;
wire \tile_x11y8_framestrobe_o[15] ;
wire \tile_x11y8_framestrobe_o[16] ;
wire \tile_x11y8_framestrobe_o[17] ;
wire \tile_x11y8_framestrobe_o[18] ;
wire \tile_x11y8_framestrobe_o[19] ;
wire \tile_x11y8_framestrobe_o[1] ;
wire \tile_x11y8_framestrobe_o[2] ;
wire \tile_x11y8_framestrobe_o[3] ;
wire \tile_x11y8_framestrobe_o[4] ;
wire \tile_x11y8_framestrobe_o[5] ;
wire \tile_x11y8_framestrobe_o[6] ;
wire \tile_x11y8_framestrobe_o[7] ;
wire \tile_x11y8_framestrobe_o[8] ;
wire \tile_x11y8_framestrobe_o[9] ;
input tile_x11y8_opa_i0;
input tile_x11y8_opa_i1;
input tile_x11y8_opa_i2;
input tile_x11y8_opa_i3;
input tile_x11y8_opb_i0;
input tile_x11y8_opb_i1;
input tile_x11y8_opb_i2;
input tile_x11y8_opb_i3;
output tile_x11y8_res0_o0;
output tile_x11y8_res0_o1;
output tile_x11y8_res0_o2;
output tile_x11y8_res0_o3;
output tile_x11y8_res1_o0;
output tile_x11y8_res1_o1;
output tile_x11y8_res1_o2;
output tile_x11y8_res1_o3;
output tile_x11y8_res2_o0;
output tile_x11y8_res2_o1;
output tile_x11y8_res2_o2;
output tile_x11y8_res2_o3;
wire tile_x11y8_userclko;
wire \tile_x11y8_w1beg[0] ;
wire \tile_x11y8_w1beg[1] ;
wire \tile_x11y8_w1beg[2] ;
wire \tile_x11y8_w1beg[3] ;
wire \tile_x11y8_w2beg[0] ;
wire \tile_x11y8_w2beg[1] ;
wire \tile_x11y8_w2beg[2] ;
wire \tile_x11y8_w2beg[3] ;
wire \tile_x11y8_w2beg[4] ;
wire \tile_x11y8_w2beg[5] ;
wire \tile_x11y8_w2beg[6] ;
wire \tile_x11y8_w2beg[7] ;
wire \tile_x11y8_w2begb[0] ;
wire \tile_x11y8_w2begb[1] ;
wire \tile_x11y8_w2begb[2] ;
wire \tile_x11y8_w2begb[3] ;
wire \tile_x11y8_w2begb[4] ;
wire \tile_x11y8_w2begb[5] ;
wire \tile_x11y8_w2begb[6] ;
wire \tile_x11y8_w2begb[7] ;
wire \tile_x11y8_w6beg[0] ;
wire \tile_x11y8_w6beg[10] ;
wire \tile_x11y8_w6beg[11] ;
wire \tile_x11y8_w6beg[1] ;
wire \tile_x11y8_w6beg[2] ;
wire \tile_x11y8_w6beg[3] ;
wire \tile_x11y8_w6beg[4] ;
wire \tile_x11y8_w6beg[5] ;
wire \tile_x11y8_w6beg[6] ;
wire \tile_x11y8_w6beg[7] ;
wire \tile_x11y8_w6beg[8] ;
wire \tile_x11y8_w6beg[9] ;
wire \tile_x11y8_ww4beg[0] ;
wire \tile_x11y8_ww4beg[10] ;
wire \tile_x11y8_ww4beg[11] ;
wire \tile_x11y8_ww4beg[12] ;
wire \tile_x11y8_ww4beg[13] ;
wire \tile_x11y8_ww4beg[14] ;
wire \tile_x11y8_ww4beg[15] ;
wire \tile_x11y8_ww4beg[1] ;
wire \tile_x11y8_ww4beg[2] ;
wire \tile_x11y8_ww4beg[3] ;
wire \tile_x11y8_ww4beg[4] ;
wire \tile_x11y8_ww4beg[5] ;
wire \tile_x11y8_ww4beg[6] ;
wire \tile_x11y8_ww4beg[7] ;
wire \tile_x11y8_ww4beg[8] ;
wire \tile_x11y8_ww4beg[9] ;
wire \tile_x11y9_framedata_o[0] ;
wire \tile_x11y9_framedata_o[10] ;
wire \tile_x11y9_framedata_o[11] ;
wire \tile_x11y9_framedata_o[12] ;
wire \tile_x11y9_framedata_o[13] ;
wire \tile_x11y9_framedata_o[14] ;
wire \tile_x11y9_framedata_o[15] ;
wire \tile_x11y9_framedata_o[16] ;
wire \tile_x11y9_framedata_o[17] ;
wire \tile_x11y9_framedata_o[18] ;
wire \tile_x11y9_framedata_o[19] ;
wire \tile_x11y9_framedata_o[1] ;
wire \tile_x11y9_framedata_o[20] ;
wire \tile_x11y9_framedata_o[21] ;
wire \tile_x11y9_framedata_o[22] ;
wire \tile_x11y9_framedata_o[23] ;
wire \tile_x11y9_framedata_o[24] ;
wire \tile_x11y9_framedata_o[25] ;
wire \tile_x11y9_framedata_o[26] ;
wire \tile_x11y9_framedata_o[27] ;
wire \tile_x11y9_framedata_o[28] ;
wire \tile_x11y9_framedata_o[29] ;
wire \tile_x11y9_framedata_o[2] ;
wire \tile_x11y9_framedata_o[30] ;
wire \tile_x11y9_framedata_o[31] ;
wire \tile_x11y9_framedata_o[3] ;
wire \tile_x11y9_framedata_o[4] ;
wire \tile_x11y9_framedata_o[5] ;
wire \tile_x11y9_framedata_o[6] ;
wire \tile_x11y9_framedata_o[7] ;
wire \tile_x11y9_framedata_o[8] ;
wire \tile_x11y9_framedata_o[9] ;
wire \tile_x11y9_framestrobe_o[0] ;
wire \tile_x11y9_framestrobe_o[10] ;
wire \tile_x11y9_framestrobe_o[11] ;
wire \tile_x11y9_framestrobe_o[12] ;
wire \tile_x11y9_framestrobe_o[13] ;
wire \tile_x11y9_framestrobe_o[14] ;
wire \tile_x11y9_framestrobe_o[15] ;
wire \tile_x11y9_framestrobe_o[16] ;
wire \tile_x11y9_framestrobe_o[17] ;
wire \tile_x11y9_framestrobe_o[18] ;
wire \tile_x11y9_framestrobe_o[19] ;
wire \tile_x11y9_framestrobe_o[1] ;
wire \tile_x11y9_framestrobe_o[2] ;
wire \tile_x11y9_framestrobe_o[3] ;
wire \tile_x11y9_framestrobe_o[4] ;
wire \tile_x11y9_framestrobe_o[5] ;
wire \tile_x11y9_framestrobe_o[6] ;
wire \tile_x11y9_framestrobe_o[7] ;
wire \tile_x11y9_framestrobe_o[8] ;
wire \tile_x11y9_framestrobe_o[9] ;
input tile_x11y9_opa_i0;
input tile_x11y9_opa_i1;
input tile_x11y9_opa_i2;
input tile_x11y9_opa_i3;
input tile_x11y9_opb_i0;
input tile_x11y9_opb_i1;
input tile_x11y9_opb_i2;
input tile_x11y9_opb_i3;
output tile_x11y9_res0_o0;
output tile_x11y9_res0_o1;
output tile_x11y9_res0_o2;
output tile_x11y9_res0_o3;
output tile_x11y9_res1_o0;
output tile_x11y9_res1_o1;
output tile_x11y9_res1_o2;
output tile_x11y9_res1_o3;
output tile_x11y9_res2_o0;
output tile_x11y9_res2_o1;
output tile_x11y9_res2_o2;
output tile_x11y9_res2_o3;
wire \tile_x11y9_s1beg[0] ;
wire \tile_x11y9_s1beg[1] ;
wire \tile_x11y9_s1beg[2] ;
wire \tile_x11y9_s1beg[3] ;
wire \tile_x11y9_s2beg[0] ;
wire \tile_x11y9_s2beg[1] ;
wire \tile_x11y9_s2beg[2] ;
wire \tile_x11y9_s2beg[3] ;
wire \tile_x11y9_s2beg[4] ;
wire \tile_x11y9_s2beg[5] ;
wire \tile_x11y9_s2beg[6] ;
wire \tile_x11y9_s2beg[7] ;
wire \tile_x11y9_s2begb[0] ;
wire \tile_x11y9_s2begb[1] ;
wire \tile_x11y9_s2begb[2] ;
wire \tile_x11y9_s2begb[3] ;
wire \tile_x11y9_s2begb[4] ;
wire \tile_x11y9_s2begb[5] ;
wire \tile_x11y9_s2begb[6] ;
wire \tile_x11y9_s2begb[7] ;
wire \tile_x11y9_s4beg[0] ;
wire \tile_x11y9_s4beg[10] ;
wire \tile_x11y9_s4beg[11] ;
wire \tile_x11y9_s4beg[12] ;
wire \tile_x11y9_s4beg[13] ;
wire \tile_x11y9_s4beg[14] ;
wire \tile_x11y9_s4beg[15] ;
wire \tile_x11y9_s4beg[1] ;
wire \tile_x11y9_s4beg[2] ;
wire \tile_x11y9_s4beg[3] ;
wire \tile_x11y9_s4beg[4] ;
wire \tile_x11y9_s4beg[5] ;
wire \tile_x11y9_s4beg[6] ;
wire \tile_x11y9_s4beg[7] ;
wire \tile_x11y9_s4beg[8] ;
wire \tile_x11y9_s4beg[9] ;
wire \tile_x11y9_ss4beg[0] ;
wire \tile_x11y9_ss4beg[10] ;
wire \tile_x11y9_ss4beg[11] ;
wire \tile_x11y9_ss4beg[12] ;
wire \tile_x11y9_ss4beg[13] ;
wire \tile_x11y9_ss4beg[14] ;
wire \tile_x11y9_ss4beg[15] ;
wire \tile_x11y9_ss4beg[1] ;
wire \tile_x11y9_ss4beg[2] ;
wire \tile_x11y9_ss4beg[3] ;
wire \tile_x11y9_ss4beg[4] ;
wire \tile_x11y9_ss4beg[5] ;
wire \tile_x11y9_ss4beg[6] ;
wire \tile_x11y9_ss4beg[7] ;
wire \tile_x11y9_ss4beg[8] ;
wire \tile_x11y9_ss4beg[9] ;
wire tile_x11y9_userclko;
wire \tile_x11y9_w1beg[0] ;
wire \tile_x11y9_w1beg[1] ;
wire \tile_x11y9_w1beg[2] ;
wire \tile_x11y9_w1beg[3] ;
wire \tile_x11y9_w2beg[0] ;
wire \tile_x11y9_w2beg[1] ;
wire \tile_x11y9_w2beg[2] ;
wire \tile_x11y9_w2beg[3] ;
wire \tile_x11y9_w2beg[4] ;
wire \tile_x11y9_w2beg[5] ;
wire \tile_x11y9_w2beg[6] ;
wire \tile_x11y9_w2beg[7] ;
wire \tile_x11y9_w2begb[0] ;
wire \tile_x11y9_w2begb[1] ;
wire \tile_x11y9_w2begb[2] ;
wire \tile_x11y9_w2begb[3] ;
wire \tile_x11y9_w2begb[4] ;
wire \tile_x11y9_w2begb[5] ;
wire \tile_x11y9_w2begb[6] ;
wire \tile_x11y9_w2begb[7] ;
wire \tile_x11y9_w6beg[0] ;
wire \tile_x11y9_w6beg[10] ;
wire \tile_x11y9_w6beg[11] ;
wire \tile_x11y9_w6beg[1] ;
wire \tile_x11y9_w6beg[2] ;
wire \tile_x11y9_w6beg[3] ;
wire \tile_x11y9_w6beg[4] ;
wire \tile_x11y9_w6beg[5] ;
wire \tile_x11y9_w6beg[6] ;
wire \tile_x11y9_w6beg[7] ;
wire \tile_x11y9_w6beg[8] ;
wire \tile_x11y9_w6beg[9] ;
wire \tile_x11y9_ww4beg[0] ;
wire \tile_x11y9_ww4beg[10] ;
wire \tile_x11y9_ww4beg[11] ;
wire \tile_x11y9_ww4beg[12] ;
wire \tile_x11y9_ww4beg[13] ;
wire \tile_x11y9_ww4beg[14] ;
wire \tile_x11y9_ww4beg[15] ;
wire \tile_x11y9_ww4beg[1] ;
wire \tile_x11y9_ww4beg[2] ;
wire \tile_x11y9_ww4beg[3] ;
wire \tile_x11y9_ww4beg[4] ;
wire \tile_x11y9_ww4beg[5] ;
wire \tile_x11y9_ww4beg[6] ;
wire \tile_x11y9_ww4beg[7] ;
wire \tile_x11y9_ww4beg[8] ;
wire \tile_x11y9_ww4beg[9] ;
wire \tile_x12y10_e1beg[0] ;
wire \tile_x12y10_e1beg[1] ;
wire \tile_x12y10_e1beg[2] ;
wire \tile_x12y10_e1beg[3] ;
wire \tile_x12y10_e2beg[0] ;
wire \tile_x12y10_e2beg[1] ;
wire \tile_x12y10_e2beg[2] ;
wire \tile_x12y10_e2beg[3] ;
wire \tile_x12y10_e2beg[4] ;
wire \tile_x12y10_e2beg[5] ;
wire \tile_x12y10_e2beg[6] ;
wire \tile_x12y10_e2beg[7] ;
wire \tile_x12y10_e2begb[0] ;
wire \tile_x12y10_e2begb[1] ;
wire \tile_x12y10_e2begb[2] ;
wire \tile_x12y10_e2begb[3] ;
wire \tile_x12y10_e2begb[4] ;
wire \tile_x12y10_e2begb[5] ;
wire \tile_x12y10_e2begb[6] ;
wire \tile_x12y10_e2begb[7] ;
wire \tile_x12y10_e6beg[0] ;
wire \tile_x12y10_e6beg[10] ;
wire \tile_x12y10_e6beg[11] ;
wire \tile_x12y10_e6beg[1] ;
wire \tile_x12y10_e6beg[2] ;
wire \tile_x12y10_e6beg[3] ;
wire \tile_x12y10_e6beg[4] ;
wire \tile_x12y10_e6beg[5] ;
wire \tile_x12y10_e6beg[6] ;
wire \tile_x12y10_e6beg[7] ;
wire \tile_x12y10_e6beg[8] ;
wire \tile_x12y10_e6beg[9] ;
wire \tile_x12y10_ee4beg[0] ;
wire \tile_x12y10_ee4beg[10] ;
wire \tile_x12y10_ee4beg[11] ;
wire \tile_x12y10_ee4beg[12] ;
wire \tile_x12y10_ee4beg[13] ;
wire \tile_x12y10_ee4beg[14] ;
wire \tile_x12y10_ee4beg[15] ;
wire \tile_x12y10_ee4beg[1] ;
wire \tile_x12y10_ee4beg[2] ;
wire \tile_x12y10_ee4beg[3] ;
wire \tile_x12y10_ee4beg[4] ;
wire \tile_x12y10_ee4beg[5] ;
wire \tile_x12y10_ee4beg[6] ;
wire \tile_x12y10_ee4beg[7] ;
wire \tile_x12y10_ee4beg[8] ;
wire \tile_x12y10_ee4beg[9] ;
wire \tile_x12y10_framedata_o[0] ;
wire \tile_x12y10_framedata_o[10] ;
wire \tile_x12y10_framedata_o[11] ;
wire \tile_x12y10_framedata_o[12] ;
wire \tile_x12y10_framedata_o[13] ;
wire \tile_x12y10_framedata_o[14] ;
wire \tile_x12y10_framedata_o[15] ;
wire \tile_x12y10_framedata_o[16] ;
wire \tile_x12y10_framedata_o[17] ;
wire \tile_x12y10_framedata_o[18] ;
wire \tile_x12y10_framedata_o[19] ;
wire \tile_x12y10_framedata_o[1] ;
wire \tile_x12y10_framedata_o[20] ;
wire \tile_x12y10_framedata_o[21] ;
wire \tile_x12y10_framedata_o[22] ;
wire \tile_x12y10_framedata_o[23] ;
wire \tile_x12y10_framedata_o[24] ;
wire \tile_x12y10_framedata_o[25] ;
wire \tile_x12y10_framedata_o[26] ;
wire \tile_x12y10_framedata_o[27] ;
wire \tile_x12y10_framedata_o[28] ;
wire \tile_x12y10_framedata_o[29] ;
wire \tile_x12y10_framedata_o[2] ;
wire \tile_x12y10_framedata_o[30] ;
wire \tile_x12y10_framedata_o[31] ;
wire \tile_x12y10_framedata_o[3] ;
wire \tile_x12y10_framedata_o[4] ;
wire \tile_x12y10_framedata_o[5] ;
wire \tile_x12y10_framedata_o[6] ;
wire \tile_x12y10_framedata_o[7] ;
wire \tile_x12y10_framedata_o[8] ;
wire \tile_x12y10_framedata_o[9] ;
wire \tile_x12y10_framestrobe_o[0] ;
wire \tile_x12y10_framestrobe_o[10] ;
wire \tile_x12y10_framestrobe_o[11] ;
wire \tile_x12y10_framestrobe_o[12] ;
wire \tile_x12y10_framestrobe_o[13] ;
wire \tile_x12y10_framestrobe_o[14] ;
wire \tile_x12y10_framestrobe_o[15] ;
wire \tile_x12y10_framestrobe_o[16] ;
wire \tile_x12y10_framestrobe_o[17] ;
wire \tile_x12y10_framestrobe_o[18] ;
wire \tile_x12y10_framestrobe_o[19] ;
wire \tile_x12y10_framestrobe_o[1] ;
wire \tile_x12y10_framestrobe_o[2] ;
wire \tile_x12y10_framestrobe_o[3] ;
wire \tile_x12y10_framestrobe_o[4] ;
wire \tile_x12y10_framestrobe_o[5] ;
wire \tile_x12y10_framestrobe_o[6] ;
wire \tile_x12y10_framestrobe_o[7] ;
wire \tile_x12y10_framestrobe_o[8] ;
wire \tile_x12y10_framestrobe_o[9] ;
wire \tile_x12y10_n1beg[0] ;
wire \tile_x12y10_n1beg[1] ;
wire \tile_x12y10_n1beg[2] ;
wire \tile_x12y10_n1beg[3] ;
wire \tile_x12y10_n2beg[0] ;
wire \tile_x12y10_n2beg[1] ;
wire \tile_x12y10_n2beg[2] ;
wire \tile_x12y10_n2beg[3] ;
wire \tile_x12y10_n2beg[4] ;
wire \tile_x12y10_n2beg[5] ;
wire \tile_x12y10_n2beg[6] ;
wire \tile_x12y10_n2beg[7] ;
wire \tile_x12y10_n2begb[0] ;
wire \tile_x12y10_n2begb[1] ;
wire \tile_x12y10_n2begb[2] ;
wire \tile_x12y10_n2begb[3] ;
wire \tile_x12y10_n2begb[4] ;
wire \tile_x12y10_n2begb[5] ;
wire \tile_x12y10_n2begb[6] ;
wire \tile_x12y10_n2begb[7] ;
wire \tile_x12y10_n4beg[0] ;
wire \tile_x12y10_n4beg[10] ;
wire \tile_x12y10_n4beg[11] ;
wire \tile_x12y10_n4beg[12] ;
wire \tile_x12y10_n4beg[13] ;
wire \tile_x12y10_n4beg[14] ;
wire \tile_x12y10_n4beg[15] ;
wire \tile_x12y10_n4beg[1] ;
wire \tile_x12y10_n4beg[2] ;
wire \tile_x12y10_n4beg[3] ;
wire \tile_x12y10_n4beg[4] ;
wire \tile_x12y10_n4beg[5] ;
wire \tile_x12y10_n4beg[6] ;
wire \tile_x12y10_n4beg[7] ;
wire \tile_x12y10_n4beg[8] ;
wire \tile_x12y10_n4beg[9] ;
wire \tile_x12y10_nn4beg[0] ;
wire \tile_x12y10_nn4beg[10] ;
wire \tile_x12y10_nn4beg[11] ;
wire \tile_x12y10_nn4beg[12] ;
wire \tile_x12y10_nn4beg[13] ;
wire \tile_x12y10_nn4beg[14] ;
wire \tile_x12y10_nn4beg[15] ;
wire \tile_x12y10_nn4beg[1] ;
wire \tile_x12y10_nn4beg[2] ;
wire \tile_x12y10_nn4beg[3] ;
wire \tile_x12y10_nn4beg[4] ;
wire \tile_x12y10_nn4beg[5] ;
wire \tile_x12y10_nn4beg[6] ;
wire \tile_x12y10_nn4beg[7] ;
wire \tile_x12y10_nn4beg[8] ;
wire \tile_x12y10_nn4beg[9] ;
wire \tile_x12y10_s1beg[0] ;
wire \tile_x12y10_s1beg[1] ;
wire \tile_x12y10_s1beg[2] ;
wire \tile_x12y10_s1beg[3] ;
wire \tile_x12y10_s2beg[0] ;
wire \tile_x12y10_s2beg[1] ;
wire \tile_x12y10_s2beg[2] ;
wire \tile_x12y10_s2beg[3] ;
wire \tile_x12y10_s2beg[4] ;
wire \tile_x12y10_s2beg[5] ;
wire \tile_x12y10_s2beg[6] ;
wire \tile_x12y10_s2beg[7] ;
wire \tile_x12y10_s2begb[0] ;
wire \tile_x12y10_s2begb[1] ;
wire \tile_x12y10_s2begb[2] ;
wire \tile_x12y10_s2begb[3] ;
wire \tile_x12y10_s2begb[4] ;
wire \tile_x12y10_s2begb[5] ;
wire \tile_x12y10_s2begb[6] ;
wire \tile_x12y10_s2begb[7] ;
wire \tile_x12y10_s4beg[0] ;
wire \tile_x12y10_s4beg[10] ;
wire \tile_x12y10_s4beg[11] ;
wire \tile_x12y10_s4beg[12] ;
wire \tile_x12y10_s4beg[13] ;
wire \tile_x12y10_s4beg[14] ;
wire \tile_x12y10_s4beg[15] ;
wire \tile_x12y10_s4beg[1] ;
wire \tile_x12y10_s4beg[2] ;
wire \tile_x12y10_s4beg[3] ;
wire \tile_x12y10_s4beg[4] ;
wire \tile_x12y10_s4beg[5] ;
wire \tile_x12y10_s4beg[6] ;
wire \tile_x12y10_s4beg[7] ;
wire \tile_x12y10_s4beg[8] ;
wire \tile_x12y10_s4beg[9] ;
wire \tile_x12y10_ss4beg[0] ;
wire \tile_x12y10_ss4beg[10] ;
wire \tile_x12y10_ss4beg[11] ;
wire \tile_x12y10_ss4beg[12] ;
wire \tile_x12y10_ss4beg[13] ;
wire \tile_x12y10_ss4beg[14] ;
wire \tile_x12y10_ss4beg[15] ;
wire \tile_x12y10_ss4beg[1] ;
wire \tile_x12y10_ss4beg[2] ;
wire \tile_x12y10_ss4beg[3] ;
wire \tile_x12y10_ss4beg[4] ;
wire \tile_x12y10_ss4beg[5] ;
wire \tile_x12y10_ss4beg[6] ;
wire \tile_x12y10_ss4beg[7] ;
wire \tile_x12y10_ss4beg[8] ;
wire \tile_x12y10_ss4beg[9] ;
wire tile_x12y10_userclko;
wire \tile_x12y10_w1beg[0] ;
wire \tile_x12y10_w1beg[1] ;
wire \tile_x12y10_w1beg[2] ;
wire \tile_x12y10_w1beg[3] ;
wire \tile_x12y10_w2beg[0] ;
wire \tile_x12y10_w2beg[1] ;
wire \tile_x12y10_w2beg[2] ;
wire \tile_x12y10_w2beg[3] ;
wire \tile_x12y10_w2beg[4] ;
wire \tile_x12y10_w2beg[5] ;
wire \tile_x12y10_w2beg[6] ;
wire \tile_x12y10_w2beg[7] ;
wire \tile_x12y10_w2begb[0] ;
wire \tile_x12y10_w2begb[1] ;
wire \tile_x12y10_w2begb[2] ;
wire \tile_x12y10_w2begb[3] ;
wire \tile_x12y10_w2begb[4] ;
wire \tile_x12y10_w2begb[5] ;
wire \tile_x12y10_w2begb[6] ;
wire \tile_x12y10_w2begb[7] ;
wire \tile_x12y10_w6beg[0] ;
wire \tile_x12y10_w6beg[10] ;
wire \tile_x12y10_w6beg[11] ;
wire \tile_x12y10_w6beg[1] ;
wire \tile_x12y10_w6beg[2] ;
wire \tile_x12y10_w6beg[3] ;
wire \tile_x12y10_w6beg[4] ;
wire \tile_x12y10_w6beg[5] ;
wire \tile_x12y10_w6beg[6] ;
wire \tile_x12y10_w6beg[7] ;
wire \tile_x12y10_w6beg[8] ;
wire \tile_x12y10_w6beg[9] ;
wire \tile_x12y10_ww4beg[0] ;
wire \tile_x12y10_ww4beg[10] ;
wire \tile_x12y10_ww4beg[11] ;
wire \tile_x12y10_ww4beg[12] ;
wire \tile_x12y10_ww4beg[13] ;
wire \tile_x12y10_ww4beg[14] ;
wire \tile_x12y10_ww4beg[15] ;
wire \tile_x12y10_ww4beg[1] ;
wire \tile_x12y10_ww4beg[2] ;
wire \tile_x12y10_ww4beg[3] ;
wire \tile_x12y10_ww4beg[4] ;
wire \tile_x12y10_ww4beg[5] ;
wire \tile_x12y10_ww4beg[6] ;
wire \tile_x12y10_ww4beg[7] ;
wire \tile_x12y10_ww4beg[8] ;
wire \tile_x12y10_ww4beg[9] ;
wire \tile_x12y11_e1beg[0] ;
wire \tile_x12y11_e1beg[1] ;
wire \tile_x12y11_e1beg[2] ;
wire \tile_x12y11_e1beg[3] ;
wire \tile_x12y11_e2beg[0] ;
wire \tile_x12y11_e2beg[1] ;
wire \tile_x12y11_e2beg[2] ;
wire \tile_x12y11_e2beg[3] ;
wire \tile_x12y11_e2beg[4] ;
wire \tile_x12y11_e2beg[5] ;
wire \tile_x12y11_e2beg[6] ;
wire \tile_x12y11_e2beg[7] ;
wire \tile_x12y11_e2begb[0] ;
wire \tile_x12y11_e2begb[1] ;
wire \tile_x12y11_e2begb[2] ;
wire \tile_x12y11_e2begb[3] ;
wire \tile_x12y11_e2begb[4] ;
wire \tile_x12y11_e2begb[5] ;
wire \tile_x12y11_e2begb[6] ;
wire \tile_x12y11_e2begb[7] ;
wire \tile_x12y11_e6beg[0] ;
wire \tile_x12y11_e6beg[10] ;
wire \tile_x12y11_e6beg[11] ;
wire \tile_x12y11_e6beg[1] ;
wire \tile_x12y11_e6beg[2] ;
wire \tile_x12y11_e6beg[3] ;
wire \tile_x12y11_e6beg[4] ;
wire \tile_x12y11_e6beg[5] ;
wire \tile_x12y11_e6beg[6] ;
wire \tile_x12y11_e6beg[7] ;
wire \tile_x12y11_e6beg[8] ;
wire \tile_x12y11_e6beg[9] ;
wire \tile_x12y11_ee4beg[0] ;
wire \tile_x12y11_ee4beg[10] ;
wire \tile_x12y11_ee4beg[11] ;
wire \tile_x12y11_ee4beg[12] ;
wire \tile_x12y11_ee4beg[13] ;
wire \tile_x12y11_ee4beg[14] ;
wire \tile_x12y11_ee4beg[15] ;
wire \tile_x12y11_ee4beg[1] ;
wire \tile_x12y11_ee4beg[2] ;
wire \tile_x12y11_ee4beg[3] ;
wire \tile_x12y11_ee4beg[4] ;
wire \tile_x12y11_ee4beg[5] ;
wire \tile_x12y11_ee4beg[6] ;
wire \tile_x12y11_ee4beg[7] ;
wire \tile_x12y11_ee4beg[8] ;
wire \tile_x12y11_ee4beg[9] ;
wire \tile_x12y11_framedata_o[0] ;
wire \tile_x12y11_framedata_o[10] ;
wire \tile_x12y11_framedata_o[11] ;
wire \tile_x12y11_framedata_o[12] ;
wire \tile_x12y11_framedata_o[13] ;
wire \tile_x12y11_framedata_o[14] ;
wire \tile_x12y11_framedata_o[15] ;
wire \tile_x12y11_framedata_o[16] ;
wire \tile_x12y11_framedata_o[17] ;
wire \tile_x12y11_framedata_o[18] ;
wire \tile_x12y11_framedata_o[19] ;
wire \tile_x12y11_framedata_o[1] ;
wire \tile_x12y11_framedata_o[20] ;
wire \tile_x12y11_framedata_o[21] ;
wire \tile_x12y11_framedata_o[22] ;
wire \tile_x12y11_framedata_o[23] ;
wire \tile_x12y11_framedata_o[24] ;
wire \tile_x12y11_framedata_o[25] ;
wire \tile_x12y11_framedata_o[26] ;
wire \tile_x12y11_framedata_o[27] ;
wire \tile_x12y11_framedata_o[28] ;
wire \tile_x12y11_framedata_o[29] ;
wire \tile_x12y11_framedata_o[2] ;
wire \tile_x12y11_framedata_o[30] ;
wire \tile_x12y11_framedata_o[31] ;
wire \tile_x12y11_framedata_o[3] ;
wire \tile_x12y11_framedata_o[4] ;
wire \tile_x12y11_framedata_o[5] ;
wire \tile_x12y11_framedata_o[6] ;
wire \tile_x12y11_framedata_o[7] ;
wire \tile_x12y11_framedata_o[8] ;
wire \tile_x12y11_framedata_o[9] ;
wire \tile_x12y11_framestrobe_o[0] ;
wire \tile_x12y11_framestrobe_o[10] ;
wire \tile_x12y11_framestrobe_o[11] ;
wire \tile_x12y11_framestrobe_o[12] ;
wire \tile_x12y11_framestrobe_o[13] ;
wire \tile_x12y11_framestrobe_o[14] ;
wire \tile_x12y11_framestrobe_o[15] ;
wire \tile_x12y11_framestrobe_o[16] ;
wire \tile_x12y11_framestrobe_o[17] ;
wire \tile_x12y11_framestrobe_o[18] ;
wire \tile_x12y11_framestrobe_o[19] ;
wire \tile_x12y11_framestrobe_o[1] ;
wire \tile_x12y11_framestrobe_o[2] ;
wire \tile_x12y11_framestrobe_o[3] ;
wire \tile_x12y11_framestrobe_o[4] ;
wire \tile_x12y11_framestrobe_o[5] ;
wire \tile_x12y11_framestrobe_o[6] ;
wire \tile_x12y11_framestrobe_o[7] ;
wire \tile_x12y11_framestrobe_o[8] ;
wire \tile_x12y11_framestrobe_o[9] ;
wire \tile_x12y11_n1beg[0] ;
wire \tile_x12y11_n1beg[1] ;
wire \tile_x12y11_n1beg[2] ;
wire \tile_x12y11_n1beg[3] ;
wire \tile_x12y11_n2beg[0] ;
wire \tile_x12y11_n2beg[1] ;
wire \tile_x12y11_n2beg[2] ;
wire \tile_x12y11_n2beg[3] ;
wire \tile_x12y11_n2beg[4] ;
wire \tile_x12y11_n2beg[5] ;
wire \tile_x12y11_n2beg[6] ;
wire \tile_x12y11_n2beg[7] ;
wire \tile_x12y11_n2begb[0] ;
wire \tile_x12y11_n2begb[1] ;
wire \tile_x12y11_n2begb[2] ;
wire \tile_x12y11_n2begb[3] ;
wire \tile_x12y11_n2begb[4] ;
wire \tile_x12y11_n2begb[5] ;
wire \tile_x12y11_n2begb[6] ;
wire \tile_x12y11_n2begb[7] ;
wire \tile_x12y11_n4beg[0] ;
wire \tile_x12y11_n4beg[10] ;
wire \tile_x12y11_n4beg[11] ;
wire \tile_x12y11_n4beg[12] ;
wire \tile_x12y11_n4beg[13] ;
wire \tile_x12y11_n4beg[14] ;
wire \tile_x12y11_n4beg[15] ;
wire \tile_x12y11_n4beg[1] ;
wire \tile_x12y11_n4beg[2] ;
wire \tile_x12y11_n4beg[3] ;
wire \tile_x12y11_n4beg[4] ;
wire \tile_x12y11_n4beg[5] ;
wire \tile_x12y11_n4beg[6] ;
wire \tile_x12y11_n4beg[7] ;
wire \tile_x12y11_n4beg[8] ;
wire \tile_x12y11_n4beg[9] ;
wire \tile_x12y11_nn4beg[0] ;
wire \tile_x12y11_nn4beg[10] ;
wire \tile_x12y11_nn4beg[11] ;
wire \tile_x12y11_nn4beg[12] ;
wire \tile_x12y11_nn4beg[13] ;
wire \tile_x12y11_nn4beg[14] ;
wire \tile_x12y11_nn4beg[15] ;
wire \tile_x12y11_nn4beg[1] ;
wire \tile_x12y11_nn4beg[2] ;
wire \tile_x12y11_nn4beg[3] ;
wire \tile_x12y11_nn4beg[4] ;
wire \tile_x12y11_nn4beg[5] ;
wire \tile_x12y11_nn4beg[6] ;
wire \tile_x12y11_nn4beg[7] ;
wire \tile_x12y11_nn4beg[8] ;
wire \tile_x12y11_nn4beg[9] ;
wire \tile_x12y11_s1beg[0] ;
wire \tile_x12y11_s1beg[1] ;
wire \tile_x12y11_s1beg[2] ;
wire \tile_x12y11_s1beg[3] ;
wire \tile_x12y11_s2beg[0] ;
wire \tile_x12y11_s2beg[1] ;
wire \tile_x12y11_s2beg[2] ;
wire \tile_x12y11_s2beg[3] ;
wire \tile_x12y11_s2beg[4] ;
wire \tile_x12y11_s2beg[5] ;
wire \tile_x12y11_s2beg[6] ;
wire \tile_x12y11_s2beg[7] ;
wire \tile_x12y11_s2begb[0] ;
wire \tile_x12y11_s2begb[1] ;
wire \tile_x12y11_s2begb[2] ;
wire \tile_x12y11_s2begb[3] ;
wire \tile_x12y11_s2begb[4] ;
wire \tile_x12y11_s2begb[5] ;
wire \tile_x12y11_s2begb[6] ;
wire \tile_x12y11_s2begb[7] ;
wire \tile_x12y11_s4beg[0] ;
wire \tile_x12y11_s4beg[10] ;
wire \tile_x12y11_s4beg[11] ;
wire \tile_x12y11_s4beg[12] ;
wire \tile_x12y11_s4beg[13] ;
wire \tile_x12y11_s4beg[14] ;
wire \tile_x12y11_s4beg[15] ;
wire \tile_x12y11_s4beg[1] ;
wire \tile_x12y11_s4beg[2] ;
wire \tile_x12y11_s4beg[3] ;
wire \tile_x12y11_s4beg[4] ;
wire \tile_x12y11_s4beg[5] ;
wire \tile_x12y11_s4beg[6] ;
wire \tile_x12y11_s4beg[7] ;
wire \tile_x12y11_s4beg[8] ;
wire \tile_x12y11_s4beg[9] ;
wire \tile_x12y11_ss4beg[0] ;
wire \tile_x12y11_ss4beg[10] ;
wire \tile_x12y11_ss4beg[11] ;
wire \tile_x12y11_ss4beg[12] ;
wire \tile_x12y11_ss4beg[13] ;
wire \tile_x12y11_ss4beg[14] ;
wire \tile_x12y11_ss4beg[15] ;
wire \tile_x12y11_ss4beg[1] ;
wire \tile_x12y11_ss4beg[2] ;
wire \tile_x12y11_ss4beg[3] ;
wire \tile_x12y11_ss4beg[4] ;
wire \tile_x12y11_ss4beg[5] ;
wire \tile_x12y11_ss4beg[6] ;
wire \tile_x12y11_ss4beg[7] ;
wire \tile_x12y11_ss4beg[8] ;
wire \tile_x12y11_ss4beg[9] ;
wire tile_x12y11_userclko;
wire \tile_x12y11_w1beg[0] ;
wire \tile_x12y11_w1beg[1] ;
wire \tile_x12y11_w1beg[2] ;
wire \tile_x12y11_w1beg[3] ;
wire \tile_x12y11_w2beg[0] ;
wire \tile_x12y11_w2beg[1] ;
wire \tile_x12y11_w2beg[2] ;
wire \tile_x12y11_w2beg[3] ;
wire \tile_x12y11_w2beg[4] ;
wire \tile_x12y11_w2beg[5] ;
wire \tile_x12y11_w2beg[6] ;
wire \tile_x12y11_w2beg[7] ;
wire \tile_x12y11_w2begb[0] ;
wire \tile_x12y11_w2begb[1] ;
wire \tile_x12y11_w2begb[2] ;
wire \tile_x12y11_w2begb[3] ;
wire \tile_x12y11_w2begb[4] ;
wire \tile_x12y11_w2begb[5] ;
wire \tile_x12y11_w2begb[6] ;
wire \tile_x12y11_w2begb[7] ;
wire \tile_x12y11_w6beg[0] ;
wire \tile_x12y11_w6beg[10] ;
wire \tile_x12y11_w6beg[11] ;
wire \tile_x12y11_w6beg[1] ;
wire \tile_x12y11_w6beg[2] ;
wire \tile_x12y11_w6beg[3] ;
wire \tile_x12y11_w6beg[4] ;
wire \tile_x12y11_w6beg[5] ;
wire \tile_x12y11_w6beg[6] ;
wire \tile_x12y11_w6beg[7] ;
wire \tile_x12y11_w6beg[8] ;
wire \tile_x12y11_w6beg[9] ;
wire \tile_x12y11_ww4beg[0] ;
wire \tile_x12y11_ww4beg[10] ;
wire \tile_x12y11_ww4beg[11] ;
wire \tile_x12y11_ww4beg[12] ;
wire \tile_x12y11_ww4beg[13] ;
wire \tile_x12y11_ww4beg[14] ;
wire \tile_x12y11_ww4beg[15] ;
wire \tile_x12y11_ww4beg[1] ;
wire \tile_x12y11_ww4beg[2] ;
wire \tile_x12y11_ww4beg[3] ;
wire \tile_x12y11_ww4beg[4] ;
wire \tile_x12y11_ww4beg[5] ;
wire \tile_x12y11_ww4beg[6] ;
wire \tile_x12y11_ww4beg[7] ;
wire \tile_x12y11_ww4beg[8] ;
wire \tile_x12y11_ww4beg[9] ;
wire \tile_x12y12_e1beg[0] ;
wire \tile_x12y12_e1beg[1] ;
wire \tile_x12y12_e1beg[2] ;
wire \tile_x12y12_e1beg[3] ;
wire \tile_x12y12_e2beg[0] ;
wire \tile_x12y12_e2beg[1] ;
wire \tile_x12y12_e2beg[2] ;
wire \tile_x12y12_e2beg[3] ;
wire \tile_x12y12_e2beg[4] ;
wire \tile_x12y12_e2beg[5] ;
wire \tile_x12y12_e2beg[6] ;
wire \tile_x12y12_e2beg[7] ;
wire \tile_x12y12_e2begb[0] ;
wire \tile_x12y12_e2begb[1] ;
wire \tile_x12y12_e2begb[2] ;
wire \tile_x12y12_e2begb[3] ;
wire \tile_x12y12_e2begb[4] ;
wire \tile_x12y12_e2begb[5] ;
wire \tile_x12y12_e2begb[6] ;
wire \tile_x12y12_e2begb[7] ;
wire \tile_x12y12_e6beg[0] ;
wire \tile_x12y12_e6beg[10] ;
wire \tile_x12y12_e6beg[11] ;
wire \tile_x12y12_e6beg[1] ;
wire \tile_x12y12_e6beg[2] ;
wire \tile_x12y12_e6beg[3] ;
wire \tile_x12y12_e6beg[4] ;
wire \tile_x12y12_e6beg[5] ;
wire \tile_x12y12_e6beg[6] ;
wire \tile_x12y12_e6beg[7] ;
wire \tile_x12y12_e6beg[8] ;
wire \tile_x12y12_e6beg[9] ;
wire \tile_x12y12_ee4beg[0] ;
wire \tile_x12y12_ee4beg[10] ;
wire \tile_x12y12_ee4beg[11] ;
wire \tile_x12y12_ee4beg[12] ;
wire \tile_x12y12_ee4beg[13] ;
wire \tile_x12y12_ee4beg[14] ;
wire \tile_x12y12_ee4beg[15] ;
wire \tile_x12y12_ee4beg[1] ;
wire \tile_x12y12_ee4beg[2] ;
wire \tile_x12y12_ee4beg[3] ;
wire \tile_x12y12_ee4beg[4] ;
wire \tile_x12y12_ee4beg[5] ;
wire \tile_x12y12_ee4beg[6] ;
wire \tile_x12y12_ee4beg[7] ;
wire \tile_x12y12_ee4beg[8] ;
wire \tile_x12y12_ee4beg[9] ;
wire \tile_x12y12_framedata_o[0] ;
wire \tile_x12y12_framedata_o[10] ;
wire \tile_x12y12_framedata_o[11] ;
wire \tile_x12y12_framedata_o[12] ;
wire \tile_x12y12_framedata_o[13] ;
wire \tile_x12y12_framedata_o[14] ;
wire \tile_x12y12_framedata_o[15] ;
wire \tile_x12y12_framedata_o[16] ;
wire \tile_x12y12_framedata_o[17] ;
wire \tile_x12y12_framedata_o[18] ;
wire \tile_x12y12_framedata_o[19] ;
wire \tile_x12y12_framedata_o[1] ;
wire \tile_x12y12_framedata_o[20] ;
wire \tile_x12y12_framedata_o[21] ;
wire \tile_x12y12_framedata_o[22] ;
wire \tile_x12y12_framedata_o[23] ;
wire \tile_x12y12_framedata_o[24] ;
wire \tile_x12y12_framedata_o[25] ;
wire \tile_x12y12_framedata_o[26] ;
wire \tile_x12y12_framedata_o[27] ;
wire \tile_x12y12_framedata_o[28] ;
wire \tile_x12y12_framedata_o[29] ;
wire \tile_x12y12_framedata_o[2] ;
wire \tile_x12y12_framedata_o[30] ;
wire \tile_x12y12_framedata_o[31] ;
wire \tile_x12y12_framedata_o[3] ;
wire \tile_x12y12_framedata_o[4] ;
wire \tile_x12y12_framedata_o[5] ;
wire \tile_x12y12_framedata_o[6] ;
wire \tile_x12y12_framedata_o[7] ;
wire \tile_x12y12_framedata_o[8] ;
wire \tile_x12y12_framedata_o[9] ;
wire \tile_x12y12_framestrobe_o[0] ;
wire \tile_x12y12_framestrobe_o[10] ;
wire \tile_x12y12_framestrobe_o[11] ;
wire \tile_x12y12_framestrobe_o[12] ;
wire \tile_x12y12_framestrobe_o[13] ;
wire \tile_x12y12_framestrobe_o[14] ;
wire \tile_x12y12_framestrobe_o[15] ;
wire \tile_x12y12_framestrobe_o[16] ;
wire \tile_x12y12_framestrobe_o[17] ;
wire \tile_x12y12_framestrobe_o[18] ;
wire \tile_x12y12_framestrobe_o[19] ;
wire \tile_x12y12_framestrobe_o[1] ;
wire \tile_x12y12_framestrobe_o[2] ;
wire \tile_x12y12_framestrobe_o[3] ;
wire \tile_x12y12_framestrobe_o[4] ;
wire \tile_x12y12_framestrobe_o[5] ;
wire \tile_x12y12_framestrobe_o[6] ;
wire \tile_x12y12_framestrobe_o[7] ;
wire \tile_x12y12_framestrobe_o[8] ;
wire \tile_x12y12_framestrobe_o[9] ;
wire \tile_x12y12_n1beg[0] ;
wire \tile_x12y12_n1beg[1] ;
wire \tile_x12y12_n1beg[2] ;
wire \tile_x12y12_n1beg[3] ;
wire \tile_x12y12_n2beg[0] ;
wire \tile_x12y12_n2beg[1] ;
wire \tile_x12y12_n2beg[2] ;
wire \tile_x12y12_n2beg[3] ;
wire \tile_x12y12_n2beg[4] ;
wire \tile_x12y12_n2beg[5] ;
wire \tile_x12y12_n2beg[6] ;
wire \tile_x12y12_n2beg[7] ;
wire \tile_x12y12_n2begb[0] ;
wire \tile_x12y12_n2begb[1] ;
wire \tile_x12y12_n2begb[2] ;
wire \tile_x12y12_n2begb[3] ;
wire \tile_x12y12_n2begb[4] ;
wire \tile_x12y12_n2begb[5] ;
wire \tile_x12y12_n2begb[6] ;
wire \tile_x12y12_n2begb[7] ;
wire \tile_x12y12_n4beg[0] ;
wire \tile_x12y12_n4beg[10] ;
wire \tile_x12y12_n4beg[11] ;
wire \tile_x12y12_n4beg[12] ;
wire \tile_x12y12_n4beg[13] ;
wire \tile_x12y12_n4beg[14] ;
wire \tile_x12y12_n4beg[15] ;
wire \tile_x12y12_n4beg[1] ;
wire \tile_x12y12_n4beg[2] ;
wire \tile_x12y12_n4beg[3] ;
wire \tile_x12y12_n4beg[4] ;
wire \tile_x12y12_n4beg[5] ;
wire \tile_x12y12_n4beg[6] ;
wire \tile_x12y12_n4beg[7] ;
wire \tile_x12y12_n4beg[8] ;
wire \tile_x12y12_n4beg[9] ;
wire \tile_x12y12_nn4beg[0] ;
wire \tile_x12y12_nn4beg[10] ;
wire \tile_x12y12_nn4beg[11] ;
wire \tile_x12y12_nn4beg[12] ;
wire \tile_x12y12_nn4beg[13] ;
wire \tile_x12y12_nn4beg[14] ;
wire \tile_x12y12_nn4beg[15] ;
wire \tile_x12y12_nn4beg[1] ;
wire \tile_x12y12_nn4beg[2] ;
wire \tile_x12y12_nn4beg[3] ;
wire \tile_x12y12_nn4beg[4] ;
wire \tile_x12y12_nn4beg[5] ;
wire \tile_x12y12_nn4beg[6] ;
wire \tile_x12y12_nn4beg[7] ;
wire \tile_x12y12_nn4beg[8] ;
wire \tile_x12y12_nn4beg[9] ;
wire \tile_x12y12_s1beg[0] ;
wire \tile_x12y12_s1beg[1] ;
wire \tile_x12y12_s1beg[2] ;
wire \tile_x12y12_s1beg[3] ;
wire \tile_x12y12_s2beg[0] ;
wire \tile_x12y12_s2beg[1] ;
wire \tile_x12y12_s2beg[2] ;
wire \tile_x12y12_s2beg[3] ;
wire \tile_x12y12_s2beg[4] ;
wire \tile_x12y12_s2beg[5] ;
wire \tile_x12y12_s2beg[6] ;
wire \tile_x12y12_s2beg[7] ;
wire \tile_x12y12_s2begb[0] ;
wire \tile_x12y12_s2begb[1] ;
wire \tile_x12y12_s2begb[2] ;
wire \tile_x12y12_s2begb[3] ;
wire \tile_x12y12_s2begb[4] ;
wire \tile_x12y12_s2begb[5] ;
wire \tile_x12y12_s2begb[6] ;
wire \tile_x12y12_s2begb[7] ;
wire \tile_x12y12_s4beg[0] ;
wire \tile_x12y12_s4beg[10] ;
wire \tile_x12y12_s4beg[11] ;
wire \tile_x12y12_s4beg[12] ;
wire \tile_x12y12_s4beg[13] ;
wire \tile_x12y12_s4beg[14] ;
wire \tile_x12y12_s4beg[15] ;
wire \tile_x12y12_s4beg[1] ;
wire \tile_x12y12_s4beg[2] ;
wire \tile_x12y12_s4beg[3] ;
wire \tile_x12y12_s4beg[4] ;
wire \tile_x12y12_s4beg[5] ;
wire \tile_x12y12_s4beg[6] ;
wire \tile_x12y12_s4beg[7] ;
wire \tile_x12y12_s4beg[8] ;
wire \tile_x12y12_s4beg[9] ;
wire \tile_x12y12_ss4beg[0] ;
wire \tile_x12y12_ss4beg[10] ;
wire \tile_x12y12_ss4beg[11] ;
wire \tile_x12y12_ss4beg[12] ;
wire \tile_x12y12_ss4beg[13] ;
wire \tile_x12y12_ss4beg[14] ;
wire \tile_x12y12_ss4beg[15] ;
wire \tile_x12y12_ss4beg[1] ;
wire \tile_x12y12_ss4beg[2] ;
wire \tile_x12y12_ss4beg[3] ;
wire \tile_x12y12_ss4beg[4] ;
wire \tile_x12y12_ss4beg[5] ;
wire \tile_x12y12_ss4beg[6] ;
wire \tile_x12y12_ss4beg[7] ;
wire \tile_x12y12_ss4beg[8] ;
wire \tile_x12y12_ss4beg[9] ;
wire tile_x12y12_userclko;
wire \tile_x12y12_w1beg[0] ;
wire \tile_x12y12_w1beg[1] ;
wire \tile_x12y12_w1beg[2] ;
wire \tile_x12y12_w1beg[3] ;
wire \tile_x12y12_w2beg[0] ;
wire \tile_x12y12_w2beg[1] ;
wire \tile_x12y12_w2beg[2] ;
wire \tile_x12y12_w2beg[3] ;
wire \tile_x12y12_w2beg[4] ;
wire \tile_x12y12_w2beg[5] ;
wire \tile_x12y12_w2beg[6] ;
wire \tile_x12y12_w2beg[7] ;
wire \tile_x12y12_w2begb[0] ;
wire \tile_x12y12_w2begb[1] ;
wire \tile_x12y12_w2begb[2] ;
wire \tile_x12y12_w2begb[3] ;
wire \tile_x12y12_w2begb[4] ;
wire \tile_x12y12_w2begb[5] ;
wire \tile_x12y12_w2begb[6] ;
wire \tile_x12y12_w2begb[7] ;
wire \tile_x12y12_w6beg[0] ;
wire \tile_x12y12_w6beg[10] ;
wire \tile_x12y12_w6beg[11] ;
wire \tile_x12y12_w6beg[1] ;
wire \tile_x12y12_w6beg[2] ;
wire \tile_x12y12_w6beg[3] ;
wire \tile_x12y12_w6beg[4] ;
wire \tile_x12y12_w6beg[5] ;
wire \tile_x12y12_w6beg[6] ;
wire \tile_x12y12_w6beg[7] ;
wire \tile_x12y12_w6beg[8] ;
wire \tile_x12y12_w6beg[9] ;
wire \tile_x12y12_ww4beg[0] ;
wire \tile_x12y12_ww4beg[10] ;
wire \tile_x12y12_ww4beg[11] ;
wire \tile_x12y12_ww4beg[12] ;
wire \tile_x12y12_ww4beg[13] ;
wire \tile_x12y12_ww4beg[14] ;
wire \tile_x12y12_ww4beg[15] ;
wire \tile_x12y12_ww4beg[1] ;
wire \tile_x12y12_ww4beg[2] ;
wire \tile_x12y12_ww4beg[3] ;
wire \tile_x12y12_ww4beg[4] ;
wire \tile_x12y12_ww4beg[5] ;
wire \tile_x12y12_ww4beg[6] ;
wire \tile_x12y12_ww4beg[7] ;
wire \tile_x12y12_ww4beg[8] ;
wire \tile_x12y12_ww4beg[9] ;
wire \tile_x12y13_e1beg[0] ;
wire \tile_x12y13_e1beg[1] ;
wire \tile_x12y13_e1beg[2] ;
wire \tile_x12y13_e1beg[3] ;
wire \tile_x12y13_e2beg[0] ;
wire \tile_x12y13_e2beg[1] ;
wire \tile_x12y13_e2beg[2] ;
wire \tile_x12y13_e2beg[3] ;
wire \tile_x12y13_e2beg[4] ;
wire \tile_x12y13_e2beg[5] ;
wire \tile_x12y13_e2beg[6] ;
wire \tile_x12y13_e2beg[7] ;
wire \tile_x12y13_e2begb[0] ;
wire \tile_x12y13_e2begb[1] ;
wire \tile_x12y13_e2begb[2] ;
wire \tile_x12y13_e2begb[3] ;
wire \tile_x12y13_e2begb[4] ;
wire \tile_x12y13_e2begb[5] ;
wire \tile_x12y13_e2begb[6] ;
wire \tile_x12y13_e2begb[7] ;
wire \tile_x12y13_e6beg[0] ;
wire \tile_x12y13_e6beg[10] ;
wire \tile_x12y13_e6beg[11] ;
wire \tile_x12y13_e6beg[1] ;
wire \tile_x12y13_e6beg[2] ;
wire \tile_x12y13_e6beg[3] ;
wire \tile_x12y13_e6beg[4] ;
wire \tile_x12y13_e6beg[5] ;
wire \tile_x12y13_e6beg[6] ;
wire \tile_x12y13_e6beg[7] ;
wire \tile_x12y13_e6beg[8] ;
wire \tile_x12y13_e6beg[9] ;
wire \tile_x12y13_ee4beg[0] ;
wire \tile_x12y13_ee4beg[10] ;
wire \tile_x12y13_ee4beg[11] ;
wire \tile_x12y13_ee4beg[12] ;
wire \tile_x12y13_ee4beg[13] ;
wire \tile_x12y13_ee4beg[14] ;
wire \tile_x12y13_ee4beg[15] ;
wire \tile_x12y13_ee4beg[1] ;
wire \tile_x12y13_ee4beg[2] ;
wire \tile_x12y13_ee4beg[3] ;
wire \tile_x12y13_ee4beg[4] ;
wire \tile_x12y13_ee4beg[5] ;
wire \tile_x12y13_ee4beg[6] ;
wire \tile_x12y13_ee4beg[7] ;
wire \tile_x12y13_ee4beg[8] ;
wire \tile_x12y13_ee4beg[9] ;
wire \tile_x12y13_framedata_o[0] ;
wire \tile_x12y13_framedata_o[10] ;
wire \tile_x12y13_framedata_o[11] ;
wire \tile_x12y13_framedata_o[12] ;
wire \tile_x12y13_framedata_o[13] ;
wire \tile_x12y13_framedata_o[14] ;
wire \tile_x12y13_framedata_o[15] ;
wire \tile_x12y13_framedata_o[16] ;
wire \tile_x12y13_framedata_o[17] ;
wire \tile_x12y13_framedata_o[18] ;
wire \tile_x12y13_framedata_o[19] ;
wire \tile_x12y13_framedata_o[1] ;
wire \tile_x12y13_framedata_o[20] ;
wire \tile_x12y13_framedata_o[21] ;
wire \tile_x12y13_framedata_o[22] ;
wire \tile_x12y13_framedata_o[23] ;
wire \tile_x12y13_framedata_o[24] ;
wire \tile_x12y13_framedata_o[25] ;
wire \tile_x12y13_framedata_o[26] ;
wire \tile_x12y13_framedata_o[27] ;
wire \tile_x12y13_framedata_o[28] ;
wire \tile_x12y13_framedata_o[29] ;
wire \tile_x12y13_framedata_o[2] ;
wire \tile_x12y13_framedata_o[30] ;
wire \tile_x12y13_framedata_o[31] ;
wire \tile_x12y13_framedata_o[3] ;
wire \tile_x12y13_framedata_o[4] ;
wire \tile_x12y13_framedata_o[5] ;
wire \tile_x12y13_framedata_o[6] ;
wire \tile_x12y13_framedata_o[7] ;
wire \tile_x12y13_framedata_o[8] ;
wire \tile_x12y13_framedata_o[9] ;
wire \tile_x12y13_framestrobe_o[0] ;
wire \tile_x12y13_framestrobe_o[10] ;
wire \tile_x12y13_framestrobe_o[11] ;
wire \tile_x12y13_framestrobe_o[12] ;
wire \tile_x12y13_framestrobe_o[13] ;
wire \tile_x12y13_framestrobe_o[14] ;
wire \tile_x12y13_framestrobe_o[15] ;
wire \tile_x12y13_framestrobe_o[16] ;
wire \tile_x12y13_framestrobe_o[17] ;
wire \tile_x12y13_framestrobe_o[18] ;
wire \tile_x12y13_framestrobe_o[19] ;
wire \tile_x12y13_framestrobe_o[1] ;
wire \tile_x12y13_framestrobe_o[2] ;
wire \tile_x12y13_framestrobe_o[3] ;
wire \tile_x12y13_framestrobe_o[4] ;
wire \tile_x12y13_framestrobe_o[5] ;
wire \tile_x12y13_framestrobe_o[6] ;
wire \tile_x12y13_framestrobe_o[7] ;
wire \tile_x12y13_framestrobe_o[8] ;
wire \tile_x12y13_framestrobe_o[9] ;
wire \tile_x12y13_n1beg[0] ;
wire \tile_x12y13_n1beg[1] ;
wire \tile_x12y13_n1beg[2] ;
wire \tile_x12y13_n1beg[3] ;
wire \tile_x12y13_n2beg[0] ;
wire \tile_x12y13_n2beg[1] ;
wire \tile_x12y13_n2beg[2] ;
wire \tile_x12y13_n2beg[3] ;
wire \tile_x12y13_n2beg[4] ;
wire \tile_x12y13_n2beg[5] ;
wire \tile_x12y13_n2beg[6] ;
wire \tile_x12y13_n2beg[7] ;
wire \tile_x12y13_n2begb[0] ;
wire \tile_x12y13_n2begb[1] ;
wire \tile_x12y13_n2begb[2] ;
wire \tile_x12y13_n2begb[3] ;
wire \tile_x12y13_n2begb[4] ;
wire \tile_x12y13_n2begb[5] ;
wire \tile_x12y13_n2begb[6] ;
wire \tile_x12y13_n2begb[7] ;
wire \tile_x12y13_n4beg[0] ;
wire \tile_x12y13_n4beg[10] ;
wire \tile_x12y13_n4beg[11] ;
wire \tile_x12y13_n4beg[12] ;
wire \tile_x12y13_n4beg[13] ;
wire \tile_x12y13_n4beg[14] ;
wire \tile_x12y13_n4beg[15] ;
wire \tile_x12y13_n4beg[1] ;
wire \tile_x12y13_n4beg[2] ;
wire \tile_x12y13_n4beg[3] ;
wire \tile_x12y13_n4beg[4] ;
wire \tile_x12y13_n4beg[5] ;
wire \tile_x12y13_n4beg[6] ;
wire \tile_x12y13_n4beg[7] ;
wire \tile_x12y13_n4beg[8] ;
wire \tile_x12y13_n4beg[9] ;
wire \tile_x12y13_nn4beg[0] ;
wire \tile_x12y13_nn4beg[10] ;
wire \tile_x12y13_nn4beg[11] ;
wire \tile_x12y13_nn4beg[12] ;
wire \tile_x12y13_nn4beg[13] ;
wire \tile_x12y13_nn4beg[14] ;
wire \tile_x12y13_nn4beg[15] ;
wire \tile_x12y13_nn4beg[1] ;
wire \tile_x12y13_nn4beg[2] ;
wire \tile_x12y13_nn4beg[3] ;
wire \tile_x12y13_nn4beg[4] ;
wire \tile_x12y13_nn4beg[5] ;
wire \tile_x12y13_nn4beg[6] ;
wire \tile_x12y13_nn4beg[7] ;
wire \tile_x12y13_nn4beg[8] ;
wire \tile_x12y13_nn4beg[9] ;
wire \tile_x12y13_s1beg[0] ;
wire \tile_x12y13_s1beg[1] ;
wire \tile_x12y13_s1beg[2] ;
wire \tile_x12y13_s1beg[3] ;
wire \tile_x12y13_s2beg[0] ;
wire \tile_x12y13_s2beg[1] ;
wire \tile_x12y13_s2beg[2] ;
wire \tile_x12y13_s2beg[3] ;
wire \tile_x12y13_s2beg[4] ;
wire \tile_x12y13_s2beg[5] ;
wire \tile_x12y13_s2beg[6] ;
wire \tile_x12y13_s2beg[7] ;
wire \tile_x12y13_s2begb[0] ;
wire \tile_x12y13_s2begb[1] ;
wire \tile_x12y13_s2begb[2] ;
wire \tile_x12y13_s2begb[3] ;
wire \tile_x12y13_s2begb[4] ;
wire \tile_x12y13_s2begb[5] ;
wire \tile_x12y13_s2begb[6] ;
wire \tile_x12y13_s2begb[7] ;
wire \tile_x12y13_s4beg[0] ;
wire \tile_x12y13_s4beg[10] ;
wire \tile_x12y13_s4beg[11] ;
wire \tile_x12y13_s4beg[12] ;
wire \tile_x12y13_s4beg[13] ;
wire \tile_x12y13_s4beg[14] ;
wire \tile_x12y13_s4beg[15] ;
wire \tile_x12y13_s4beg[1] ;
wire \tile_x12y13_s4beg[2] ;
wire \tile_x12y13_s4beg[3] ;
wire \tile_x12y13_s4beg[4] ;
wire \tile_x12y13_s4beg[5] ;
wire \tile_x12y13_s4beg[6] ;
wire \tile_x12y13_s4beg[7] ;
wire \tile_x12y13_s4beg[8] ;
wire \tile_x12y13_s4beg[9] ;
wire \tile_x12y13_ss4beg[0] ;
wire \tile_x12y13_ss4beg[10] ;
wire \tile_x12y13_ss4beg[11] ;
wire \tile_x12y13_ss4beg[12] ;
wire \tile_x12y13_ss4beg[13] ;
wire \tile_x12y13_ss4beg[14] ;
wire \tile_x12y13_ss4beg[15] ;
wire \tile_x12y13_ss4beg[1] ;
wire \tile_x12y13_ss4beg[2] ;
wire \tile_x12y13_ss4beg[3] ;
wire \tile_x12y13_ss4beg[4] ;
wire \tile_x12y13_ss4beg[5] ;
wire \tile_x12y13_ss4beg[6] ;
wire \tile_x12y13_ss4beg[7] ;
wire \tile_x12y13_ss4beg[8] ;
wire \tile_x12y13_ss4beg[9] ;
wire tile_x12y13_userclko;
wire \tile_x12y13_w1beg[0] ;
wire \tile_x12y13_w1beg[1] ;
wire \tile_x12y13_w1beg[2] ;
wire \tile_x12y13_w1beg[3] ;
wire \tile_x12y13_w2beg[0] ;
wire \tile_x12y13_w2beg[1] ;
wire \tile_x12y13_w2beg[2] ;
wire \tile_x12y13_w2beg[3] ;
wire \tile_x12y13_w2beg[4] ;
wire \tile_x12y13_w2beg[5] ;
wire \tile_x12y13_w2beg[6] ;
wire \tile_x12y13_w2beg[7] ;
wire \tile_x12y13_w2begb[0] ;
wire \tile_x12y13_w2begb[1] ;
wire \tile_x12y13_w2begb[2] ;
wire \tile_x12y13_w2begb[3] ;
wire \tile_x12y13_w2begb[4] ;
wire \tile_x12y13_w2begb[5] ;
wire \tile_x12y13_w2begb[6] ;
wire \tile_x12y13_w2begb[7] ;
wire \tile_x12y13_w6beg[0] ;
wire \tile_x12y13_w6beg[10] ;
wire \tile_x12y13_w6beg[11] ;
wire \tile_x12y13_w6beg[1] ;
wire \tile_x12y13_w6beg[2] ;
wire \tile_x12y13_w6beg[3] ;
wire \tile_x12y13_w6beg[4] ;
wire \tile_x12y13_w6beg[5] ;
wire \tile_x12y13_w6beg[6] ;
wire \tile_x12y13_w6beg[7] ;
wire \tile_x12y13_w6beg[8] ;
wire \tile_x12y13_w6beg[9] ;
wire \tile_x12y13_ww4beg[0] ;
wire \tile_x12y13_ww4beg[10] ;
wire \tile_x12y13_ww4beg[11] ;
wire \tile_x12y13_ww4beg[12] ;
wire \tile_x12y13_ww4beg[13] ;
wire \tile_x12y13_ww4beg[14] ;
wire \tile_x12y13_ww4beg[15] ;
wire \tile_x12y13_ww4beg[1] ;
wire \tile_x12y13_ww4beg[2] ;
wire \tile_x12y13_ww4beg[3] ;
wire \tile_x12y13_ww4beg[4] ;
wire \tile_x12y13_ww4beg[5] ;
wire \tile_x12y13_ww4beg[6] ;
wire \tile_x12y13_ww4beg[7] ;
wire \tile_x12y13_ww4beg[8] ;
wire \tile_x12y13_ww4beg[9] ;
wire \tile_x12y14_e1beg[0] ;
wire \tile_x12y14_e1beg[1] ;
wire \tile_x12y14_e1beg[2] ;
wire \tile_x12y14_e1beg[3] ;
wire \tile_x12y14_e2beg[0] ;
wire \tile_x12y14_e2beg[1] ;
wire \tile_x12y14_e2beg[2] ;
wire \tile_x12y14_e2beg[3] ;
wire \tile_x12y14_e2beg[4] ;
wire \tile_x12y14_e2beg[5] ;
wire \tile_x12y14_e2beg[6] ;
wire \tile_x12y14_e2beg[7] ;
wire \tile_x12y14_e2begb[0] ;
wire \tile_x12y14_e2begb[1] ;
wire \tile_x12y14_e2begb[2] ;
wire \tile_x12y14_e2begb[3] ;
wire \tile_x12y14_e2begb[4] ;
wire \tile_x12y14_e2begb[5] ;
wire \tile_x12y14_e2begb[6] ;
wire \tile_x12y14_e2begb[7] ;
wire \tile_x12y14_e6beg[0] ;
wire \tile_x12y14_e6beg[10] ;
wire \tile_x12y14_e6beg[11] ;
wire \tile_x12y14_e6beg[1] ;
wire \tile_x12y14_e6beg[2] ;
wire \tile_x12y14_e6beg[3] ;
wire \tile_x12y14_e6beg[4] ;
wire \tile_x12y14_e6beg[5] ;
wire \tile_x12y14_e6beg[6] ;
wire \tile_x12y14_e6beg[7] ;
wire \tile_x12y14_e6beg[8] ;
wire \tile_x12y14_e6beg[9] ;
wire \tile_x12y14_ee4beg[0] ;
wire \tile_x12y14_ee4beg[10] ;
wire \tile_x12y14_ee4beg[11] ;
wire \tile_x12y14_ee4beg[12] ;
wire \tile_x12y14_ee4beg[13] ;
wire \tile_x12y14_ee4beg[14] ;
wire \tile_x12y14_ee4beg[15] ;
wire \tile_x12y14_ee4beg[1] ;
wire \tile_x12y14_ee4beg[2] ;
wire \tile_x12y14_ee4beg[3] ;
wire \tile_x12y14_ee4beg[4] ;
wire \tile_x12y14_ee4beg[5] ;
wire \tile_x12y14_ee4beg[6] ;
wire \tile_x12y14_ee4beg[7] ;
wire \tile_x12y14_ee4beg[8] ;
wire \tile_x12y14_ee4beg[9] ;
wire \tile_x12y14_framedata_o[0] ;
wire \tile_x12y14_framedata_o[10] ;
wire \tile_x12y14_framedata_o[11] ;
wire \tile_x12y14_framedata_o[12] ;
wire \tile_x12y14_framedata_o[13] ;
wire \tile_x12y14_framedata_o[14] ;
wire \tile_x12y14_framedata_o[15] ;
wire \tile_x12y14_framedata_o[16] ;
wire \tile_x12y14_framedata_o[17] ;
wire \tile_x12y14_framedata_o[18] ;
wire \tile_x12y14_framedata_o[19] ;
wire \tile_x12y14_framedata_o[1] ;
wire \tile_x12y14_framedata_o[20] ;
wire \tile_x12y14_framedata_o[21] ;
wire \tile_x12y14_framedata_o[22] ;
wire \tile_x12y14_framedata_o[23] ;
wire \tile_x12y14_framedata_o[24] ;
wire \tile_x12y14_framedata_o[25] ;
wire \tile_x12y14_framedata_o[26] ;
wire \tile_x12y14_framedata_o[27] ;
wire \tile_x12y14_framedata_o[28] ;
wire \tile_x12y14_framedata_o[29] ;
wire \tile_x12y14_framedata_o[2] ;
wire \tile_x12y14_framedata_o[30] ;
wire \tile_x12y14_framedata_o[31] ;
wire \tile_x12y14_framedata_o[3] ;
wire \tile_x12y14_framedata_o[4] ;
wire \tile_x12y14_framedata_o[5] ;
wire \tile_x12y14_framedata_o[6] ;
wire \tile_x12y14_framedata_o[7] ;
wire \tile_x12y14_framedata_o[8] ;
wire \tile_x12y14_framedata_o[9] ;
wire \tile_x12y14_framestrobe_o[0] ;
wire \tile_x12y14_framestrobe_o[10] ;
wire \tile_x12y14_framestrobe_o[11] ;
wire \tile_x12y14_framestrobe_o[12] ;
wire \tile_x12y14_framestrobe_o[13] ;
wire \tile_x12y14_framestrobe_o[14] ;
wire \tile_x12y14_framestrobe_o[15] ;
wire \tile_x12y14_framestrobe_o[16] ;
wire \tile_x12y14_framestrobe_o[17] ;
wire \tile_x12y14_framestrobe_o[18] ;
wire \tile_x12y14_framestrobe_o[19] ;
wire \tile_x12y14_framestrobe_o[1] ;
wire \tile_x12y14_framestrobe_o[2] ;
wire \tile_x12y14_framestrobe_o[3] ;
wire \tile_x12y14_framestrobe_o[4] ;
wire \tile_x12y14_framestrobe_o[5] ;
wire \tile_x12y14_framestrobe_o[6] ;
wire \tile_x12y14_framestrobe_o[7] ;
wire \tile_x12y14_framestrobe_o[8] ;
wire \tile_x12y14_framestrobe_o[9] ;
wire \tile_x12y14_n1beg[0] ;
wire \tile_x12y14_n1beg[1] ;
wire \tile_x12y14_n1beg[2] ;
wire \tile_x12y14_n1beg[3] ;
wire \tile_x12y14_n2beg[0] ;
wire \tile_x12y14_n2beg[1] ;
wire \tile_x12y14_n2beg[2] ;
wire \tile_x12y14_n2beg[3] ;
wire \tile_x12y14_n2beg[4] ;
wire \tile_x12y14_n2beg[5] ;
wire \tile_x12y14_n2beg[6] ;
wire \tile_x12y14_n2beg[7] ;
wire \tile_x12y14_n2begb[0] ;
wire \tile_x12y14_n2begb[1] ;
wire \tile_x12y14_n2begb[2] ;
wire \tile_x12y14_n2begb[3] ;
wire \tile_x12y14_n2begb[4] ;
wire \tile_x12y14_n2begb[5] ;
wire \tile_x12y14_n2begb[6] ;
wire \tile_x12y14_n2begb[7] ;
wire \tile_x12y14_n4beg[0] ;
wire \tile_x12y14_n4beg[10] ;
wire \tile_x12y14_n4beg[11] ;
wire \tile_x12y14_n4beg[12] ;
wire \tile_x12y14_n4beg[13] ;
wire \tile_x12y14_n4beg[14] ;
wire \tile_x12y14_n4beg[15] ;
wire \tile_x12y14_n4beg[1] ;
wire \tile_x12y14_n4beg[2] ;
wire \tile_x12y14_n4beg[3] ;
wire \tile_x12y14_n4beg[4] ;
wire \tile_x12y14_n4beg[5] ;
wire \tile_x12y14_n4beg[6] ;
wire \tile_x12y14_n4beg[7] ;
wire \tile_x12y14_n4beg[8] ;
wire \tile_x12y14_n4beg[9] ;
wire \tile_x12y14_nn4beg[0] ;
wire \tile_x12y14_nn4beg[10] ;
wire \tile_x12y14_nn4beg[11] ;
wire \tile_x12y14_nn4beg[12] ;
wire \tile_x12y14_nn4beg[13] ;
wire \tile_x12y14_nn4beg[14] ;
wire \tile_x12y14_nn4beg[15] ;
wire \tile_x12y14_nn4beg[1] ;
wire \tile_x12y14_nn4beg[2] ;
wire \tile_x12y14_nn4beg[3] ;
wire \tile_x12y14_nn4beg[4] ;
wire \tile_x12y14_nn4beg[5] ;
wire \tile_x12y14_nn4beg[6] ;
wire \tile_x12y14_nn4beg[7] ;
wire \tile_x12y14_nn4beg[8] ;
wire \tile_x12y14_nn4beg[9] ;
wire \tile_x12y14_s1beg[0] ;
wire \tile_x12y14_s1beg[1] ;
wire \tile_x12y14_s1beg[2] ;
wire \tile_x12y14_s1beg[3] ;
wire \tile_x12y14_s2beg[0] ;
wire \tile_x12y14_s2beg[1] ;
wire \tile_x12y14_s2beg[2] ;
wire \tile_x12y14_s2beg[3] ;
wire \tile_x12y14_s2beg[4] ;
wire \tile_x12y14_s2beg[5] ;
wire \tile_x12y14_s2beg[6] ;
wire \tile_x12y14_s2beg[7] ;
wire \tile_x12y14_s2begb[0] ;
wire \tile_x12y14_s2begb[1] ;
wire \tile_x12y14_s2begb[2] ;
wire \tile_x12y14_s2begb[3] ;
wire \tile_x12y14_s2begb[4] ;
wire \tile_x12y14_s2begb[5] ;
wire \tile_x12y14_s2begb[6] ;
wire \tile_x12y14_s2begb[7] ;
wire \tile_x12y14_s4beg[0] ;
wire \tile_x12y14_s4beg[10] ;
wire \tile_x12y14_s4beg[11] ;
wire \tile_x12y14_s4beg[12] ;
wire \tile_x12y14_s4beg[13] ;
wire \tile_x12y14_s4beg[14] ;
wire \tile_x12y14_s4beg[15] ;
wire \tile_x12y14_s4beg[1] ;
wire \tile_x12y14_s4beg[2] ;
wire \tile_x12y14_s4beg[3] ;
wire \tile_x12y14_s4beg[4] ;
wire \tile_x12y14_s4beg[5] ;
wire \tile_x12y14_s4beg[6] ;
wire \tile_x12y14_s4beg[7] ;
wire \tile_x12y14_s4beg[8] ;
wire \tile_x12y14_s4beg[9] ;
wire \tile_x12y14_ss4beg[0] ;
wire \tile_x12y14_ss4beg[10] ;
wire \tile_x12y14_ss4beg[11] ;
wire \tile_x12y14_ss4beg[12] ;
wire \tile_x12y14_ss4beg[13] ;
wire \tile_x12y14_ss4beg[14] ;
wire \tile_x12y14_ss4beg[15] ;
wire \tile_x12y14_ss4beg[1] ;
wire \tile_x12y14_ss4beg[2] ;
wire \tile_x12y14_ss4beg[3] ;
wire \tile_x12y14_ss4beg[4] ;
wire \tile_x12y14_ss4beg[5] ;
wire \tile_x12y14_ss4beg[6] ;
wire \tile_x12y14_ss4beg[7] ;
wire \tile_x12y14_ss4beg[8] ;
wire \tile_x12y14_ss4beg[9] ;
wire tile_x12y14_userclko;
wire \tile_x12y14_w1beg[0] ;
wire \tile_x12y14_w1beg[1] ;
wire \tile_x12y14_w1beg[2] ;
wire \tile_x12y14_w1beg[3] ;
wire \tile_x12y14_w2beg[0] ;
wire \tile_x12y14_w2beg[1] ;
wire \tile_x12y14_w2beg[2] ;
wire \tile_x12y14_w2beg[3] ;
wire \tile_x12y14_w2beg[4] ;
wire \tile_x12y14_w2beg[5] ;
wire \tile_x12y14_w2beg[6] ;
wire \tile_x12y14_w2beg[7] ;
wire \tile_x12y14_w2begb[0] ;
wire \tile_x12y14_w2begb[1] ;
wire \tile_x12y14_w2begb[2] ;
wire \tile_x12y14_w2begb[3] ;
wire \tile_x12y14_w2begb[4] ;
wire \tile_x12y14_w2begb[5] ;
wire \tile_x12y14_w2begb[6] ;
wire \tile_x12y14_w2begb[7] ;
wire \tile_x12y14_w6beg[0] ;
wire \tile_x12y14_w6beg[10] ;
wire \tile_x12y14_w6beg[11] ;
wire \tile_x12y14_w6beg[1] ;
wire \tile_x12y14_w6beg[2] ;
wire \tile_x12y14_w6beg[3] ;
wire \tile_x12y14_w6beg[4] ;
wire \tile_x12y14_w6beg[5] ;
wire \tile_x12y14_w6beg[6] ;
wire \tile_x12y14_w6beg[7] ;
wire \tile_x12y14_w6beg[8] ;
wire \tile_x12y14_w6beg[9] ;
wire \tile_x12y14_ww4beg[0] ;
wire \tile_x12y14_ww4beg[10] ;
wire \tile_x12y14_ww4beg[11] ;
wire \tile_x12y14_ww4beg[12] ;
wire \tile_x12y14_ww4beg[13] ;
wire \tile_x12y14_ww4beg[14] ;
wire \tile_x12y14_ww4beg[15] ;
wire \tile_x12y14_ww4beg[1] ;
wire \tile_x12y14_ww4beg[2] ;
wire \tile_x12y14_ww4beg[3] ;
wire \tile_x12y14_ww4beg[4] ;
wire \tile_x12y14_ww4beg[5] ;
wire \tile_x12y14_ww4beg[6] ;
wire \tile_x12y14_ww4beg[7] ;
wire \tile_x12y14_ww4beg[8] ;
wire \tile_x12y14_ww4beg[9] ;
wire \tile_x12y15_framestrobe_o[0] ;
wire \tile_x12y15_framestrobe_o[10] ;
wire \tile_x12y15_framestrobe_o[11] ;
wire \tile_x12y15_framestrobe_o[12] ;
wire \tile_x12y15_framestrobe_o[13] ;
wire \tile_x12y15_framestrobe_o[14] ;
wire \tile_x12y15_framestrobe_o[15] ;
wire \tile_x12y15_framestrobe_o[16] ;
wire \tile_x12y15_framestrobe_o[17] ;
wire \tile_x12y15_framestrobe_o[18] ;
wire \tile_x12y15_framestrobe_o[19] ;
wire \tile_x12y15_framestrobe_o[1] ;
wire \tile_x12y15_framestrobe_o[2] ;
wire \tile_x12y15_framestrobe_o[3] ;
wire \tile_x12y15_framestrobe_o[4] ;
wire \tile_x12y15_framestrobe_o[5] ;
wire \tile_x12y15_framestrobe_o[6] ;
wire \tile_x12y15_framestrobe_o[7] ;
wire \tile_x12y15_framestrobe_o[8] ;
wire \tile_x12y15_framestrobe_o[9] ;
wire \tile_x12y15_n1beg[0] ;
wire \tile_x12y15_n1beg[1] ;
wire \tile_x12y15_n1beg[2] ;
wire \tile_x12y15_n1beg[3] ;
wire \tile_x12y15_n2beg[0] ;
wire \tile_x12y15_n2beg[1] ;
wire \tile_x12y15_n2beg[2] ;
wire \tile_x12y15_n2beg[3] ;
wire \tile_x12y15_n2beg[4] ;
wire \tile_x12y15_n2beg[5] ;
wire \tile_x12y15_n2beg[6] ;
wire \tile_x12y15_n2beg[7] ;
wire \tile_x12y15_n2begb[0] ;
wire \tile_x12y15_n2begb[1] ;
wire \tile_x12y15_n2begb[2] ;
wire \tile_x12y15_n2begb[3] ;
wire \tile_x12y15_n2begb[4] ;
wire \tile_x12y15_n2begb[5] ;
wire \tile_x12y15_n2begb[6] ;
wire \tile_x12y15_n2begb[7] ;
wire \tile_x12y15_n4beg[0] ;
wire \tile_x12y15_n4beg[10] ;
wire \tile_x12y15_n4beg[11] ;
wire \tile_x12y15_n4beg[12] ;
wire \tile_x12y15_n4beg[13] ;
wire \tile_x12y15_n4beg[14] ;
wire \tile_x12y15_n4beg[15] ;
wire \tile_x12y15_n4beg[1] ;
wire \tile_x12y15_n4beg[2] ;
wire \tile_x12y15_n4beg[3] ;
wire \tile_x12y15_n4beg[4] ;
wire \tile_x12y15_n4beg[5] ;
wire \tile_x12y15_n4beg[6] ;
wire \tile_x12y15_n4beg[7] ;
wire \tile_x12y15_n4beg[8] ;
wire \tile_x12y15_n4beg[9] ;
wire \tile_x12y15_nn4beg[0] ;
wire \tile_x12y15_nn4beg[10] ;
wire \tile_x12y15_nn4beg[11] ;
wire \tile_x12y15_nn4beg[12] ;
wire \tile_x12y15_nn4beg[13] ;
wire \tile_x12y15_nn4beg[14] ;
wire \tile_x12y15_nn4beg[15] ;
wire \tile_x12y15_nn4beg[1] ;
wire \tile_x12y15_nn4beg[2] ;
wire \tile_x12y15_nn4beg[3] ;
wire \tile_x12y15_nn4beg[4] ;
wire \tile_x12y15_nn4beg[5] ;
wire \tile_x12y15_nn4beg[6] ;
wire \tile_x12y15_nn4beg[7] ;
wire \tile_x12y15_nn4beg[8] ;
wire \tile_x12y15_nn4beg[9] ;
wire tile_x12y15_userclko;
wire \tile_x12y9_framestrobe_o[0] ;
wire \tile_x12y9_framestrobe_o[10] ;
wire \tile_x12y9_framestrobe_o[11] ;
wire \tile_x12y9_framestrobe_o[12] ;
wire \tile_x12y9_framestrobe_o[13] ;
wire \tile_x12y9_framestrobe_o[14] ;
wire \tile_x12y9_framestrobe_o[15] ;
wire \tile_x12y9_framestrobe_o[16] ;
wire \tile_x12y9_framestrobe_o[17] ;
wire \tile_x12y9_framestrobe_o[18] ;
wire \tile_x12y9_framestrobe_o[19] ;
wire \tile_x12y9_framestrobe_o[1] ;
wire \tile_x12y9_framestrobe_o[2] ;
wire \tile_x12y9_framestrobe_o[3] ;
wire \tile_x12y9_framestrobe_o[4] ;
wire \tile_x12y9_framestrobe_o[5] ;
wire \tile_x12y9_framestrobe_o[6] ;
wire \tile_x12y9_framestrobe_o[7] ;
wire \tile_x12y9_framestrobe_o[8] ;
wire \tile_x12y9_framestrobe_o[9] ;
wire \tile_x12y9_s1beg[0] ;
wire \tile_x12y9_s1beg[1] ;
wire \tile_x12y9_s1beg[2] ;
wire \tile_x12y9_s1beg[3] ;
wire \tile_x12y9_s2beg[0] ;
wire \tile_x12y9_s2beg[1] ;
wire \tile_x12y9_s2beg[2] ;
wire \tile_x12y9_s2beg[3] ;
wire \tile_x12y9_s2beg[4] ;
wire \tile_x12y9_s2beg[5] ;
wire \tile_x12y9_s2beg[6] ;
wire \tile_x12y9_s2beg[7] ;
wire \tile_x12y9_s2begb[0] ;
wire \tile_x12y9_s2begb[1] ;
wire \tile_x12y9_s2begb[2] ;
wire \tile_x12y9_s2begb[3] ;
wire \tile_x12y9_s2begb[4] ;
wire \tile_x12y9_s2begb[5] ;
wire \tile_x12y9_s2begb[6] ;
wire \tile_x12y9_s2begb[7] ;
wire \tile_x12y9_s4beg[0] ;
wire \tile_x12y9_s4beg[10] ;
wire \tile_x12y9_s4beg[11] ;
wire \tile_x12y9_s4beg[12] ;
wire \tile_x12y9_s4beg[13] ;
wire \tile_x12y9_s4beg[14] ;
wire \tile_x12y9_s4beg[15] ;
wire \tile_x12y9_s4beg[1] ;
wire \tile_x12y9_s4beg[2] ;
wire \tile_x12y9_s4beg[3] ;
wire \tile_x12y9_s4beg[4] ;
wire \tile_x12y9_s4beg[5] ;
wire \tile_x12y9_s4beg[6] ;
wire \tile_x12y9_s4beg[7] ;
wire \tile_x12y9_s4beg[8] ;
wire \tile_x12y9_s4beg[9] ;
wire \tile_x12y9_ss4beg[0] ;
wire \tile_x12y9_ss4beg[10] ;
wire \tile_x12y9_ss4beg[11] ;
wire \tile_x12y9_ss4beg[12] ;
wire \tile_x12y9_ss4beg[13] ;
wire \tile_x12y9_ss4beg[14] ;
wire \tile_x12y9_ss4beg[15] ;
wire \tile_x12y9_ss4beg[1] ;
wire \tile_x12y9_ss4beg[2] ;
wire \tile_x12y9_ss4beg[3] ;
wire \tile_x12y9_ss4beg[4] ;
wire \tile_x12y9_ss4beg[5] ;
wire \tile_x12y9_ss4beg[6] ;
wire \tile_x12y9_ss4beg[7] ;
wire \tile_x12y9_ss4beg[8] ;
wire \tile_x12y9_ss4beg[9] ;
wire tile_x12y9_userclko;
wire tile_x13y10_co;
wire \tile_x13y10_e1beg[0] ;
wire \tile_x13y10_e1beg[1] ;
wire \tile_x13y10_e1beg[2] ;
wire \tile_x13y10_e1beg[3] ;
wire \tile_x13y10_e2beg[0] ;
wire \tile_x13y10_e2beg[1] ;
wire \tile_x13y10_e2beg[2] ;
wire \tile_x13y10_e2beg[3] ;
wire \tile_x13y10_e2beg[4] ;
wire \tile_x13y10_e2beg[5] ;
wire \tile_x13y10_e2beg[6] ;
wire \tile_x13y10_e2beg[7] ;
wire \tile_x13y10_e2begb[0] ;
wire \tile_x13y10_e2begb[1] ;
wire \tile_x13y10_e2begb[2] ;
wire \tile_x13y10_e2begb[3] ;
wire \tile_x13y10_e2begb[4] ;
wire \tile_x13y10_e2begb[5] ;
wire \tile_x13y10_e2begb[6] ;
wire \tile_x13y10_e2begb[7] ;
wire \tile_x13y10_e6beg[0] ;
wire \tile_x13y10_e6beg[10] ;
wire \tile_x13y10_e6beg[11] ;
wire \tile_x13y10_e6beg[1] ;
wire \tile_x13y10_e6beg[2] ;
wire \tile_x13y10_e6beg[3] ;
wire \tile_x13y10_e6beg[4] ;
wire \tile_x13y10_e6beg[5] ;
wire \tile_x13y10_e6beg[6] ;
wire \tile_x13y10_e6beg[7] ;
wire \tile_x13y10_e6beg[8] ;
wire \tile_x13y10_e6beg[9] ;
wire \tile_x13y10_ee4beg[0] ;
wire \tile_x13y10_ee4beg[10] ;
wire \tile_x13y10_ee4beg[11] ;
wire \tile_x13y10_ee4beg[12] ;
wire \tile_x13y10_ee4beg[13] ;
wire \tile_x13y10_ee4beg[14] ;
wire \tile_x13y10_ee4beg[15] ;
wire \tile_x13y10_ee4beg[1] ;
wire \tile_x13y10_ee4beg[2] ;
wire \tile_x13y10_ee4beg[3] ;
wire \tile_x13y10_ee4beg[4] ;
wire \tile_x13y10_ee4beg[5] ;
wire \tile_x13y10_ee4beg[6] ;
wire \tile_x13y10_ee4beg[7] ;
wire \tile_x13y10_ee4beg[8] ;
wire \tile_x13y10_ee4beg[9] ;
wire \tile_x13y10_framedata_o[0] ;
wire \tile_x13y10_framedata_o[10] ;
wire \tile_x13y10_framedata_o[11] ;
wire \tile_x13y10_framedata_o[12] ;
wire \tile_x13y10_framedata_o[13] ;
wire \tile_x13y10_framedata_o[14] ;
wire \tile_x13y10_framedata_o[15] ;
wire \tile_x13y10_framedata_o[16] ;
wire \tile_x13y10_framedata_o[17] ;
wire \tile_x13y10_framedata_o[18] ;
wire \tile_x13y10_framedata_o[19] ;
wire \tile_x13y10_framedata_o[1] ;
wire \tile_x13y10_framedata_o[20] ;
wire \tile_x13y10_framedata_o[21] ;
wire \tile_x13y10_framedata_o[22] ;
wire \tile_x13y10_framedata_o[23] ;
wire \tile_x13y10_framedata_o[24] ;
wire \tile_x13y10_framedata_o[25] ;
wire \tile_x13y10_framedata_o[26] ;
wire \tile_x13y10_framedata_o[27] ;
wire \tile_x13y10_framedata_o[28] ;
wire \tile_x13y10_framedata_o[29] ;
wire \tile_x13y10_framedata_o[2] ;
wire \tile_x13y10_framedata_o[30] ;
wire \tile_x13y10_framedata_o[31] ;
wire \tile_x13y10_framedata_o[3] ;
wire \tile_x13y10_framedata_o[4] ;
wire \tile_x13y10_framedata_o[5] ;
wire \tile_x13y10_framedata_o[6] ;
wire \tile_x13y10_framedata_o[7] ;
wire \tile_x13y10_framedata_o[8] ;
wire \tile_x13y10_framedata_o[9] ;
wire \tile_x13y10_framestrobe_o[0] ;
wire \tile_x13y10_framestrobe_o[10] ;
wire \tile_x13y10_framestrobe_o[11] ;
wire \tile_x13y10_framestrobe_o[12] ;
wire \tile_x13y10_framestrobe_o[13] ;
wire \tile_x13y10_framestrobe_o[14] ;
wire \tile_x13y10_framestrobe_o[15] ;
wire \tile_x13y10_framestrobe_o[16] ;
wire \tile_x13y10_framestrobe_o[17] ;
wire \tile_x13y10_framestrobe_o[18] ;
wire \tile_x13y10_framestrobe_o[19] ;
wire \tile_x13y10_framestrobe_o[1] ;
wire \tile_x13y10_framestrobe_o[2] ;
wire \tile_x13y10_framestrobe_o[3] ;
wire \tile_x13y10_framestrobe_o[4] ;
wire \tile_x13y10_framestrobe_o[5] ;
wire \tile_x13y10_framestrobe_o[6] ;
wire \tile_x13y10_framestrobe_o[7] ;
wire \tile_x13y10_framestrobe_o[8] ;
wire \tile_x13y10_framestrobe_o[9] ;
wire \tile_x13y10_n1beg[0] ;
wire \tile_x13y10_n1beg[1] ;
wire \tile_x13y10_n1beg[2] ;
wire \tile_x13y10_n1beg[3] ;
wire \tile_x13y10_n2beg[0] ;
wire \tile_x13y10_n2beg[1] ;
wire \tile_x13y10_n2beg[2] ;
wire \tile_x13y10_n2beg[3] ;
wire \tile_x13y10_n2beg[4] ;
wire \tile_x13y10_n2beg[5] ;
wire \tile_x13y10_n2beg[6] ;
wire \tile_x13y10_n2beg[7] ;
wire \tile_x13y10_n2begb[0] ;
wire \tile_x13y10_n2begb[1] ;
wire \tile_x13y10_n2begb[2] ;
wire \tile_x13y10_n2begb[3] ;
wire \tile_x13y10_n2begb[4] ;
wire \tile_x13y10_n2begb[5] ;
wire \tile_x13y10_n2begb[6] ;
wire \tile_x13y10_n2begb[7] ;
wire \tile_x13y10_n4beg[0] ;
wire \tile_x13y10_n4beg[10] ;
wire \tile_x13y10_n4beg[11] ;
wire \tile_x13y10_n4beg[12] ;
wire \tile_x13y10_n4beg[13] ;
wire \tile_x13y10_n4beg[14] ;
wire \tile_x13y10_n4beg[15] ;
wire \tile_x13y10_n4beg[1] ;
wire \tile_x13y10_n4beg[2] ;
wire \tile_x13y10_n4beg[3] ;
wire \tile_x13y10_n4beg[4] ;
wire \tile_x13y10_n4beg[5] ;
wire \tile_x13y10_n4beg[6] ;
wire \tile_x13y10_n4beg[7] ;
wire \tile_x13y10_n4beg[8] ;
wire \tile_x13y10_n4beg[9] ;
wire \tile_x13y10_nn4beg[0] ;
wire \tile_x13y10_nn4beg[10] ;
wire \tile_x13y10_nn4beg[11] ;
wire \tile_x13y10_nn4beg[12] ;
wire \tile_x13y10_nn4beg[13] ;
wire \tile_x13y10_nn4beg[14] ;
wire \tile_x13y10_nn4beg[15] ;
wire \tile_x13y10_nn4beg[1] ;
wire \tile_x13y10_nn4beg[2] ;
wire \tile_x13y10_nn4beg[3] ;
wire \tile_x13y10_nn4beg[4] ;
wire \tile_x13y10_nn4beg[5] ;
wire \tile_x13y10_nn4beg[6] ;
wire \tile_x13y10_nn4beg[7] ;
wire \tile_x13y10_nn4beg[8] ;
wire \tile_x13y10_nn4beg[9] ;
wire \tile_x13y10_s1beg[0] ;
wire \tile_x13y10_s1beg[1] ;
wire \tile_x13y10_s1beg[2] ;
wire \tile_x13y10_s1beg[3] ;
wire \tile_x13y10_s2beg[0] ;
wire \tile_x13y10_s2beg[1] ;
wire \tile_x13y10_s2beg[2] ;
wire \tile_x13y10_s2beg[3] ;
wire \tile_x13y10_s2beg[4] ;
wire \tile_x13y10_s2beg[5] ;
wire \tile_x13y10_s2beg[6] ;
wire \tile_x13y10_s2beg[7] ;
wire \tile_x13y10_s2begb[0] ;
wire \tile_x13y10_s2begb[1] ;
wire \tile_x13y10_s2begb[2] ;
wire \tile_x13y10_s2begb[3] ;
wire \tile_x13y10_s2begb[4] ;
wire \tile_x13y10_s2begb[5] ;
wire \tile_x13y10_s2begb[6] ;
wire \tile_x13y10_s2begb[7] ;
wire \tile_x13y10_s4beg[0] ;
wire \tile_x13y10_s4beg[10] ;
wire \tile_x13y10_s4beg[11] ;
wire \tile_x13y10_s4beg[12] ;
wire \tile_x13y10_s4beg[13] ;
wire \tile_x13y10_s4beg[14] ;
wire \tile_x13y10_s4beg[15] ;
wire \tile_x13y10_s4beg[1] ;
wire \tile_x13y10_s4beg[2] ;
wire \tile_x13y10_s4beg[3] ;
wire \tile_x13y10_s4beg[4] ;
wire \tile_x13y10_s4beg[5] ;
wire \tile_x13y10_s4beg[6] ;
wire \tile_x13y10_s4beg[7] ;
wire \tile_x13y10_s4beg[8] ;
wire \tile_x13y10_s4beg[9] ;
wire \tile_x13y10_ss4beg[0] ;
wire \tile_x13y10_ss4beg[10] ;
wire \tile_x13y10_ss4beg[11] ;
wire \tile_x13y10_ss4beg[12] ;
wire \tile_x13y10_ss4beg[13] ;
wire \tile_x13y10_ss4beg[14] ;
wire \tile_x13y10_ss4beg[15] ;
wire \tile_x13y10_ss4beg[1] ;
wire \tile_x13y10_ss4beg[2] ;
wire \tile_x13y10_ss4beg[3] ;
wire \tile_x13y10_ss4beg[4] ;
wire \tile_x13y10_ss4beg[5] ;
wire \tile_x13y10_ss4beg[6] ;
wire \tile_x13y10_ss4beg[7] ;
wire \tile_x13y10_ss4beg[8] ;
wire \tile_x13y10_ss4beg[9] ;
wire tile_x13y10_userclko;
wire \tile_x13y10_w1beg[0] ;
wire \tile_x13y10_w1beg[1] ;
wire \tile_x13y10_w1beg[2] ;
wire \tile_x13y10_w1beg[3] ;
wire \tile_x13y10_w2beg[0] ;
wire \tile_x13y10_w2beg[1] ;
wire \tile_x13y10_w2beg[2] ;
wire \tile_x13y10_w2beg[3] ;
wire \tile_x13y10_w2beg[4] ;
wire \tile_x13y10_w2beg[5] ;
wire \tile_x13y10_w2beg[6] ;
wire \tile_x13y10_w2beg[7] ;
wire \tile_x13y10_w2begb[0] ;
wire \tile_x13y10_w2begb[1] ;
wire \tile_x13y10_w2begb[2] ;
wire \tile_x13y10_w2begb[3] ;
wire \tile_x13y10_w2begb[4] ;
wire \tile_x13y10_w2begb[5] ;
wire \tile_x13y10_w2begb[6] ;
wire \tile_x13y10_w2begb[7] ;
wire \tile_x13y10_w6beg[0] ;
wire \tile_x13y10_w6beg[10] ;
wire \tile_x13y10_w6beg[11] ;
wire \tile_x13y10_w6beg[1] ;
wire \tile_x13y10_w6beg[2] ;
wire \tile_x13y10_w6beg[3] ;
wire \tile_x13y10_w6beg[4] ;
wire \tile_x13y10_w6beg[5] ;
wire \tile_x13y10_w6beg[6] ;
wire \tile_x13y10_w6beg[7] ;
wire \tile_x13y10_w6beg[8] ;
wire \tile_x13y10_w6beg[9] ;
wire \tile_x13y10_ww4beg[0] ;
wire \tile_x13y10_ww4beg[10] ;
wire \tile_x13y10_ww4beg[11] ;
wire \tile_x13y10_ww4beg[12] ;
wire \tile_x13y10_ww4beg[13] ;
wire \tile_x13y10_ww4beg[14] ;
wire \tile_x13y10_ww4beg[15] ;
wire \tile_x13y10_ww4beg[1] ;
wire \tile_x13y10_ww4beg[2] ;
wire \tile_x13y10_ww4beg[3] ;
wire \tile_x13y10_ww4beg[4] ;
wire \tile_x13y10_ww4beg[5] ;
wire \tile_x13y10_ww4beg[6] ;
wire \tile_x13y10_ww4beg[7] ;
wire \tile_x13y10_ww4beg[8] ;
wire \tile_x13y10_ww4beg[9] ;
wire tile_x13y11_co;
wire \tile_x13y11_e1beg[0] ;
wire \tile_x13y11_e1beg[1] ;
wire \tile_x13y11_e1beg[2] ;
wire \tile_x13y11_e1beg[3] ;
wire \tile_x13y11_e2beg[0] ;
wire \tile_x13y11_e2beg[1] ;
wire \tile_x13y11_e2beg[2] ;
wire \tile_x13y11_e2beg[3] ;
wire \tile_x13y11_e2beg[4] ;
wire \tile_x13y11_e2beg[5] ;
wire \tile_x13y11_e2beg[6] ;
wire \tile_x13y11_e2beg[7] ;
wire \tile_x13y11_e2begb[0] ;
wire \tile_x13y11_e2begb[1] ;
wire \tile_x13y11_e2begb[2] ;
wire \tile_x13y11_e2begb[3] ;
wire \tile_x13y11_e2begb[4] ;
wire \tile_x13y11_e2begb[5] ;
wire \tile_x13y11_e2begb[6] ;
wire \tile_x13y11_e2begb[7] ;
wire \tile_x13y11_e6beg[0] ;
wire \tile_x13y11_e6beg[10] ;
wire \tile_x13y11_e6beg[11] ;
wire \tile_x13y11_e6beg[1] ;
wire \tile_x13y11_e6beg[2] ;
wire \tile_x13y11_e6beg[3] ;
wire \tile_x13y11_e6beg[4] ;
wire \tile_x13y11_e6beg[5] ;
wire \tile_x13y11_e6beg[6] ;
wire \tile_x13y11_e6beg[7] ;
wire \tile_x13y11_e6beg[8] ;
wire \tile_x13y11_e6beg[9] ;
wire \tile_x13y11_ee4beg[0] ;
wire \tile_x13y11_ee4beg[10] ;
wire \tile_x13y11_ee4beg[11] ;
wire \tile_x13y11_ee4beg[12] ;
wire \tile_x13y11_ee4beg[13] ;
wire \tile_x13y11_ee4beg[14] ;
wire \tile_x13y11_ee4beg[15] ;
wire \tile_x13y11_ee4beg[1] ;
wire \tile_x13y11_ee4beg[2] ;
wire \tile_x13y11_ee4beg[3] ;
wire \tile_x13y11_ee4beg[4] ;
wire \tile_x13y11_ee4beg[5] ;
wire \tile_x13y11_ee4beg[6] ;
wire \tile_x13y11_ee4beg[7] ;
wire \tile_x13y11_ee4beg[8] ;
wire \tile_x13y11_ee4beg[9] ;
wire \tile_x13y11_framedata_o[0] ;
wire \tile_x13y11_framedata_o[10] ;
wire \tile_x13y11_framedata_o[11] ;
wire \tile_x13y11_framedata_o[12] ;
wire \tile_x13y11_framedata_o[13] ;
wire \tile_x13y11_framedata_o[14] ;
wire \tile_x13y11_framedata_o[15] ;
wire \tile_x13y11_framedata_o[16] ;
wire \tile_x13y11_framedata_o[17] ;
wire \tile_x13y11_framedata_o[18] ;
wire \tile_x13y11_framedata_o[19] ;
wire \tile_x13y11_framedata_o[1] ;
wire \tile_x13y11_framedata_o[20] ;
wire \tile_x13y11_framedata_o[21] ;
wire \tile_x13y11_framedata_o[22] ;
wire \tile_x13y11_framedata_o[23] ;
wire \tile_x13y11_framedata_o[24] ;
wire \tile_x13y11_framedata_o[25] ;
wire \tile_x13y11_framedata_o[26] ;
wire \tile_x13y11_framedata_o[27] ;
wire \tile_x13y11_framedata_o[28] ;
wire \tile_x13y11_framedata_o[29] ;
wire \tile_x13y11_framedata_o[2] ;
wire \tile_x13y11_framedata_o[30] ;
wire \tile_x13y11_framedata_o[31] ;
wire \tile_x13y11_framedata_o[3] ;
wire \tile_x13y11_framedata_o[4] ;
wire \tile_x13y11_framedata_o[5] ;
wire \tile_x13y11_framedata_o[6] ;
wire \tile_x13y11_framedata_o[7] ;
wire \tile_x13y11_framedata_o[8] ;
wire \tile_x13y11_framedata_o[9] ;
wire \tile_x13y11_framestrobe_o[0] ;
wire \tile_x13y11_framestrobe_o[10] ;
wire \tile_x13y11_framestrobe_o[11] ;
wire \tile_x13y11_framestrobe_o[12] ;
wire \tile_x13y11_framestrobe_o[13] ;
wire \tile_x13y11_framestrobe_o[14] ;
wire \tile_x13y11_framestrobe_o[15] ;
wire \tile_x13y11_framestrobe_o[16] ;
wire \tile_x13y11_framestrobe_o[17] ;
wire \tile_x13y11_framestrobe_o[18] ;
wire \tile_x13y11_framestrobe_o[19] ;
wire \tile_x13y11_framestrobe_o[1] ;
wire \tile_x13y11_framestrobe_o[2] ;
wire \tile_x13y11_framestrobe_o[3] ;
wire \tile_x13y11_framestrobe_o[4] ;
wire \tile_x13y11_framestrobe_o[5] ;
wire \tile_x13y11_framestrobe_o[6] ;
wire \tile_x13y11_framestrobe_o[7] ;
wire \tile_x13y11_framestrobe_o[8] ;
wire \tile_x13y11_framestrobe_o[9] ;
wire \tile_x13y11_n1beg[0] ;
wire \tile_x13y11_n1beg[1] ;
wire \tile_x13y11_n1beg[2] ;
wire \tile_x13y11_n1beg[3] ;
wire \tile_x13y11_n2beg[0] ;
wire \tile_x13y11_n2beg[1] ;
wire \tile_x13y11_n2beg[2] ;
wire \tile_x13y11_n2beg[3] ;
wire \tile_x13y11_n2beg[4] ;
wire \tile_x13y11_n2beg[5] ;
wire \tile_x13y11_n2beg[6] ;
wire \tile_x13y11_n2beg[7] ;
wire \tile_x13y11_n2begb[0] ;
wire \tile_x13y11_n2begb[1] ;
wire \tile_x13y11_n2begb[2] ;
wire \tile_x13y11_n2begb[3] ;
wire \tile_x13y11_n2begb[4] ;
wire \tile_x13y11_n2begb[5] ;
wire \tile_x13y11_n2begb[6] ;
wire \tile_x13y11_n2begb[7] ;
wire \tile_x13y11_n4beg[0] ;
wire \tile_x13y11_n4beg[10] ;
wire \tile_x13y11_n4beg[11] ;
wire \tile_x13y11_n4beg[12] ;
wire \tile_x13y11_n4beg[13] ;
wire \tile_x13y11_n4beg[14] ;
wire \tile_x13y11_n4beg[15] ;
wire \tile_x13y11_n4beg[1] ;
wire \tile_x13y11_n4beg[2] ;
wire \tile_x13y11_n4beg[3] ;
wire \tile_x13y11_n4beg[4] ;
wire \tile_x13y11_n4beg[5] ;
wire \tile_x13y11_n4beg[6] ;
wire \tile_x13y11_n4beg[7] ;
wire \tile_x13y11_n4beg[8] ;
wire \tile_x13y11_n4beg[9] ;
wire \tile_x13y11_nn4beg[0] ;
wire \tile_x13y11_nn4beg[10] ;
wire \tile_x13y11_nn4beg[11] ;
wire \tile_x13y11_nn4beg[12] ;
wire \tile_x13y11_nn4beg[13] ;
wire \tile_x13y11_nn4beg[14] ;
wire \tile_x13y11_nn4beg[15] ;
wire \tile_x13y11_nn4beg[1] ;
wire \tile_x13y11_nn4beg[2] ;
wire \tile_x13y11_nn4beg[3] ;
wire \tile_x13y11_nn4beg[4] ;
wire \tile_x13y11_nn4beg[5] ;
wire \tile_x13y11_nn4beg[6] ;
wire \tile_x13y11_nn4beg[7] ;
wire \tile_x13y11_nn4beg[8] ;
wire \tile_x13y11_nn4beg[9] ;
wire \tile_x13y11_s1beg[0] ;
wire \tile_x13y11_s1beg[1] ;
wire \tile_x13y11_s1beg[2] ;
wire \tile_x13y11_s1beg[3] ;
wire \tile_x13y11_s2beg[0] ;
wire \tile_x13y11_s2beg[1] ;
wire \tile_x13y11_s2beg[2] ;
wire \tile_x13y11_s2beg[3] ;
wire \tile_x13y11_s2beg[4] ;
wire \tile_x13y11_s2beg[5] ;
wire \tile_x13y11_s2beg[6] ;
wire \tile_x13y11_s2beg[7] ;
wire \tile_x13y11_s2begb[0] ;
wire \tile_x13y11_s2begb[1] ;
wire \tile_x13y11_s2begb[2] ;
wire \tile_x13y11_s2begb[3] ;
wire \tile_x13y11_s2begb[4] ;
wire \tile_x13y11_s2begb[5] ;
wire \tile_x13y11_s2begb[6] ;
wire \tile_x13y11_s2begb[7] ;
wire \tile_x13y11_s4beg[0] ;
wire \tile_x13y11_s4beg[10] ;
wire \tile_x13y11_s4beg[11] ;
wire \tile_x13y11_s4beg[12] ;
wire \tile_x13y11_s4beg[13] ;
wire \tile_x13y11_s4beg[14] ;
wire \tile_x13y11_s4beg[15] ;
wire \tile_x13y11_s4beg[1] ;
wire \tile_x13y11_s4beg[2] ;
wire \tile_x13y11_s4beg[3] ;
wire \tile_x13y11_s4beg[4] ;
wire \tile_x13y11_s4beg[5] ;
wire \tile_x13y11_s4beg[6] ;
wire \tile_x13y11_s4beg[7] ;
wire \tile_x13y11_s4beg[8] ;
wire \tile_x13y11_s4beg[9] ;
wire \tile_x13y11_ss4beg[0] ;
wire \tile_x13y11_ss4beg[10] ;
wire \tile_x13y11_ss4beg[11] ;
wire \tile_x13y11_ss4beg[12] ;
wire \tile_x13y11_ss4beg[13] ;
wire \tile_x13y11_ss4beg[14] ;
wire \tile_x13y11_ss4beg[15] ;
wire \tile_x13y11_ss4beg[1] ;
wire \tile_x13y11_ss4beg[2] ;
wire \tile_x13y11_ss4beg[3] ;
wire \tile_x13y11_ss4beg[4] ;
wire \tile_x13y11_ss4beg[5] ;
wire \tile_x13y11_ss4beg[6] ;
wire \tile_x13y11_ss4beg[7] ;
wire \tile_x13y11_ss4beg[8] ;
wire \tile_x13y11_ss4beg[9] ;
wire tile_x13y11_userclko;
wire \tile_x13y11_w1beg[0] ;
wire \tile_x13y11_w1beg[1] ;
wire \tile_x13y11_w1beg[2] ;
wire \tile_x13y11_w1beg[3] ;
wire \tile_x13y11_w2beg[0] ;
wire \tile_x13y11_w2beg[1] ;
wire \tile_x13y11_w2beg[2] ;
wire \tile_x13y11_w2beg[3] ;
wire \tile_x13y11_w2beg[4] ;
wire \tile_x13y11_w2beg[5] ;
wire \tile_x13y11_w2beg[6] ;
wire \tile_x13y11_w2beg[7] ;
wire \tile_x13y11_w2begb[0] ;
wire \tile_x13y11_w2begb[1] ;
wire \tile_x13y11_w2begb[2] ;
wire \tile_x13y11_w2begb[3] ;
wire \tile_x13y11_w2begb[4] ;
wire \tile_x13y11_w2begb[5] ;
wire \tile_x13y11_w2begb[6] ;
wire \tile_x13y11_w2begb[7] ;
wire \tile_x13y11_w6beg[0] ;
wire \tile_x13y11_w6beg[10] ;
wire \tile_x13y11_w6beg[11] ;
wire \tile_x13y11_w6beg[1] ;
wire \tile_x13y11_w6beg[2] ;
wire \tile_x13y11_w6beg[3] ;
wire \tile_x13y11_w6beg[4] ;
wire \tile_x13y11_w6beg[5] ;
wire \tile_x13y11_w6beg[6] ;
wire \tile_x13y11_w6beg[7] ;
wire \tile_x13y11_w6beg[8] ;
wire \tile_x13y11_w6beg[9] ;
wire \tile_x13y11_ww4beg[0] ;
wire \tile_x13y11_ww4beg[10] ;
wire \tile_x13y11_ww4beg[11] ;
wire \tile_x13y11_ww4beg[12] ;
wire \tile_x13y11_ww4beg[13] ;
wire \tile_x13y11_ww4beg[14] ;
wire \tile_x13y11_ww4beg[15] ;
wire \tile_x13y11_ww4beg[1] ;
wire \tile_x13y11_ww4beg[2] ;
wire \tile_x13y11_ww4beg[3] ;
wire \tile_x13y11_ww4beg[4] ;
wire \tile_x13y11_ww4beg[5] ;
wire \tile_x13y11_ww4beg[6] ;
wire \tile_x13y11_ww4beg[7] ;
wire \tile_x13y11_ww4beg[8] ;
wire \tile_x13y11_ww4beg[9] ;
wire tile_x13y12_co;
wire \tile_x13y12_e1beg[0] ;
wire \tile_x13y12_e1beg[1] ;
wire \tile_x13y12_e1beg[2] ;
wire \tile_x13y12_e1beg[3] ;
wire \tile_x13y12_e2beg[0] ;
wire \tile_x13y12_e2beg[1] ;
wire \tile_x13y12_e2beg[2] ;
wire \tile_x13y12_e2beg[3] ;
wire \tile_x13y12_e2beg[4] ;
wire \tile_x13y12_e2beg[5] ;
wire \tile_x13y12_e2beg[6] ;
wire \tile_x13y12_e2beg[7] ;
wire \tile_x13y12_e2begb[0] ;
wire \tile_x13y12_e2begb[1] ;
wire \tile_x13y12_e2begb[2] ;
wire \tile_x13y12_e2begb[3] ;
wire \tile_x13y12_e2begb[4] ;
wire \tile_x13y12_e2begb[5] ;
wire \tile_x13y12_e2begb[6] ;
wire \tile_x13y12_e2begb[7] ;
wire \tile_x13y12_e6beg[0] ;
wire \tile_x13y12_e6beg[10] ;
wire \tile_x13y12_e6beg[11] ;
wire \tile_x13y12_e6beg[1] ;
wire \tile_x13y12_e6beg[2] ;
wire \tile_x13y12_e6beg[3] ;
wire \tile_x13y12_e6beg[4] ;
wire \tile_x13y12_e6beg[5] ;
wire \tile_x13y12_e6beg[6] ;
wire \tile_x13y12_e6beg[7] ;
wire \tile_x13y12_e6beg[8] ;
wire \tile_x13y12_e6beg[9] ;
wire \tile_x13y12_ee4beg[0] ;
wire \tile_x13y12_ee4beg[10] ;
wire \tile_x13y12_ee4beg[11] ;
wire \tile_x13y12_ee4beg[12] ;
wire \tile_x13y12_ee4beg[13] ;
wire \tile_x13y12_ee4beg[14] ;
wire \tile_x13y12_ee4beg[15] ;
wire \tile_x13y12_ee4beg[1] ;
wire \tile_x13y12_ee4beg[2] ;
wire \tile_x13y12_ee4beg[3] ;
wire \tile_x13y12_ee4beg[4] ;
wire \tile_x13y12_ee4beg[5] ;
wire \tile_x13y12_ee4beg[6] ;
wire \tile_x13y12_ee4beg[7] ;
wire \tile_x13y12_ee4beg[8] ;
wire \tile_x13y12_ee4beg[9] ;
wire \tile_x13y12_framedata_o[0] ;
wire \tile_x13y12_framedata_o[10] ;
wire \tile_x13y12_framedata_o[11] ;
wire \tile_x13y12_framedata_o[12] ;
wire \tile_x13y12_framedata_o[13] ;
wire \tile_x13y12_framedata_o[14] ;
wire \tile_x13y12_framedata_o[15] ;
wire \tile_x13y12_framedata_o[16] ;
wire \tile_x13y12_framedata_o[17] ;
wire \tile_x13y12_framedata_o[18] ;
wire \tile_x13y12_framedata_o[19] ;
wire \tile_x13y12_framedata_o[1] ;
wire \tile_x13y12_framedata_o[20] ;
wire \tile_x13y12_framedata_o[21] ;
wire \tile_x13y12_framedata_o[22] ;
wire \tile_x13y12_framedata_o[23] ;
wire \tile_x13y12_framedata_o[24] ;
wire \tile_x13y12_framedata_o[25] ;
wire \tile_x13y12_framedata_o[26] ;
wire \tile_x13y12_framedata_o[27] ;
wire \tile_x13y12_framedata_o[28] ;
wire \tile_x13y12_framedata_o[29] ;
wire \tile_x13y12_framedata_o[2] ;
wire \tile_x13y12_framedata_o[30] ;
wire \tile_x13y12_framedata_o[31] ;
wire \tile_x13y12_framedata_o[3] ;
wire \tile_x13y12_framedata_o[4] ;
wire \tile_x13y12_framedata_o[5] ;
wire \tile_x13y12_framedata_o[6] ;
wire \tile_x13y12_framedata_o[7] ;
wire \tile_x13y12_framedata_o[8] ;
wire \tile_x13y12_framedata_o[9] ;
wire \tile_x13y12_framestrobe_o[0] ;
wire \tile_x13y12_framestrobe_o[10] ;
wire \tile_x13y12_framestrobe_o[11] ;
wire \tile_x13y12_framestrobe_o[12] ;
wire \tile_x13y12_framestrobe_o[13] ;
wire \tile_x13y12_framestrobe_o[14] ;
wire \tile_x13y12_framestrobe_o[15] ;
wire \tile_x13y12_framestrobe_o[16] ;
wire \tile_x13y12_framestrobe_o[17] ;
wire \tile_x13y12_framestrobe_o[18] ;
wire \tile_x13y12_framestrobe_o[19] ;
wire \tile_x13y12_framestrobe_o[1] ;
wire \tile_x13y12_framestrobe_o[2] ;
wire \tile_x13y12_framestrobe_o[3] ;
wire \tile_x13y12_framestrobe_o[4] ;
wire \tile_x13y12_framestrobe_o[5] ;
wire \tile_x13y12_framestrobe_o[6] ;
wire \tile_x13y12_framestrobe_o[7] ;
wire \tile_x13y12_framestrobe_o[8] ;
wire \tile_x13y12_framestrobe_o[9] ;
wire \tile_x13y12_n1beg[0] ;
wire \tile_x13y12_n1beg[1] ;
wire \tile_x13y12_n1beg[2] ;
wire \tile_x13y12_n1beg[3] ;
wire \tile_x13y12_n2beg[0] ;
wire \tile_x13y12_n2beg[1] ;
wire \tile_x13y12_n2beg[2] ;
wire \tile_x13y12_n2beg[3] ;
wire \tile_x13y12_n2beg[4] ;
wire \tile_x13y12_n2beg[5] ;
wire \tile_x13y12_n2beg[6] ;
wire \tile_x13y12_n2beg[7] ;
wire \tile_x13y12_n2begb[0] ;
wire \tile_x13y12_n2begb[1] ;
wire \tile_x13y12_n2begb[2] ;
wire \tile_x13y12_n2begb[3] ;
wire \tile_x13y12_n2begb[4] ;
wire \tile_x13y12_n2begb[5] ;
wire \tile_x13y12_n2begb[6] ;
wire \tile_x13y12_n2begb[7] ;
wire \tile_x13y12_n4beg[0] ;
wire \tile_x13y12_n4beg[10] ;
wire \tile_x13y12_n4beg[11] ;
wire \tile_x13y12_n4beg[12] ;
wire \tile_x13y12_n4beg[13] ;
wire \tile_x13y12_n4beg[14] ;
wire \tile_x13y12_n4beg[15] ;
wire \tile_x13y12_n4beg[1] ;
wire \tile_x13y12_n4beg[2] ;
wire \tile_x13y12_n4beg[3] ;
wire \tile_x13y12_n4beg[4] ;
wire \tile_x13y12_n4beg[5] ;
wire \tile_x13y12_n4beg[6] ;
wire \tile_x13y12_n4beg[7] ;
wire \tile_x13y12_n4beg[8] ;
wire \tile_x13y12_n4beg[9] ;
wire \tile_x13y12_nn4beg[0] ;
wire \tile_x13y12_nn4beg[10] ;
wire \tile_x13y12_nn4beg[11] ;
wire \tile_x13y12_nn4beg[12] ;
wire \tile_x13y12_nn4beg[13] ;
wire \tile_x13y12_nn4beg[14] ;
wire \tile_x13y12_nn4beg[15] ;
wire \tile_x13y12_nn4beg[1] ;
wire \tile_x13y12_nn4beg[2] ;
wire \tile_x13y12_nn4beg[3] ;
wire \tile_x13y12_nn4beg[4] ;
wire \tile_x13y12_nn4beg[5] ;
wire \tile_x13y12_nn4beg[6] ;
wire \tile_x13y12_nn4beg[7] ;
wire \tile_x13y12_nn4beg[8] ;
wire \tile_x13y12_nn4beg[9] ;
wire \tile_x13y12_s1beg[0] ;
wire \tile_x13y12_s1beg[1] ;
wire \tile_x13y12_s1beg[2] ;
wire \tile_x13y12_s1beg[3] ;
wire \tile_x13y12_s2beg[0] ;
wire \tile_x13y12_s2beg[1] ;
wire \tile_x13y12_s2beg[2] ;
wire \tile_x13y12_s2beg[3] ;
wire \tile_x13y12_s2beg[4] ;
wire \tile_x13y12_s2beg[5] ;
wire \tile_x13y12_s2beg[6] ;
wire \tile_x13y12_s2beg[7] ;
wire \tile_x13y12_s2begb[0] ;
wire \tile_x13y12_s2begb[1] ;
wire \tile_x13y12_s2begb[2] ;
wire \tile_x13y12_s2begb[3] ;
wire \tile_x13y12_s2begb[4] ;
wire \tile_x13y12_s2begb[5] ;
wire \tile_x13y12_s2begb[6] ;
wire \tile_x13y12_s2begb[7] ;
wire \tile_x13y12_s4beg[0] ;
wire \tile_x13y12_s4beg[10] ;
wire \tile_x13y12_s4beg[11] ;
wire \tile_x13y12_s4beg[12] ;
wire \tile_x13y12_s4beg[13] ;
wire \tile_x13y12_s4beg[14] ;
wire \tile_x13y12_s4beg[15] ;
wire \tile_x13y12_s4beg[1] ;
wire \tile_x13y12_s4beg[2] ;
wire \tile_x13y12_s4beg[3] ;
wire \tile_x13y12_s4beg[4] ;
wire \tile_x13y12_s4beg[5] ;
wire \tile_x13y12_s4beg[6] ;
wire \tile_x13y12_s4beg[7] ;
wire \tile_x13y12_s4beg[8] ;
wire \tile_x13y12_s4beg[9] ;
wire \tile_x13y12_ss4beg[0] ;
wire \tile_x13y12_ss4beg[10] ;
wire \tile_x13y12_ss4beg[11] ;
wire \tile_x13y12_ss4beg[12] ;
wire \tile_x13y12_ss4beg[13] ;
wire \tile_x13y12_ss4beg[14] ;
wire \tile_x13y12_ss4beg[15] ;
wire \tile_x13y12_ss4beg[1] ;
wire \tile_x13y12_ss4beg[2] ;
wire \tile_x13y12_ss4beg[3] ;
wire \tile_x13y12_ss4beg[4] ;
wire \tile_x13y12_ss4beg[5] ;
wire \tile_x13y12_ss4beg[6] ;
wire \tile_x13y12_ss4beg[7] ;
wire \tile_x13y12_ss4beg[8] ;
wire \tile_x13y12_ss4beg[9] ;
wire tile_x13y12_userclko;
wire \tile_x13y12_w1beg[0] ;
wire \tile_x13y12_w1beg[1] ;
wire \tile_x13y12_w1beg[2] ;
wire \tile_x13y12_w1beg[3] ;
wire \tile_x13y12_w2beg[0] ;
wire \tile_x13y12_w2beg[1] ;
wire \tile_x13y12_w2beg[2] ;
wire \tile_x13y12_w2beg[3] ;
wire \tile_x13y12_w2beg[4] ;
wire \tile_x13y12_w2beg[5] ;
wire \tile_x13y12_w2beg[6] ;
wire \tile_x13y12_w2beg[7] ;
wire \tile_x13y12_w2begb[0] ;
wire \tile_x13y12_w2begb[1] ;
wire \tile_x13y12_w2begb[2] ;
wire \tile_x13y12_w2begb[3] ;
wire \tile_x13y12_w2begb[4] ;
wire \tile_x13y12_w2begb[5] ;
wire \tile_x13y12_w2begb[6] ;
wire \tile_x13y12_w2begb[7] ;
wire \tile_x13y12_w6beg[0] ;
wire \tile_x13y12_w6beg[10] ;
wire \tile_x13y12_w6beg[11] ;
wire \tile_x13y12_w6beg[1] ;
wire \tile_x13y12_w6beg[2] ;
wire \tile_x13y12_w6beg[3] ;
wire \tile_x13y12_w6beg[4] ;
wire \tile_x13y12_w6beg[5] ;
wire \tile_x13y12_w6beg[6] ;
wire \tile_x13y12_w6beg[7] ;
wire \tile_x13y12_w6beg[8] ;
wire \tile_x13y12_w6beg[9] ;
wire \tile_x13y12_ww4beg[0] ;
wire \tile_x13y12_ww4beg[10] ;
wire \tile_x13y12_ww4beg[11] ;
wire \tile_x13y12_ww4beg[12] ;
wire \tile_x13y12_ww4beg[13] ;
wire \tile_x13y12_ww4beg[14] ;
wire \tile_x13y12_ww4beg[15] ;
wire \tile_x13y12_ww4beg[1] ;
wire \tile_x13y12_ww4beg[2] ;
wire \tile_x13y12_ww4beg[3] ;
wire \tile_x13y12_ww4beg[4] ;
wire \tile_x13y12_ww4beg[5] ;
wire \tile_x13y12_ww4beg[6] ;
wire \tile_x13y12_ww4beg[7] ;
wire \tile_x13y12_ww4beg[8] ;
wire \tile_x13y12_ww4beg[9] ;
wire tile_x13y13_co;
wire \tile_x13y13_e1beg[0] ;
wire \tile_x13y13_e1beg[1] ;
wire \tile_x13y13_e1beg[2] ;
wire \tile_x13y13_e1beg[3] ;
wire \tile_x13y13_e2beg[0] ;
wire \tile_x13y13_e2beg[1] ;
wire \tile_x13y13_e2beg[2] ;
wire \tile_x13y13_e2beg[3] ;
wire \tile_x13y13_e2beg[4] ;
wire \tile_x13y13_e2beg[5] ;
wire \tile_x13y13_e2beg[6] ;
wire \tile_x13y13_e2beg[7] ;
wire \tile_x13y13_e2begb[0] ;
wire \tile_x13y13_e2begb[1] ;
wire \tile_x13y13_e2begb[2] ;
wire \tile_x13y13_e2begb[3] ;
wire \tile_x13y13_e2begb[4] ;
wire \tile_x13y13_e2begb[5] ;
wire \tile_x13y13_e2begb[6] ;
wire \tile_x13y13_e2begb[7] ;
wire \tile_x13y13_e6beg[0] ;
wire \tile_x13y13_e6beg[10] ;
wire \tile_x13y13_e6beg[11] ;
wire \tile_x13y13_e6beg[1] ;
wire \tile_x13y13_e6beg[2] ;
wire \tile_x13y13_e6beg[3] ;
wire \tile_x13y13_e6beg[4] ;
wire \tile_x13y13_e6beg[5] ;
wire \tile_x13y13_e6beg[6] ;
wire \tile_x13y13_e6beg[7] ;
wire \tile_x13y13_e6beg[8] ;
wire \tile_x13y13_e6beg[9] ;
wire \tile_x13y13_ee4beg[0] ;
wire \tile_x13y13_ee4beg[10] ;
wire \tile_x13y13_ee4beg[11] ;
wire \tile_x13y13_ee4beg[12] ;
wire \tile_x13y13_ee4beg[13] ;
wire \tile_x13y13_ee4beg[14] ;
wire \tile_x13y13_ee4beg[15] ;
wire \tile_x13y13_ee4beg[1] ;
wire \tile_x13y13_ee4beg[2] ;
wire \tile_x13y13_ee4beg[3] ;
wire \tile_x13y13_ee4beg[4] ;
wire \tile_x13y13_ee4beg[5] ;
wire \tile_x13y13_ee4beg[6] ;
wire \tile_x13y13_ee4beg[7] ;
wire \tile_x13y13_ee4beg[8] ;
wire \tile_x13y13_ee4beg[9] ;
wire \tile_x13y13_framedata_o[0] ;
wire \tile_x13y13_framedata_o[10] ;
wire \tile_x13y13_framedata_o[11] ;
wire \tile_x13y13_framedata_o[12] ;
wire \tile_x13y13_framedata_o[13] ;
wire \tile_x13y13_framedata_o[14] ;
wire \tile_x13y13_framedata_o[15] ;
wire \tile_x13y13_framedata_o[16] ;
wire \tile_x13y13_framedata_o[17] ;
wire \tile_x13y13_framedata_o[18] ;
wire \tile_x13y13_framedata_o[19] ;
wire \tile_x13y13_framedata_o[1] ;
wire \tile_x13y13_framedata_o[20] ;
wire \tile_x13y13_framedata_o[21] ;
wire \tile_x13y13_framedata_o[22] ;
wire \tile_x13y13_framedata_o[23] ;
wire \tile_x13y13_framedata_o[24] ;
wire \tile_x13y13_framedata_o[25] ;
wire \tile_x13y13_framedata_o[26] ;
wire \tile_x13y13_framedata_o[27] ;
wire \tile_x13y13_framedata_o[28] ;
wire \tile_x13y13_framedata_o[29] ;
wire \tile_x13y13_framedata_o[2] ;
wire \tile_x13y13_framedata_o[30] ;
wire \tile_x13y13_framedata_o[31] ;
wire \tile_x13y13_framedata_o[3] ;
wire \tile_x13y13_framedata_o[4] ;
wire \tile_x13y13_framedata_o[5] ;
wire \tile_x13y13_framedata_o[6] ;
wire \tile_x13y13_framedata_o[7] ;
wire \tile_x13y13_framedata_o[8] ;
wire \tile_x13y13_framedata_o[9] ;
wire \tile_x13y13_framestrobe_o[0] ;
wire \tile_x13y13_framestrobe_o[10] ;
wire \tile_x13y13_framestrobe_o[11] ;
wire \tile_x13y13_framestrobe_o[12] ;
wire \tile_x13y13_framestrobe_o[13] ;
wire \tile_x13y13_framestrobe_o[14] ;
wire \tile_x13y13_framestrobe_o[15] ;
wire \tile_x13y13_framestrobe_o[16] ;
wire \tile_x13y13_framestrobe_o[17] ;
wire \tile_x13y13_framestrobe_o[18] ;
wire \tile_x13y13_framestrobe_o[19] ;
wire \tile_x13y13_framestrobe_o[1] ;
wire \tile_x13y13_framestrobe_o[2] ;
wire \tile_x13y13_framestrobe_o[3] ;
wire \tile_x13y13_framestrobe_o[4] ;
wire \tile_x13y13_framestrobe_o[5] ;
wire \tile_x13y13_framestrobe_o[6] ;
wire \tile_x13y13_framestrobe_o[7] ;
wire \tile_x13y13_framestrobe_o[8] ;
wire \tile_x13y13_framestrobe_o[9] ;
wire \tile_x13y13_n1beg[0] ;
wire \tile_x13y13_n1beg[1] ;
wire \tile_x13y13_n1beg[2] ;
wire \tile_x13y13_n1beg[3] ;
wire \tile_x13y13_n2beg[0] ;
wire \tile_x13y13_n2beg[1] ;
wire \tile_x13y13_n2beg[2] ;
wire \tile_x13y13_n2beg[3] ;
wire \tile_x13y13_n2beg[4] ;
wire \tile_x13y13_n2beg[5] ;
wire \tile_x13y13_n2beg[6] ;
wire \tile_x13y13_n2beg[7] ;
wire \tile_x13y13_n2begb[0] ;
wire \tile_x13y13_n2begb[1] ;
wire \tile_x13y13_n2begb[2] ;
wire \tile_x13y13_n2begb[3] ;
wire \tile_x13y13_n2begb[4] ;
wire \tile_x13y13_n2begb[5] ;
wire \tile_x13y13_n2begb[6] ;
wire \tile_x13y13_n2begb[7] ;
wire \tile_x13y13_n4beg[0] ;
wire \tile_x13y13_n4beg[10] ;
wire \tile_x13y13_n4beg[11] ;
wire \tile_x13y13_n4beg[12] ;
wire \tile_x13y13_n4beg[13] ;
wire \tile_x13y13_n4beg[14] ;
wire \tile_x13y13_n4beg[15] ;
wire \tile_x13y13_n4beg[1] ;
wire \tile_x13y13_n4beg[2] ;
wire \tile_x13y13_n4beg[3] ;
wire \tile_x13y13_n4beg[4] ;
wire \tile_x13y13_n4beg[5] ;
wire \tile_x13y13_n4beg[6] ;
wire \tile_x13y13_n4beg[7] ;
wire \tile_x13y13_n4beg[8] ;
wire \tile_x13y13_n4beg[9] ;
wire \tile_x13y13_nn4beg[0] ;
wire \tile_x13y13_nn4beg[10] ;
wire \tile_x13y13_nn4beg[11] ;
wire \tile_x13y13_nn4beg[12] ;
wire \tile_x13y13_nn4beg[13] ;
wire \tile_x13y13_nn4beg[14] ;
wire \tile_x13y13_nn4beg[15] ;
wire \tile_x13y13_nn4beg[1] ;
wire \tile_x13y13_nn4beg[2] ;
wire \tile_x13y13_nn4beg[3] ;
wire \tile_x13y13_nn4beg[4] ;
wire \tile_x13y13_nn4beg[5] ;
wire \tile_x13y13_nn4beg[6] ;
wire \tile_x13y13_nn4beg[7] ;
wire \tile_x13y13_nn4beg[8] ;
wire \tile_x13y13_nn4beg[9] ;
wire \tile_x13y13_s1beg[0] ;
wire \tile_x13y13_s1beg[1] ;
wire \tile_x13y13_s1beg[2] ;
wire \tile_x13y13_s1beg[3] ;
wire \tile_x13y13_s2beg[0] ;
wire \tile_x13y13_s2beg[1] ;
wire \tile_x13y13_s2beg[2] ;
wire \tile_x13y13_s2beg[3] ;
wire \tile_x13y13_s2beg[4] ;
wire \tile_x13y13_s2beg[5] ;
wire \tile_x13y13_s2beg[6] ;
wire \tile_x13y13_s2beg[7] ;
wire \tile_x13y13_s2begb[0] ;
wire \tile_x13y13_s2begb[1] ;
wire \tile_x13y13_s2begb[2] ;
wire \tile_x13y13_s2begb[3] ;
wire \tile_x13y13_s2begb[4] ;
wire \tile_x13y13_s2begb[5] ;
wire \tile_x13y13_s2begb[6] ;
wire \tile_x13y13_s2begb[7] ;
wire \tile_x13y13_s4beg[0] ;
wire \tile_x13y13_s4beg[10] ;
wire \tile_x13y13_s4beg[11] ;
wire \tile_x13y13_s4beg[12] ;
wire \tile_x13y13_s4beg[13] ;
wire \tile_x13y13_s4beg[14] ;
wire \tile_x13y13_s4beg[15] ;
wire \tile_x13y13_s4beg[1] ;
wire \tile_x13y13_s4beg[2] ;
wire \tile_x13y13_s4beg[3] ;
wire \tile_x13y13_s4beg[4] ;
wire \tile_x13y13_s4beg[5] ;
wire \tile_x13y13_s4beg[6] ;
wire \tile_x13y13_s4beg[7] ;
wire \tile_x13y13_s4beg[8] ;
wire \tile_x13y13_s4beg[9] ;
wire \tile_x13y13_ss4beg[0] ;
wire \tile_x13y13_ss4beg[10] ;
wire \tile_x13y13_ss4beg[11] ;
wire \tile_x13y13_ss4beg[12] ;
wire \tile_x13y13_ss4beg[13] ;
wire \tile_x13y13_ss4beg[14] ;
wire \tile_x13y13_ss4beg[15] ;
wire \tile_x13y13_ss4beg[1] ;
wire \tile_x13y13_ss4beg[2] ;
wire \tile_x13y13_ss4beg[3] ;
wire \tile_x13y13_ss4beg[4] ;
wire \tile_x13y13_ss4beg[5] ;
wire \tile_x13y13_ss4beg[6] ;
wire \tile_x13y13_ss4beg[7] ;
wire \tile_x13y13_ss4beg[8] ;
wire \tile_x13y13_ss4beg[9] ;
wire tile_x13y13_userclko;
wire \tile_x13y13_w1beg[0] ;
wire \tile_x13y13_w1beg[1] ;
wire \tile_x13y13_w1beg[2] ;
wire \tile_x13y13_w1beg[3] ;
wire \tile_x13y13_w2beg[0] ;
wire \tile_x13y13_w2beg[1] ;
wire \tile_x13y13_w2beg[2] ;
wire \tile_x13y13_w2beg[3] ;
wire \tile_x13y13_w2beg[4] ;
wire \tile_x13y13_w2beg[5] ;
wire \tile_x13y13_w2beg[6] ;
wire \tile_x13y13_w2beg[7] ;
wire \tile_x13y13_w2begb[0] ;
wire \tile_x13y13_w2begb[1] ;
wire \tile_x13y13_w2begb[2] ;
wire \tile_x13y13_w2begb[3] ;
wire \tile_x13y13_w2begb[4] ;
wire \tile_x13y13_w2begb[5] ;
wire \tile_x13y13_w2begb[6] ;
wire \tile_x13y13_w2begb[7] ;
wire \tile_x13y13_w6beg[0] ;
wire \tile_x13y13_w6beg[10] ;
wire \tile_x13y13_w6beg[11] ;
wire \tile_x13y13_w6beg[1] ;
wire \tile_x13y13_w6beg[2] ;
wire \tile_x13y13_w6beg[3] ;
wire \tile_x13y13_w6beg[4] ;
wire \tile_x13y13_w6beg[5] ;
wire \tile_x13y13_w6beg[6] ;
wire \tile_x13y13_w6beg[7] ;
wire \tile_x13y13_w6beg[8] ;
wire \tile_x13y13_w6beg[9] ;
wire \tile_x13y13_ww4beg[0] ;
wire \tile_x13y13_ww4beg[10] ;
wire \tile_x13y13_ww4beg[11] ;
wire \tile_x13y13_ww4beg[12] ;
wire \tile_x13y13_ww4beg[13] ;
wire \tile_x13y13_ww4beg[14] ;
wire \tile_x13y13_ww4beg[15] ;
wire \tile_x13y13_ww4beg[1] ;
wire \tile_x13y13_ww4beg[2] ;
wire \tile_x13y13_ww4beg[3] ;
wire \tile_x13y13_ww4beg[4] ;
wire \tile_x13y13_ww4beg[5] ;
wire \tile_x13y13_ww4beg[6] ;
wire \tile_x13y13_ww4beg[7] ;
wire \tile_x13y13_ww4beg[8] ;
wire \tile_x13y13_ww4beg[9] ;
wire tile_x13y14_co;
wire \tile_x13y14_e1beg[0] ;
wire \tile_x13y14_e1beg[1] ;
wire \tile_x13y14_e1beg[2] ;
wire \tile_x13y14_e1beg[3] ;
wire \tile_x13y14_e2beg[0] ;
wire \tile_x13y14_e2beg[1] ;
wire \tile_x13y14_e2beg[2] ;
wire \tile_x13y14_e2beg[3] ;
wire \tile_x13y14_e2beg[4] ;
wire \tile_x13y14_e2beg[5] ;
wire \tile_x13y14_e2beg[6] ;
wire \tile_x13y14_e2beg[7] ;
wire \tile_x13y14_e2begb[0] ;
wire \tile_x13y14_e2begb[1] ;
wire \tile_x13y14_e2begb[2] ;
wire \tile_x13y14_e2begb[3] ;
wire \tile_x13y14_e2begb[4] ;
wire \tile_x13y14_e2begb[5] ;
wire \tile_x13y14_e2begb[6] ;
wire \tile_x13y14_e2begb[7] ;
wire \tile_x13y14_e6beg[0] ;
wire \tile_x13y14_e6beg[10] ;
wire \tile_x13y14_e6beg[11] ;
wire \tile_x13y14_e6beg[1] ;
wire \tile_x13y14_e6beg[2] ;
wire \tile_x13y14_e6beg[3] ;
wire \tile_x13y14_e6beg[4] ;
wire \tile_x13y14_e6beg[5] ;
wire \tile_x13y14_e6beg[6] ;
wire \tile_x13y14_e6beg[7] ;
wire \tile_x13y14_e6beg[8] ;
wire \tile_x13y14_e6beg[9] ;
wire \tile_x13y14_ee4beg[0] ;
wire \tile_x13y14_ee4beg[10] ;
wire \tile_x13y14_ee4beg[11] ;
wire \tile_x13y14_ee4beg[12] ;
wire \tile_x13y14_ee4beg[13] ;
wire \tile_x13y14_ee4beg[14] ;
wire \tile_x13y14_ee4beg[15] ;
wire \tile_x13y14_ee4beg[1] ;
wire \tile_x13y14_ee4beg[2] ;
wire \tile_x13y14_ee4beg[3] ;
wire \tile_x13y14_ee4beg[4] ;
wire \tile_x13y14_ee4beg[5] ;
wire \tile_x13y14_ee4beg[6] ;
wire \tile_x13y14_ee4beg[7] ;
wire \tile_x13y14_ee4beg[8] ;
wire \tile_x13y14_ee4beg[9] ;
wire \tile_x13y14_framedata_o[0] ;
wire \tile_x13y14_framedata_o[10] ;
wire \tile_x13y14_framedata_o[11] ;
wire \tile_x13y14_framedata_o[12] ;
wire \tile_x13y14_framedata_o[13] ;
wire \tile_x13y14_framedata_o[14] ;
wire \tile_x13y14_framedata_o[15] ;
wire \tile_x13y14_framedata_o[16] ;
wire \tile_x13y14_framedata_o[17] ;
wire \tile_x13y14_framedata_o[18] ;
wire \tile_x13y14_framedata_o[19] ;
wire \tile_x13y14_framedata_o[1] ;
wire \tile_x13y14_framedata_o[20] ;
wire \tile_x13y14_framedata_o[21] ;
wire \tile_x13y14_framedata_o[22] ;
wire \tile_x13y14_framedata_o[23] ;
wire \tile_x13y14_framedata_o[24] ;
wire \tile_x13y14_framedata_o[25] ;
wire \tile_x13y14_framedata_o[26] ;
wire \tile_x13y14_framedata_o[27] ;
wire \tile_x13y14_framedata_o[28] ;
wire \tile_x13y14_framedata_o[29] ;
wire \tile_x13y14_framedata_o[2] ;
wire \tile_x13y14_framedata_o[30] ;
wire \tile_x13y14_framedata_o[31] ;
wire \tile_x13y14_framedata_o[3] ;
wire \tile_x13y14_framedata_o[4] ;
wire \tile_x13y14_framedata_o[5] ;
wire \tile_x13y14_framedata_o[6] ;
wire \tile_x13y14_framedata_o[7] ;
wire \tile_x13y14_framedata_o[8] ;
wire \tile_x13y14_framedata_o[9] ;
wire \tile_x13y14_framestrobe_o[0] ;
wire \tile_x13y14_framestrobe_o[10] ;
wire \tile_x13y14_framestrobe_o[11] ;
wire \tile_x13y14_framestrobe_o[12] ;
wire \tile_x13y14_framestrobe_o[13] ;
wire \tile_x13y14_framestrobe_o[14] ;
wire \tile_x13y14_framestrobe_o[15] ;
wire \tile_x13y14_framestrobe_o[16] ;
wire \tile_x13y14_framestrobe_o[17] ;
wire \tile_x13y14_framestrobe_o[18] ;
wire \tile_x13y14_framestrobe_o[19] ;
wire \tile_x13y14_framestrobe_o[1] ;
wire \tile_x13y14_framestrobe_o[2] ;
wire \tile_x13y14_framestrobe_o[3] ;
wire \tile_x13y14_framestrobe_o[4] ;
wire \tile_x13y14_framestrobe_o[5] ;
wire \tile_x13y14_framestrobe_o[6] ;
wire \tile_x13y14_framestrobe_o[7] ;
wire \tile_x13y14_framestrobe_o[8] ;
wire \tile_x13y14_framestrobe_o[9] ;
wire \tile_x13y14_n1beg[0] ;
wire \tile_x13y14_n1beg[1] ;
wire \tile_x13y14_n1beg[2] ;
wire \tile_x13y14_n1beg[3] ;
wire \tile_x13y14_n2beg[0] ;
wire \tile_x13y14_n2beg[1] ;
wire \tile_x13y14_n2beg[2] ;
wire \tile_x13y14_n2beg[3] ;
wire \tile_x13y14_n2beg[4] ;
wire \tile_x13y14_n2beg[5] ;
wire \tile_x13y14_n2beg[6] ;
wire \tile_x13y14_n2beg[7] ;
wire \tile_x13y14_n2begb[0] ;
wire \tile_x13y14_n2begb[1] ;
wire \tile_x13y14_n2begb[2] ;
wire \tile_x13y14_n2begb[3] ;
wire \tile_x13y14_n2begb[4] ;
wire \tile_x13y14_n2begb[5] ;
wire \tile_x13y14_n2begb[6] ;
wire \tile_x13y14_n2begb[7] ;
wire \tile_x13y14_n4beg[0] ;
wire \tile_x13y14_n4beg[10] ;
wire \tile_x13y14_n4beg[11] ;
wire \tile_x13y14_n4beg[12] ;
wire \tile_x13y14_n4beg[13] ;
wire \tile_x13y14_n4beg[14] ;
wire \tile_x13y14_n4beg[15] ;
wire \tile_x13y14_n4beg[1] ;
wire \tile_x13y14_n4beg[2] ;
wire \tile_x13y14_n4beg[3] ;
wire \tile_x13y14_n4beg[4] ;
wire \tile_x13y14_n4beg[5] ;
wire \tile_x13y14_n4beg[6] ;
wire \tile_x13y14_n4beg[7] ;
wire \tile_x13y14_n4beg[8] ;
wire \tile_x13y14_n4beg[9] ;
wire \tile_x13y14_nn4beg[0] ;
wire \tile_x13y14_nn4beg[10] ;
wire \tile_x13y14_nn4beg[11] ;
wire \tile_x13y14_nn4beg[12] ;
wire \tile_x13y14_nn4beg[13] ;
wire \tile_x13y14_nn4beg[14] ;
wire \tile_x13y14_nn4beg[15] ;
wire \tile_x13y14_nn4beg[1] ;
wire \tile_x13y14_nn4beg[2] ;
wire \tile_x13y14_nn4beg[3] ;
wire \tile_x13y14_nn4beg[4] ;
wire \tile_x13y14_nn4beg[5] ;
wire \tile_x13y14_nn4beg[6] ;
wire \tile_x13y14_nn4beg[7] ;
wire \tile_x13y14_nn4beg[8] ;
wire \tile_x13y14_nn4beg[9] ;
wire \tile_x13y14_s1beg[0] ;
wire \tile_x13y14_s1beg[1] ;
wire \tile_x13y14_s1beg[2] ;
wire \tile_x13y14_s1beg[3] ;
wire \tile_x13y14_s2beg[0] ;
wire \tile_x13y14_s2beg[1] ;
wire \tile_x13y14_s2beg[2] ;
wire \tile_x13y14_s2beg[3] ;
wire \tile_x13y14_s2beg[4] ;
wire \tile_x13y14_s2beg[5] ;
wire \tile_x13y14_s2beg[6] ;
wire \tile_x13y14_s2beg[7] ;
wire \tile_x13y14_s2begb[0] ;
wire \tile_x13y14_s2begb[1] ;
wire \tile_x13y14_s2begb[2] ;
wire \tile_x13y14_s2begb[3] ;
wire \tile_x13y14_s2begb[4] ;
wire \tile_x13y14_s2begb[5] ;
wire \tile_x13y14_s2begb[6] ;
wire \tile_x13y14_s2begb[7] ;
wire \tile_x13y14_s4beg[0] ;
wire \tile_x13y14_s4beg[10] ;
wire \tile_x13y14_s4beg[11] ;
wire \tile_x13y14_s4beg[12] ;
wire \tile_x13y14_s4beg[13] ;
wire \tile_x13y14_s4beg[14] ;
wire \tile_x13y14_s4beg[15] ;
wire \tile_x13y14_s4beg[1] ;
wire \tile_x13y14_s4beg[2] ;
wire \tile_x13y14_s4beg[3] ;
wire \tile_x13y14_s4beg[4] ;
wire \tile_x13y14_s4beg[5] ;
wire \tile_x13y14_s4beg[6] ;
wire \tile_x13y14_s4beg[7] ;
wire \tile_x13y14_s4beg[8] ;
wire \tile_x13y14_s4beg[9] ;
wire \tile_x13y14_ss4beg[0] ;
wire \tile_x13y14_ss4beg[10] ;
wire \tile_x13y14_ss4beg[11] ;
wire \tile_x13y14_ss4beg[12] ;
wire \tile_x13y14_ss4beg[13] ;
wire \tile_x13y14_ss4beg[14] ;
wire \tile_x13y14_ss4beg[15] ;
wire \tile_x13y14_ss4beg[1] ;
wire \tile_x13y14_ss4beg[2] ;
wire \tile_x13y14_ss4beg[3] ;
wire \tile_x13y14_ss4beg[4] ;
wire \tile_x13y14_ss4beg[5] ;
wire \tile_x13y14_ss4beg[6] ;
wire \tile_x13y14_ss4beg[7] ;
wire \tile_x13y14_ss4beg[8] ;
wire \tile_x13y14_ss4beg[9] ;
wire tile_x13y14_userclko;
wire \tile_x13y14_w1beg[0] ;
wire \tile_x13y14_w1beg[1] ;
wire \tile_x13y14_w1beg[2] ;
wire \tile_x13y14_w1beg[3] ;
wire \tile_x13y14_w2beg[0] ;
wire \tile_x13y14_w2beg[1] ;
wire \tile_x13y14_w2beg[2] ;
wire \tile_x13y14_w2beg[3] ;
wire \tile_x13y14_w2beg[4] ;
wire \tile_x13y14_w2beg[5] ;
wire \tile_x13y14_w2beg[6] ;
wire \tile_x13y14_w2beg[7] ;
wire \tile_x13y14_w2begb[0] ;
wire \tile_x13y14_w2begb[1] ;
wire \tile_x13y14_w2begb[2] ;
wire \tile_x13y14_w2begb[3] ;
wire \tile_x13y14_w2begb[4] ;
wire \tile_x13y14_w2begb[5] ;
wire \tile_x13y14_w2begb[6] ;
wire \tile_x13y14_w2begb[7] ;
wire \tile_x13y14_w6beg[0] ;
wire \tile_x13y14_w6beg[10] ;
wire \tile_x13y14_w6beg[11] ;
wire \tile_x13y14_w6beg[1] ;
wire \tile_x13y14_w6beg[2] ;
wire \tile_x13y14_w6beg[3] ;
wire \tile_x13y14_w6beg[4] ;
wire \tile_x13y14_w6beg[5] ;
wire \tile_x13y14_w6beg[6] ;
wire \tile_x13y14_w6beg[7] ;
wire \tile_x13y14_w6beg[8] ;
wire \tile_x13y14_w6beg[9] ;
wire \tile_x13y14_ww4beg[0] ;
wire \tile_x13y14_ww4beg[10] ;
wire \tile_x13y14_ww4beg[11] ;
wire \tile_x13y14_ww4beg[12] ;
wire \tile_x13y14_ww4beg[13] ;
wire \tile_x13y14_ww4beg[14] ;
wire \tile_x13y14_ww4beg[15] ;
wire \tile_x13y14_ww4beg[1] ;
wire \tile_x13y14_ww4beg[2] ;
wire \tile_x13y14_ww4beg[3] ;
wire \tile_x13y14_ww4beg[4] ;
wire \tile_x13y14_ww4beg[5] ;
wire \tile_x13y14_ww4beg[6] ;
wire \tile_x13y14_ww4beg[7] ;
wire \tile_x13y14_ww4beg[8] ;
wire \tile_x13y14_ww4beg[9] ;
wire tile_x13y15_co;
wire \tile_x13y15_framestrobe_o[0] ;
wire \tile_x13y15_framestrobe_o[10] ;
wire \tile_x13y15_framestrobe_o[11] ;
wire \tile_x13y15_framestrobe_o[12] ;
wire \tile_x13y15_framestrobe_o[13] ;
wire \tile_x13y15_framestrobe_o[14] ;
wire \tile_x13y15_framestrobe_o[15] ;
wire \tile_x13y15_framestrobe_o[16] ;
wire \tile_x13y15_framestrobe_o[17] ;
wire \tile_x13y15_framestrobe_o[18] ;
wire \tile_x13y15_framestrobe_o[19] ;
wire \tile_x13y15_framestrobe_o[1] ;
wire \tile_x13y15_framestrobe_o[2] ;
wire \tile_x13y15_framestrobe_o[3] ;
wire \tile_x13y15_framestrobe_o[4] ;
wire \tile_x13y15_framestrobe_o[5] ;
wire \tile_x13y15_framestrobe_o[6] ;
wire \tile_x13y15_framestrobe_o[7] ;
wire \tile_x13y15_framestrobe_o[8] ;
wire \tile_x13y15_framestrobe_o[9] ;
wire \tile_x13y15_n1beg[0] ;
wire \tile_x13y15_n1beg[1] ;
wire \tile_x13y15_n1beg[2] ;
wire \tile_x13y15_n1beg[3] ;
wire \tile_x13y15_n2beg[0] ;
wire \tile_x13y15_n2beg[1] ;
wire \tile_x13y15_n2beg[2] ;
wire \tile_x13y15_n2beg[3] ;
wire \tile_x13y15_n2beg[4] ;
wire \tile_x13y15_n2beg[5] ;
wire \tile_x13y15_n2beg[6] ;
wire \tile_x13y15_n2beg[7] ;
wire \tile_x13y15_n2begb[0] ;
wire \tile_x13y15_n2begb[1] ;
wire \tile_x13y15_n2begb[2] ;
wire \tile_x13y15_n2begb[3] ;
wire \tile_x13y15_n2begb[4] ;
wire \tile_x13y15_n2begb[5] ;
wire \tile_x13y15_n2begb[6] ;
wire \tile_x13y15_n2begb[7] ;
wire \tile_x13y15_n4beg[0] ;
wire \tile_x13y15_n4beg[10] ;
wire \tile_x13y15_n4beg[11] ;
wire \tile_x13y15_n4beg[12] ;
wire \tile_x13y15_n4beg[13] ;
wire \tile_x13y15_n4beg[14] ;
wire \tile_x13y15_n4beg[15] ;
wire \tile_x13y15_n4beg[1] ;
wire \tile_x13y15_n4beg[2] ;
wire \tile_x13y15_n4beg[3] ;
wire \tile_x13y15_n4beg[4] ;
wire \tile_x13y15_n4beg[5] ;
wire \tile_x13y15_n4beg[6] ;
wire \tile_x13y15_n4beg[7] ;
wire \tile_x13y15_n4beg[8] ;
wire \tile_x13y15_n4beg[9] ;
wire \tile_x13y15_nn4beg[0] ;
wire \tile_x13y15_nn4beg[10] ;
wire \tile_x13y15_nn4beg[11] ;
wire \tile_x13y15_nn4beg[12] ;
wire \tile_x13y15_nn4beg[13] ;
wire \tile_x13y15_nn4beg[14] ;
wire \tile_x13y15_nn4beg[15] ;
wire \tile_x13y15_nn4beg[1] ;
wire \tile_x13y15_nn4beg[2] ;
wire \tile_x13y15_nn4beg[3] ;
wire \tile_x13y15_nn4beg[4] ;
wire \tile_x13y15_nn4beg[5] ;
wire \tile_x13y15_nn4beg[6] ;
wire \tile_x13y15_nn4beg[7] ;
wire \tile_x13y15_nn4beg[8] ;
wire \tile_x13y15_nn4beg[9] ;
wire tile_x13y15_userclko;
wire \tile_x13y9_framestrobe_o[0] ;
wire \tile_x13y9_framestrobe_o[10] ;
wire \tile_x13y9_framestrobe_o[11] ;
wire \tile_x13y9_framestrobe_o[12] ;
wire \tile_x13y9_framestrobe_o[13] ;
wire \tile_x13y9_framestrobe_o[14] ;
wire \tile_x13y9_framestrobe_o[15] ;
wire \tile_x13y9_framestrobe_o[16] ;
wire \tile_x13y9_framestrobe_o[17] ;
wire \tile_x13y9_framestrobe_o[18] ;
wire \tile_x13y9_framestrobe_o[19] ;
wire \tile_x13y9_framestrobe_o[1] ;
wire \tile_x13y9_framestrobe_o[2] ;
wire \tile_x13y9_framestrobe_o[3] ;
wire \tile_x13y9_framestrobe_o[4] ;
wire \tile_x13y9_framestrobe_o[5] ;
wire \tile_x13y9_framestrobe_o[6] ;
wire \tile_x13y9_framestrobe_o[7] ;
wire \tile_x13y9_framestrobe_o[8] ;
wire \tile_x13y9_framestrobe_o[9] ;
wire \tile_x13y9_s1beg[0] ;
wire \tile_x13y9_s1beg[1] ;
wire \tile_x13y9_s1beg[2] ;
wire \tile_x13y9_s1beg[3] ;
wire \tile_x13y9_s2beg[0] ;
wire \tile_x13y9_s2beg[1] ;
wire \tile_x13y9_s2beg[2] ;
wire \tile_x13y9_s2beg[3] ;
wire \tile_x13y9_s2beg[4] ;
wire \tile_x13y9_s2beg[5] ;
wire \tile_x13y9_s2beg[6] ;
wire \tile_x13y9_s2beg[7] ;
wire \tile_x13y9_s2begb[0] ;
wire \tile_x13y9_s2begb[1] ;
wire \tile_x13y9_s2begb[2] ;
wire \tile_x13y9_s2begb[3] ;
wire \tile_x13y9_s2begb[4] ;
wire \tile_x13y9_s2begb[5] ;
wire \tile_x13y9_s2begb[6] ;
wire \tile_x13y9_s2begb[7] ;
wire \tile_x13y9_s4beg[0] ;
wire \tile_x13y9_s4beg[10] ;
wire \tile_x13y9_s4beg[11] ;
wire \tile_x13y9_s4beg[12] ;
wire \tile_x13y9_s4beg[13] ;
wire \tile_x13y9_s4beg[14] ;
wire \tile_x13y9_s4beg[15] ;
wire \tile_x13y9_s4beg[1] ;
wire \tile_x13y9_s4beg[2] ;
wire \tile_x13y9_s4beg[3] ;
wire \tile_x13y9_s4beg[4] ;
wire \tile_x13y9_s4beg[5] ;
wire \tile_x13y9_s4beg[6] ;
wire \tile_x13y9_s4beg[7] ;
wire \tile_x13y9_s4beg[8] ;
wire \tile_x13y9_s4beg[9] ;
wire \tile_x13y9_ss4beg[0] ;
wire \tile_x13y9_ss4beg[10] ;
wire \tile_x13y9_ss4beg[11] ;
wire \tile_x13y9_ss4beg[12] ;
wire \tile_x13y9_ss4beg[13] ;
wire \tile_x13y9_ss4beg[14] ;
wire \tile_x13y9_ss4beg[15] ;
wire \tile_x13y9_ss4beg[1] ;
wire \tile_x13y9_ss4beg[2] ;
wire \tile_x13y9_ss4beg[3] ;
wire \tile_x13y9_ss4beg[4] ;
wire \tile_x13y9_ss4beg[5] ;
wire \tile_x13y9_ss4beg[6] ;
wire \tile_x13y9_ss4beg[7] ;
wire \tile_x13y9_ss4beg[8] ;
wire \tile_x13y9_ss4beg[9] ;
wire tile_x13y9_userclko;
output tile_x14y10_config_accessc_bit0;
output tile_x14y10_config_accessc_bit1;
output tile_x14y10_config_accessc_bit2;
output tile_x14y10_config_accessc_bit3;
output tile_x14y10_fab2ram_a0_o0;
output tile_x14y10_fab2ram_a0_o1;
output tile_x14y10_fab2ram_a0_o2;
output tile_x14y10_fab2ram_a0_o3;
output tile_x14y10_fab2ram_a1_o0;
output tile_x14y10_fab2ram_a1_o1;
output tile_x14y10_fab2ram_a1_o2;
output tile_x14y10_fab2ram_a1_o3;
output tile_x14y10_fab2ram_c_o0;
output tile_x14y10_fab2ram_c_o1;
output tile_x14y10_fab2ram_c_o2;
output tile_x14y10_fab2ram_c_o3;
output tile_x14y10_fab2ram_d0_o0;
output tile_x14y10_fab2ram_d0_o1;
output tile_x14y10_fab2ram_d0_o2;
output tile_x14y10_fab2ram_d0_o3;
output tile_x14y10_fab2ram_d1_o0;
output tile_x14y10_fab2ram_d1_o1;
output tile_x14y10_fab2ram_d1_o2;
output tile_x14y10_fab2ram_d1_o3;
output tile_x14y10_fab2ram_d2_o0;
output tile_x14y10_fab2ram_d2_o1;
output tile_x14y10_fab2ram_d2_o2;
output tile_x14y10_fab2ram_d2_o3;
output tile_x14y10_fab2ram_d3_o0;
output tile_x14y10_fab2ram_d3_o1;
output tile_x14y10_fab2ram_d3_o2;
output tile_x14y10_fab2ram_d3_o3;
wire \tile_x14y10_framedata_o[0] ;
wire \tile_x14y10_framedata_o[10] ;
wire \tile_x14y10_framedata_o[11] ;
wire \tile_x14y10_framedata_o[12] ;
wire \tile_x14y10_framedata_o[13] ;
wire \tile_x14y10_framedata_o[14] ;
wire \tile_x14y10_framedata_o[15] ;
wire \tile_x14y10_framedata_o[16] ;
wire \tile_x14y10_framedata_o[17] ;
wire \tile_x14y10_framedata_o[18] ;
wire \tile_x14y10_framedata_o[19] ;
wire \tile_x14y10_framedata_o[1] ;
wire \tile_x14y10_framedata_o[20] ;
wire \tile_x14y10_framedata_o[21] ;
wire \tile_x14y10_framedata_o[22] ;
wire \tile_x14y10_framedata_o[23] ;
wire \tile_x14y10_framedata_o[24] ;
wire \tile_x14y10_framedata_o[25] ;
wire \tile_x14y10_framedata_o[26] ;
wire \tile_x14y10_framedata_o[27] ;
wire \tile_x14y10_framedata_o[28] ;
wire \tile_x14y10_framedata_o[29] ;
wire \tile_x14y10_framedata_o[2] ;
wire \tile_x14y10_framedata_o[30] ;
wire \tile_x14y10_framedata_o[31] ;
wire \tile_x14y10_framedata_o[3] ;
wire \tile_x14y10_framedata_o[4] ;
wire \tile_x14y10_framedata_o[5] ;
wire \tile_x14y10_framedata_o[6] ;
wire \tile_x14y10_framedata_o[7] ;
wire \tile_x14y10_framedata_o[8] ;
wire \tile_x14y10_framedata_o[9] ;
wire \tile_x14y10_framestrobe_o[0] ;
wire \tile_x14y10_framestrobe_o[10] ;
wire \tile_x14y10_framestrobe_o[11] ;
wire \tile_x14y10_framestrobe_o[12] ;
wire \tile_x14y10_framestrobe_o[13] ;
wire \tile_x14y10_framestrobe_o[14] ;
wire \tile_x14y10_framestrobe_o[15] ;
wire \tile_x14y10_framestrobe_o[16] ;
wire \tile_x14y10_framestrobe_o[17] ;
wire \tile_x14y10_framestrobe_o[18] ;
wire \tile_x14y10_framestrobe_o[19] ;
wire \tile_x14y10_framestrobe_o[1] ;
wire \tile_x14y10_framestrobe_o[2] ;
wire \tile_x14y10_framestrobe_o[3] ;
wire \tile_x14y10_framestrobe_o[4] ;
wire \tile_x14y10_framestrobe_o[5] ;
wire \tile_x14y10_framestrobe_o[6] ;
wire \tile_x14y10_framestrobe_o[7] ;
wire \tile_x14y10_framestrobe_o[8] ;
wire \tile_x14y10_framestrobe_o[9] ;
wire \tile_x14y10_n1beg[0] ;
wire \tile_x14y10_n1beg[1] ;
wire \tile_x14y10_n1beg[2] ;
wire \tile_x14y10_n1beg[3] ;
wire \tile_x14y10_n2beg[0] ;
wire \tile_x14y10_n2beg[1] ;
wire \tile_x14y10_n2beg[2] ;
wire \tile_x14y10_n2beg[3] ;
wire \tile_x14y10_n2beg[4] ;
wire \tile_x14y10_n2beg[5] ;
wire \tile_x14y10_n2beg[6] ;
wire \tile_x14y10_n2beg[7] ;
wire \tile_x14y10_n2begb[0] ;
wire \tile_x14y10_n2begb[1] ;
wire \tile_x14y10_n2begb[2] ;
wire \tile_x14y10_n2begb[3] ;
wire \tile_x14y10_n2begb[4] ;
wire \tile_x14y10_n2begb[5] ;
wire \tile_x14y10_n2begb[6] ;
wire \tile_x14y10_n2begb[7] ;
wire \tile_x14y10_n4beg[0] ;
wire \tile_x14y10_n4beg[10] ;
wire \tile_x14y10_n4beg[11] ;
wire \tile_x14y10_n4beg[12] ;
wire \tile_x14y10_n4beg[13] ;
wire \tile_x14y10_n4beg[14] ;
wire \tile_x14y10_n4beg[15] ;
wire \tile_x14y10_n4beg[1] ;
wire \tile_x14y10_n4beg[2] ;
wire \tile_x14y10_n4beg[3] ;
wire \tile_x14y10_n4beg[4] ;
wire \tile_x14y10_n4beg[5] ;
wire \tile_x14y10_n4beg[6] ;
wire \tile_x14y10_n4beg[7] ;
wire \tile_x14y10_n4beg[8] ;
wire \tile_x14y10_n4beg[9] ;
input tile_x14y10_ram2fab_d0_i0;
input tile_x14y10_ram2fab_d0_i1;
input tile_x14y10_ram2fab_d0_i2;
input tile_x14y10_ram2fab_d0_i3;
input tile_x14y10_ram2fab_d1_i0;
input tile_x14y10_ram2fab_d1_i1;
input tile_x14y10_ram2fab_d1_i2;
input tile_x14y10_ram2fab_d1_i3;
input tile_x14y10_ram2fab_d2_i0;
input tile_x14y10_ram2fab_d2_i1;
input tile_x14y10_ram2fab_d2_i2;
input tile_x14y10_ram2fab_d2_i3;
input tile_x14y10_ram2fab_d3_i0;
input tile_x14y10_ram2fab_d3_i1;
input tile_x14y10_ram2fab_d3_i2;
input tile_x14y10_ram2fab_d3_i3;
wire \tile_x14y10_s1beg[0] ;
wire \tile_x14y10_s1beg[1] ;
wire \tile_x14y10_s1beg[2] ;
wire \tile_x14y10_s1beg[3] ;
wire \tile_x14y10_s2beg[0] ;
wire \tile_x14y10_s2beg[1] ;
wire \tile_x14y10_s2beg[2] ;
wire \tile_x14y10_s2beg[3] ;
wire \tile_x14y10_s2beg[4] ;
wire \tile_x14y10_s2beg[5] ;
wire \tile_x14y10_s2beg[6] ;
wire \tile_x14y10_s2beg[7] ;
wire \tile_x14y10_s2begb[0] ;
wire \tile_x14y10_s2begb[1] ;
wire \tile_x14y10_s2begb[2] ;
wire \tile_x14y10_s2begb[3] ;
wire \tile_x14y10_s2begb[4] ;
wire \tile_x14y10_s2begb[5] ;
wire \tile_x14y10_s2begb[6] ;
wire \tile_x14y10_s2begb[7] ;
wire \tile_x14y10_s4beg[0] ;
wire \tile_x14y10_s4beg[10] ;
wire \tile_x14y10_s4beg[11] ;
wire \tile_x14y10_s4beg[12] ;
wire \tile_x14y10_s4beg[13] ;
wire \tile_x14y10_s4beg[14] ;
wire \tile_x14y10_s4beg[15] ;
wire \tile_x14y10_s4beg[1] ;
wire \tile_x14y10_s4beg[2] ;
wire \tile_x14y10_s4beg[3] ;
wire \tile_x14y10_s4beg[4] ;
wire \tile_x14y10_s4beg[5] ;
wire \tile_x14y10_s4beg[6] ;
wire \tile_x14y10_s4beg[7] ;
wire \tile_x14y10_s4beg[8] ;
wire \tile_x14y10_s4beg[9] ;
wire tile_x14y10_userclko;
wire \tile_x14y10_w1beg[0] ;
wire \tile_x14y10_w1beg[1] ;
wire \tile_x14y10_w1beg[2] ;
wire \tile_x14y10_w1beg[3] ;
wire \tile_x14y10_w2beg[0] ;
wire \tile_x14y10_w2beg[1] ;
wire \tile_x14y10_w2beg[2] ;
wire \tile_x14y10_w2beg[3] ;
wire \tile_x14y10_w2beg[4] ;
wire \tile_x14y10_w2beg[5] ;
wire \tile_x14y10_w2beg[6] ;
wire \tile_x14y10_w2beg[7] ;
wire \tile_x14y10_w2begb[0] ;
wire \tile_x14y10_w2begb[1] ;
wire \tile_x14y10_w2begb[2] ;
wire \tile_x14y10_w2begb[3] ;
wire \tile_x14y10_w2begb[4] ;
wire \tile_x14y10_w2begb[5] ;
wire \tile_x14y10_w2begb[6] ;
wire \tile_x14y10_w2begb[7] ;
wire \tile_x14y10_w6beg[0] ;
wire \tile_x14y10_w6beg[10] ;
wire \tile_x14y10_w6beg[11] ;
wire \tile_x14y10_w6beg[1] ;
wire \tile_x14y10_w6beg[2] ;
wire \tile_x14y10_w6beg[3] ;
wire \tile_x14y10_w6beg[4] ;
wire \tile_x14y10_w6beg[5] ;
wire \tile_x14y10_w6beg[6] ;
wire \tile_x14y10_w6beg[7] ;
wire \tile_x14y10_w6beg[8] ;
wire \tile_x14y10_w6beg[9] ;
wire \tile_x14y10_ww4beg[0] ;
wire \tile_x14y10_ww4beg[10] ;
wire \tile_x14y10_ww4beg[11] ;
wire \tile_x14y10_ww4beg[12] ;
wire \tile_x14y10_ww4beg[13] ;
wire \tile_x14y10_ww4beg[14] ;
wire \tile_x14y10_ww4beg[15] ;
wire \tile_x14y10_ww4beg[1] ;
wire \tile_x14y10_ww4beg[2] ;
wire \tile_x14y10_ww4beg[3] ;
wire \tile_x14y10_ww4beg[4] ;
wire \tile_x14y10_ww4beg[5] ;
wire \tile_x14y10_ww4beg[6] ;
wire \tile_x14y10_ww4beg[7] ;
wire \tile_x14y10_ww4beg[8] ;
wire \tile_x14y10_ww4beg[9] ;
output tile_x14y11_config_accessc_bit0;
output tile_x14y11_config_accessc_bit1;
output tile_x14y11_config_accessc_bit2;
output tile_x14y11_config_accessc_bit3;
output tile_x14y11_fab2ram_a0_o0;
output tile_x14y11_fab2ram_a0_o1;
output tile_x14y11_fab2ram_a0_o2;
output tile_x14y11_fab2ram_a0_o3;
output tile_x14y11_fab2ram_a1_o0;
output tile_x14y11_fab2ram_a1_o1;
output tile_x14y11_fab2ram_a1_o2;
output tile_x14y11_fab2ram_a1_o3;
output tile_x14y11_fab2ram_c_o0;
output tile_x14y11_fab2ram_c_o1;
output tile_x14y11_fab2ram_c_o2;
output tile_x14y11_fab2ram_c_o3;
output tile_x14y11_fab2ram_d0_o0;
output tile_x14y11_fab2ram_d0_o1;
output tile_x14y11_fab2ram_d0_o2;
output tile_x14y11_fab2ram_d0_o3;
output tile_x14y11_fab2ram_d1_o0;
output tile_x14y11_fab2ram_d1_o1;
output tile_x14y11_fab2ram_d1_o2;
output tile_x14y11_fab2ram_d1_o3;
output tile_x14y11_fab2ram_d2_o0;
output tile_x14y11_fab2ram_d2_o1;
output tile_x14y11_fab2ram_d2_o2;
output tile_x14y11_fab2ram_d2_o3;
output tile_x14y11_fab2ram_d3_o0;
output tile_x14y11_fab2ram_d3_o1;
output tile_x14y11_fab2ram_d3_o2;
output tile_x14y11_fab2ram_d3_o3;
wire \tile_x14y11_framedata_o[0] ;
wire \tile_x14y11_framedata_o[10] ;
wire \tile_x14y11_framedata_o[11] ;
wire \tile_x14y11_framedata_o[12] ;
wire \tile_x14y11_framedata_o[13] ;
wire \tile_x14y11_framedata_o[14] ;
wire \tile_x14y11_framedata_o[15] ;
wire \tile_x14y11_framedata_o[16] ;
wire \tile_x14y11_framedata_o[17] ;
wire \tile_x14y11_framedata_o[18] ;
wire \tile_x14y11_framedata_o[19] ;
wire \tile_x14y11_framedata_o[1] ;
wire \tile_x14y11_framedata_o[20] ;
wire \tile_x14y11_framedata_o[21] ;
wire \tile_x14y11_framedata_o[22] ;
wire \tile_x14y11_framedata_o[23] ;
wire \tile_x14y11_framedata_o[24] ;
wire \tile_x14y11_framedata_o[25] ;
wire \tile_x14y11_framedata_o[26] ;
wire \tile_x14y11_framedata_o[27] ;
wire \tile_x14y11_framedata_o[28] ;
wire \tile_x14y11_framedata_o[29] ;
wire \tile_x14y11_framedata_o[2] ;
wire \tile_x14y11_framedata_o[30] ;
wire \tile_x14y11_framedata_o[31] ;
wire \tile_x14y11_framedata_o[3] ;
wire \tile_x14y11_framedata_o[4] ;
wire \tile_x14y11_framedata_o[5] ;
wire \tile_x14y11_framedata_o[6] ;
wire \tile_x14y11_framedata_o[7] ;
wire \tile_x14y11_framedata_o[8] ;
wire \tile_x14y11_framedata_o[9] ;
wire \tile_x14y11_framestrobe_o[0] ;
wire \tile_x14y11_framestrobe_o[10] ;
wire \tile_x14y11_framestrobe_o[11] ;
wire \tile_x14y11_framestrobe_o[12] ;
wire \tile_x14y11_framestrobe_o[13] ;
wire \tile_x14y11_framestrobe_o[14] ;
wire \tile_x14y11_framestrobe_o[15] ;
wire \tile_x14y11_framestrobe_o[16] ;
wire \tile_x14y11_framestrobe_o[17] ;
wire \tile_x14y11_framestrobe_o[18] ;
wire \tile_x14y11_framestrobe_o[19] ;
wire \tile_x14y11_framestrobe_o[1] ;
wire \tile_x14y11_framestrobe_o[2] ;
wire \tile_x14y11_framestrobe_o[3] ;
wire \tile_x14y11_framestrobe_o[4] ;
wire \tile_x14y11_framestrobe_o[5] ;
wire \tile_x14y11_framestrobe_o[6] ;
wire \tile_x14y11_framestrobe_o[7] ;
wire \tile_x14y11_framestrobe_o[8] ;
wire \tile_x14y11_framestrobe_o[9] ;
wire \tile_x14y11_n1beg[0] ;
wire \tile_x14y11_n1beg[1] ;
wire \tile_x14y11_n1beg[2] ;
wire \tile_x14y11_n1beg[3] ;
wire \tile_x14y11_n2beg[0] ;
wire \tile_x14y11_n2beg[1] ;
wire \tile_x14y11_n2beg[2] ;
wire \tile_x14y11_n2beg[3] ;
wire \tile_x14y11_n2beg[4] ;
wire \tile_x14y11_n2beg[5] ;
wire \tile_x14y11_n2beg[6] ;
wire \tile_x14y11_n2beg[7] ;
wire \tile_x14y11_n2begb[0] ;
wire \tile_x14y11_n2begb[1] ;
wire \tile_x14y11_n2begb[2] ;
wire \tile_x14y11_n2begb[3] ;
wire \tile_x14y11_n2begb[4] ;
wire \tile_x14y11_n2begb[5] ;
wire \tile_x14y11_n2begb[6] ;
wire \tile_x14y11_n2begb[7] ;
wire \tile_x14y11_n4beg[0] ;
wire \tile_x14y11_n4beg[10] ;
wire \tile_x14y11_n4beg[11] ;
wire \tile_x14y11_n4beg[12] ;
wire \tile_x14y11_n4beg[13] ;
wire \tile_x14y11_n4beg[14] ;
wire \tile_x14y11_n4beg[15] ;
wire \tile_x14y11_n4beg[1] ;
wire \tile_x14y11_n4beg[2] ;
wire \tile_x14y11_n4beg[3] ;
wire \tile_x14y11_n4beg[4] ;
wire \tile_x14y11_n4beg[5] ;
wire \tile_x14y11_n4beg[6] ;
wire \tile_x14y11_n4beg[7] ;
wire \tile_x14y11_n4beg[8] ;
wire \tile_x14y11_n4beg[9] ;
input tile_x14y11_ram2fab_d0_i0;
input tile_x14y11_ram2fab_d0_i1;
input tile_x14y11_ram2fab_d0_i2;
input tile_x14y11_ram2fab_d0_i3;
input tile_x14y11_ram2fab_d1_i0;
input tile_x14y11_ram2fab_d1_i1;
input tile_x14y11_ram2fab_d1_i2;
input tile_x14y11_ram2fab_d1_i3;
input tile_x14y11_ram2fab_d2_i0;
input tile_x14y11_ram2fab_d2_i1;
input tile_x14y11_ram2fab_d2_i2;
input tile_x14y11_ram2fab_d2_i3;
input tile_x14y11_ram2fab_d3_i0;
input tile_x14y11_ram2fab_d3_i1;
input tile_x14y11_ram2fab_d3_i2;
input tile_x14y11_ram2fab_d3_i3;
wire \tile_x14y11_s1beg[0] ;
wire \tile_x14y11_s1beg[1] ;
wire \tile_x14y11_s1beg[2] ;
wire \tile_x14y11_s1beg[3] ;
wire \tile_x14y11_s2beg[0] ;
wire \tile_x14y11_s2beg[1] ;
wire \tile_x14y11_s2beg[2] ;
wire \tile_x14y11_s2beg[3] ;
wire \tile_x14y11_s2beg[4] ;
wire \tile_x14y11_s2beg[5] ;
wire \tile_x14y11_s2beg[6] ;
wire \tile_x14y11_s2beg[7] ;
wire \tile_x14y11_s2begb[0] ;
wire \tile_x14y11_s2begb[1] ;
wire \tile_x14y11_s2begb[2] ;
wire \tile_x14y11_s2begb[3] ;
wire \tile_x14y11_s2begb[4] ;
wire \tile_x14y11_s2begb[5] ;
wire \tile_x14y11_s2begb[6] ;
wire \tile_x14y11_s2begb[7] ;
wire \tile_x14y11_s4beg[0] ;
wire \tile_x14y11_s4beg[10] ;
wire \tile_x14y11_s4beg[11] ;
wire \tile_x14y11_s4beg[12] ;
wire \tile_x14y11_s4beg[13] ;
wire \tile_x14y11_s4beg[14] ;
wire \tile_x14y11_s4beg[15] ;
wire \tile_x14y11_s4beg[1] ;
wire \tile_x14y11_s4beg[2] ;
wire \tile_x14y11_s4beg[3] ;
wire \tile_x14y11_s4beg[4] ;
wire \tile_x14y11_s4beg[5] ;
wire \tile_x14y11_s4beg[6] ;
wire \tile_x14y11_s4beg[7] ;
wire \tile_x14y11_s4beg[8] ;
wire \tile_x14y11_s4beg[9] ;
wire tile_x14y11_userclko;
wire \tile_x14y11_w1beg[0] ;
wire \tile_x14y11_w1beg[1] ;
wire \tile_x14y11_w1beg[2] ;
wire \tile_x14y11_w1beg[3] ;
wire \tile_x14y11_w2beg[0] ;
wire \tile_x14y11_w2beg[1] ;
wire \tile_x14y11_w2beg[2] ;
wire \tile_x14y11_w2beg[3] ;
wire \tile_x14y11_w2beg[4] ;
wire \tile_x14y11_w2beg[5] ;
wire \tile_x14y11_w2beg[6] ;
wire \tile_x14y11_w2beg[7] ;
wire \tile_x14y11_w2begb[0] ;
wire \tile_x14y11_w2begb[1] ;
wire \tile_x14y11_w2begb[2] ;
wire \tile_x14y11_w2begb[3] ;
wire \tile_x14y11_w2begb[4] ;
wire \tile_x14y11_w2begb[5] ;
wire \tile_x14y11_w2begb[6] ;
wire \tile_x14y11_w2begb[7] ;
wire \tile_x14y11_w6beg[0] ;
wire \tile_x14y11_w6beg[10] ;
wire \tile_x14y11_w6beg[11] ;
wire \tile_x14y11_w6beg[1] ;
wire \tile_x14y11_w6beg[2] ;
wire \tile_x14y11_w6beg[3] ;
wire \tile_x14y11_w6beg[4] ;
wire \tile_x14y11_w6beg[5] ;
wire \tile_x14y11_w6beg[6] ;
wire \tile_x14y11_w6beg[7] ;
wire \tile_x14y11_w6beg[8] ;
wire \tile_x14y11_w6beg[9] ;
wire \tile_x14y11_ww4beg[0] ;
wire \tile_x14y11_ww4beg[10] ;
wire \tile_x14y11_ww4beg[11] ;
wire \tile_x14y11_ww4beg[12] ;
wire \tile_x14y11_ww4beg[13] ;
wire \tile_x14y11_ww4beg[14] ;
wire \tile_x14y11_ww4beg[15] ;
wire \tile_x14y11_ww4beg[1] ;
wire \tile_x14y11_ww4beg[2] ;
wire \tile_x14y11_ww4beg[3] ;
wire \tile_x14y11_ww4beg[4] ;
wire \tile_x14y11_ww4beg[5] ;
wire \tile_x14y11_ww4beg[6] ;
wire \tile_x14y11_ww4beg[7] ;
wire \tile_x14y11_ww4beg[8] ;
wire \tile_x14y11_ww4beg[9] ;
output tile_x14y12_config_accessc_bit0;
output tile_x14y12_config_accessc_bit1;
output tile_x14y12_config_accessc_bit2;
output tile_x14y12_config_accessc_bit3;
output tile_x14y12_fab2ram_a0_o0;
output tile_x14y12_fab2ram_a0_o1;
output tile_x14y12_fab2ram_a0_o2;
output tile_x14y12_fab2ram_a0_o3;
output tile_x14y12_fab2ram_a1_o0;
output tile_x14y12_fab2ram_a1_o1;
output tile_x14y12_fab2ram_a1_o2;
output tile_x14y12_fab2ram_a1_o3;
output tile_x14y12_fab2ram_c_o0;
output tile_x14y12_fab2ram_c_o1;
output tile_x14y12_fab2ram_c_o2;
output tile_x14y12_fab2ram_c_o3;
output tile_x14y12_fab2ram_d0_o0;
output tile_x14y12_fab2ram_d0_o1;
output tile_x14y12_fab2ram_d0_o2;
output tile_x14y12_fab2ram_d0_o3;
output tile_x14y12_fab2ram_d1_o0;
output tile_x14y12_fab2ram_d1_o1;
output tile_x14y12_fab2ram_d1_o2;
output tile_x14y12_fab2ram_d1_o3;
output tile_x14y12_fab2ram_d2_o0;
output tile_x14y12_fab2ram_d2_o1;
output tile_x14y12_fab2ram_d2_o2;
output tile_x14y12_fab2ram_d2_o3;
output tile_x14y12_fab2ram_d3_o0;
output tile_x14y12_fab2ram_d3_o1;
output tile_x14y12_fab2ram_d3_o2;
output tile_x14y12_fab2ram_d3_o3;
wire \tile_x14y12_framedata_o[0] ;
wire \tile_x14y12_framedata_o[10] ;
wire \tile_x14y12_framedata_o[11] ;
wire \tile_x14y12_framedata_o[12] ;
wire \tile_x14y12_framedata_o[13] ;
wire \tile_x14y12_framedata_o[14] ;
wire \tile_x14y12_framedata_o[15] ;
wire \tile_x14y12_framedata_o[16] ;
wire \tile_x14y12_framedata_o[17] ;
wire \tile_x14y12_framedata_o[18] ;
wire \tile_x14y12_framedata_o[19] ;
wire \tile_x14y12_framedata_o[1] ;
wire \tile_x14y12_framedata_o[20] ;
wire \tile_x14y12_framedata_o[21] ;
wire \tile_x14y12_framedata_o[22] ;
wire \tile_x14y12_framedata_o[23] ;
wire \tile_x14y12_framedata_o[24] ;
wire \tile_x14y12_framedata_o[25] ;
wire \tile_x14y12_framedata_o[26] ;
wire \tile_x14y12_framedata_o[27] ;
wire \tile_x14y12_framedata_o[28] ;
wire \tile_x14y12_framedata_o[29] ;
wire \tile_x14y12_framedata_o[2] ;
wire \tile_x14y12_framedata_o[30] ;
wire \tile_x14y12_framedata_o[31] ;
wire \tile_x14y12_framedata_o[3] ;
wire \tile_x14y12_framedata_o[4] ;
wire \tile_x14y12_framedata_o[5] ;
wire \tile_x14y12_framedata_o[6] ;
wire \tile_x14y12_framedata_o[7] ;
wire \tile_x14y12_framedata_o[8] ;
wire \tile_x14y12_framedata_o[9] ;
wire \tile_x14y12_framestrobe_o[0] ;
wire \tile_x14y12_framestrobe_o[10] ;
wire \tile_x14y12_framestrobe_o[11] ;
wire \tile_x14y12_framestrobe_o[12] ;
wire \tile_x14y12_framestrobe_o[13] ;
wire \tile_x14y12_framestrobe_o[14] ;
wire \tile_x14y12_framestrobe_o[15] ;
wire \tile_x14y12_framestrobe_o[16] ;
wire \tile_x14y12_framestrobe_o[17] ;
wire \tile_x14y12_framestrobe_o[18] ;
wire \tile_x14y12_framestrobe_o[19] ;
wire \tile_x14y12_framestrobe_o[1] ;
wire \tile_x14y12_framestrobe_o[2] ;
wire \tile_x14y12_framestrobe_o[3] ;
wire \tile_x14y12_framestrobe_o[4] ;
wire \tile_x14y12_framestrobe_o[5] ;
wire \tile_x14y12_framestrobe_o[6] ;
wire \tile_x14y12_framestrobe_o[7] ;
wire \tile_x14y12_framestrobe_o[8] ;
wire \tile_x14y12_framestrobe_o[9] ;
wire \tile_x14y12_n1beg[0] ;
wire \tile_x14y12_n1beg[1] ;
wire \tile_x14y12_n1beg[2] ;
wire \tile_x14y12_n1beg[3] ;
wire \tile_x14y12_n2beg[0] ;
wire \tile_x14y12_n2beg[1] ;
wire \tile_x14y12_n2beg[2] ;
wire \tile_x14y12_n2beg[3] ;
wire \tile_x14y12_n2beg[4] ;
wire \tile_x14y12_n2beg[5] ;
wire \tile_x14y12_n2beg[6] ;
wire \tile_x14y12_n2beg[7] ;
wire \tile_x14y12_n2begb[0] ;
wire \tile_x14y12_n2begb[1] ;
wire \tile_x14y12_n2begb[2] ;
wire \tile_x14y12_n2begb[3] ;
wire \tile_x14y12_n2begb[4] ;
wire \tile_x14y12_n2begb[5] ;
wire \tile_x14y12_n2begb[6] ;
wire \tile_x14y12_n2begb[7] ;
wire \tile_x14y12_n4beg[0] ;
wire \tile_x14y12_n4beg[10] ;
wire \tile_x14y12_n4beg[11] ;
wire \tile_x14y12_n4beg[12] ;
wire \tile_x14y12_n4beg[13] ;
wire \tile_x14y12_n4beg[14] ;
wire \tile_x14y12_n4beg[15] ;
wire \tile_x14y12_n4beg[1] ;
wire \tile_x14y12_n4beg[2] ;
wire \tile_x14y12_n4beg[3] ;
wire \tile_x14y12_n4beg[4] ;
wire \tile_x14y12_n4beg[5] ;
wire \tile_x14y12_n4beg[6] ;
wire \tile_x14y12_n4beg[7] ;
wire \tile_x14y12_n4beg[8] ;
wire \tile_x14y12_n4beg[9] ;
input tile_x14y12_ram2fab_d0_i0;
input tile_x14y12_ram2fab_d0_i1;
input tile_x14y12_ram2fab_d0_i2;
input tile_x14y12_ram2fab_d0_i3;
input tile_x14y12_ram2fab_d1_i0;
input tile_x14y12_ram2fab_d1_i1;
input tile_x14y12_ram2fab_d1_i2;
input tile_x14y12_ram2fab_d1_i3;
input tile_x14y12_ram2fab_d2_i0;
input tile_x14y12_ram2fab_d2_i1;
input tile_x14y12_ram2fab_d2_i2;
input tile_x14y12_ram2fab_d2_i3;
input tile_x14y12_ram2fab_d3_i0;
input tile_x14y12_ram2fab_d3_i1;
input tile_x14y12_ram2fab_d3_i2;
input tile_x14y12_ram2fab_d3_i3;
wire \tile_x14y12_s1beg[0] ;
wire \tile_x14y12_s1beg[1] ;
wire \tile_x14y12_s1beg[2] ;
wire \tile_x14y12_s1beg[3] ;
wire \tile_x14y12_s2beg[0] ;
wire \tile_x14y12_s2beg[1] ;
wire \tile_x14y12_s2beg[2] ;
wire \tile_x14y12_s2beg[3] ;
wire \tile_x14y12_s2beg[4] ;
wire \tile_x14y12_s2beg[5] ;
wire \tile_x14y12_s2beg[6] ;
wire \tile_x14y12_s2beg[7] ;
wire \tile_x14y12_s2begb[0] ;
wire \tile_x14y12_s2begb[1] ;
wire \tile_x14y12_s2begb[2] ;
wire \tile_x14y12_s2begb[3] ;
wire \tile_x14y12_s2begb[4] ;
wire \tile_x14y12_s2begb[5] ;
wire \tile_x14y12_s2begb[6] ;
wire \tile_x14y12_s2begb[7] ;
wire \tile_x14y12_s4beg[0] ;
wire \tile_x14y12_s4beg[10] ;
wire \tile_x14y12_s4beg[11] ;
wire \tile_x14y12_s4beg[12] ;
wire \tile_x14y12_s4beg[13] ;
wire \tile_x14y12_s4beg[14] ;
wire \tile_x14y12_s4beg[15] ;
wire \tile_x14y12_s4beg[1] ;
wire \tile_x14y12_s4beg[2] ;
wire \tile_x14y12_s4beg[3] ;
wire \tile_x14y12_s4beg[4] ;
wire \tile_x14y12_s4beg[5] ;
wire \tile_x14y12_s4beg[6] ;
wire \tile_x14y12_s4beg[7] ;
wire \tile_x14y12_s4beg[8] ;
wire \tile_x14y12_s4beg[9] ;
wire tile_x14y12_userclko;
wire \tile_x14y12_w1beg[0] ;
wire \tile_x14y12_w1beg[1] ;
wire \tile_x14y12_w1beg[2] ;
wire \tile_x14y12_w1beg[3] ;
wire \tile_x14y12_w2beg[0] ;
wire \tile_x14y12_w2beg[1] ;
wire \tile_x14y12_w2beg[2] ;
wire \tile_x14y12_w2beg[3] ;
wire \tile_x14y12_w2beg[4] ;
wire \tile_x14y12_w2beg[5] ;
wire \tile_x14y12_w2beg[6] ;
wire \tile_x14y12_w2beg[7] ;
wire \tile_x14y12_w2begb[0] ;
wire \tile_x14y12_w2begb[1] ;
wire \tile_x14y12_w2begb[2] ;
wire \tile_x14y12_w2begb[3] ;
wire \tile_x14y12_w2begb[4] ;
wire \tile_x14y12_w2begb[5] ;
wire \tile_x14y12_w2begb[6] ;
wire \tile_x14y12_w2begb[7] ;
wire \tile_x14y12_w6beg[0] ;
wire \tile_x14y12_w6beg[10] ;
wire \tile_x14y12_w6beg[11] ;
wire \tile_x14y12_w6beg[1] ;
wire \tile_x14y12_w6beg[2] ;
wire \tile_x14y12_w6beg[3] ;
wire \tile_x14y12_w6beg[4] ;
wire \tile_x14y12_w6beg[5] ;
wire \tile_x14y12_w6beg[6] ;
wire \tile_x14y12_w6beg[7] ;
wire \tile_x14y12_w6beg[8] ;
wire \tile_x14y12_w6beg[9] ;
wire \tile_x14y12_ww4beg[0] ;
wire \tile_x14y12_ww4beg[10] ;
wire \tile_x14y12_ww4beg[11] ;
wire \tile_x14y12_ww4beg[12] ;
wire \tile_x14y12_ww4beg[13] ;
wire \tile_x14y12_ww4beg[14] ;
wire \tile_x14y12_ww4beg[15] ;
wire \tile_x14y12_ww4beg[1] ;
wire \tile_x14y12_ww4beg[2] ;
wire \tile_x14y12_ww4beg[3] ;
wire \tile_x14y12_ww4beg[4] ;
wire \tile_x14y12_ww4beg[5] ;
wire \tile_x14y12_ww4beg[6] ;
wire \tile_x14y12_ww4beg[7] ;
wire \tile_x14y12_ww4beg[8] ;
wire \tile_x14y12_ww4beg[9] ;
output tile_x14y13_config_accessc_bit0;
output tile_x14y13_config_accessc_bit1;
output tile_x14y13_config_accessc_bit2;
output tile_x14y13_config_accessc_bit3;
output tile_x14y13_fab2ram_a0_o0;
output tile_x14y13_fab2ram_a0_o1;
output tile_x14y13_fab2ram_a0_o2;
output tile_x14y13_fab2ram_a0_o3;
output tile_x14y13_fab2ram_a1_o0;
output tile_x14y13_fab2ram_a1_o1;
output tile_x14y13_fab2ram_a1_o2;
output tile_x14y13_fab2ram_a1_o3;
output tile_x14y13_fab2ram_c_o0;
output tile_x14y13_fab2ram_c_o1;
output tile_x14y13_fab2ram_c_o2;
output tile_x14y13_fab2ram_c_o3;
output tile_x14y13_fab2ram_d0_o0;
output tile_x14y13_fab2ram_d0_o1;
output tile_x14y13_fab2ram_d0_o2;
output tile_x14y13_fab2ram_d0_o3;
output tile_x14y13_fab2ram_d1_o0;
output tile_x14y13_fab2ram_d1_o1;
output tile_x14y13_fab2ram_d1_o2;
output tile_x14y13_fab2ram_d1_o3;
output tile_x14y13_fab2ram_d2_o0;
output tile_x14y13_fab2ram_d2_o1;
output tile_x14y13_fab2ram_d2_o2;
output tile_x14y13_fab2ram_d2_o3;
output tile_x14y13_fab2ram_d3_o0;
output tile_x14y13_fab2ram_d3_o1;
output tile_x14y13_fab2ram_d3_o2;
output tile_x14y13_fab2ram_d3_o3;
wire \tile_x14y13_framedata_o[0] ;
wire \tile_x14y13_framedata_o[10] ;
wire \tile_x14y13_framedata_o[11] ;
wire \tile_x14y13_framedata_o[12] ;
wire \tile_x14y13_framedata_o[13] ;
wire \tile_x14y13_framedata_o[14] ;
wire \tile_x14y13_framedata_o[15] ;
wire \tile_x14y13_framedata_o[16] ;
wire \tile_x14y13_framedata_o[17] ;
wire \tile_x14y13_framedata_o[18] ;
wire \tile_x14y13_framedata_o[19] ;
wire \tile_x14y13_framedata_o[1] ;
wire \tile_x14y13_framedata_o[20] ;
wire \tile_x14y13_framedata_o[21] ;
wire \tile_x14y13_framedata_o[22] ;
wire \tile_x14y13_framedata_o[23] ;
wire \tile_x14y13_framedata_o[24] ;
wire \tile_x14y13_framedata_o[25] ;
wire \tile_x14y13_framedata_o[26] ;
wire \tile_x14y13_framedata_o[27] ;
wire \tile_x14y13_framedata_o[28] ;
wire \tile_x14y13_framedata_o[29] ;
wire \tile_x14y13_framedata_o[2] ;
wire \tile_x14y13_framedata_o[30] ;
wire \tile_x14y13_framedata_o[31] ;
wire \tile_x14y13_framedata_o[3] ;
wire \tile_x14y13_framedata_o[4] ;
wire \tile_x14y13_framedata_o[5] ;
wire \tile_x14y13_framedata_o[6] ;
wire \tile_x14y13_framedata_o[7] ;
wire \tile_x14y13_framedata_o[8] ;
wire \tile_x14y13_framedata_o[9] ;
wire \tile_x14y13_framestrobe_o[0] ;
wire \tile_x14y13_framestrobe_o[10] ;
wire \tile_x14y13_framestrobe_o[11] ;
wire \tile_x14y13_framestrobe_o[12] ;
wire \tile_x14y13_framestrobe_o[13] ;
wire \tile_x14y13_framestrobe_o[14] ;
wire \tile_x14y13_framestrobe_o[15] ;
wire \tile_x14y13_framestrobe_o[16] ;
wire \tile_x14y13_framestrobe_o[17] ;
wire \tile_x14y13_framestrobe_o[18] ;
wire \tile_x14y13_framestrobe_o[19] ;
wire \tile_x14y13_framestrobe_o[1] ;
wire \tile_x14y13_framestrobe_o[2] ;
wire \tile_x14y13_framestrobe_o[3] ;
wire \tile_x14y13_framestrobe_o[4] ;
wire \tile_x14y13_framestrobe_o[5] ;
wire \tile_x14y13_framestrobe_o[6] ;
wire \tile_x14y13_framestrobe_o[7] ;
wire \tile_x14y13_framestrobe_o[8] ;
wire \tile_x14y13_framestrobe_o[9] ;
wire \tile_x14y13_n1beg[0] ;
wire \tile_x14y13_n1beg[1] ;
wire \tile_x14y13_n1beg[2] ;
wire \tile_x14y13_n1beg[3] ;
wire \tile_x14y13_n2beg[0] ;
wire \tile_x14y13_n2beg[1] ;
wire \tile_x14y13_n2beg[2] ;
wire \tile_x14y13_n2beg[3] ;
wire \tile_x14y13_n2beg[4] ;
wire \tile_x14y13_n2beg[5] ;
wire \tile_x14y13_n2beg[6] ;
wire \tile_x14y13_n2beg[7] ;
wire \tile_x14y13_n2begb[0] ;
wire \tile_x14y13_n2begb[1] ;
wire \tile_x14y13_n2begb[2] ;
wire \tile_x14y13_n2begb[3] ;
wire \tile_x14y13_n2begb[4] ;
wire \tile_x14y13_n2begb[5] ;
wire \tile_x14y13_n2begb[6] ;
wire \tile_x14y13_n2begb[7] ;
wire \tile_x14y13_n4beg[0] ;
wire \tile_x14y13_n4beg[10] ;
wire \tile_x14y13_n4beg[11] ;
wire \tile_x14y13_n4beg[12] ;
wire \tile_x14y13_n4beg[13] ;
wire \tile_x14y13_n4beg[14] ;
wire \tile_x14y13_n4beg[15] ;
wire \tile_x14y13_n4beg[1] ;
wire \tile_x14y13_n4beg[2] ;
wire \tile_x14y13_n4beg[3] ;
wire \tile_x14y13_n4beg[4] ;
wire \tile_x14y13_n4beg[5] ;
wire \tile_x14y13_n4beg[6] ;
wire \tile_x14y13_n4beg[7] ;
wire \tile_x14y13_n4beg[8] ;
wire \tile_x14y13_n4beg[9] ;
input tile_x14y13_ram2fab_d0_i0;
input tile_x14y13_ram2fab_d0_i1;
input tile_x14y13_ram2fab_d0_i2;
input tile_x14y13_ram2fab_d0_i3;
input tile_x14y13_ram2fab_d1_i0;
input tile_x14y13_ram2fab_d1_i1;
input tile_x14y13_ram2fab_d1_i2;
input tile_x14y13_ram2fab_d1_i3;
input tile_x14y13_ram2fab_d2_i0;
input tile_x14y13_ram2fab_d2_i1;
input tile_x14y13_ram2fab_d2_i2;
input tile_x14y13_ram2fab_d2_i3;
input tile_x14y13_ram2fab_d3_i0;
input tile_x14y13_ram2fab_d3_i1;
input tile_x14y13_ram2fab_d3_i2;
input tile_x14y13_ram2fab_d3_i3;
wire \tile_x14y13_s1beg[0] ;
wire \tile_x14y13_s1beg[1] ;
wire \tile_x14y13_s1beg[2] ;
wire \tile_x14y13_s1beg[3] ;
wire \tile_x14y13_s2beg[0] ;
wire \tile_x14y13_s2beg[1] ;
wire \tile_x14y13_s2beg[2] ;
wire \tile_x14y13_s2beg[3] ;
wire \tile_x14y13_s2beg[4] ;
wire \tile_x14y13_s2beg[5] ;
wire \tile_x14y13_s2beg[6] ;
wire \tile_x14y13_s2beg[7] ;
wire \tile_x14y13_s2begb[0] ;
wire \tile_x14y13_s2begb[1] ;
wire \tile_x14y13_s2begb[2] ;
wire \tile_x14y13_s2begb[3] ;
wire \tile_x14y13_s2begb[4] ;
wire \tile_x14y13_s2begb[5] ;
wire \tile_x14y13_s2begb[6] ;
wire \tile_x14y13_s2begb[7] ;
wire \tile_x14y13_s4beg[0] ;
wire \tile_x14y13_s4beg[10] ;
wire \tile_x14y13_s4beg[11] ;
wire \tile_x14y13_s4beg[12] ;
wire \tile_x14y13_s4beg[13] ;
wire \tile_x14y13_s4beg[14] ;
wire \tile_x14y13_s4beg[15] ;
wire \tile_x14y13_s4beg[1] ;
wire \tile_x14y13_s4beg[2] ;
wire \tile_x14y13_s4beg[3] ;
wire \tile_x14y13_s4beg[4] ;
wire \tile_x14y13_s4beg[5] ;
wire \tile_x14y13_s4beg[6] ;
wire \tile_x14y13_s4beg[7] ;
wire \tile_x14y13_s4beg[8] ;
wire \tile_x14y13_s4beg[9] ;
wire tile_x14y13_userclko;
wire \tile_x14y13_w1beg[0] ;
wire \tile_x14y13_w1beg[1] ;
wire \tile_x14y13_w1beg[2] ;
wire \tile_x14y13_w1beg[3] ;
wire \tile_x14y13_w2beg[0] ;
wire \tile_x14y13_w2beg[1] ;
wire \tile_x14y13_w2beg[2] ;
wire \tile_x14y13_w2beg[3] ;
wire \tile_x14y13_w2beg[4] ;
wire \tile_x14y13_w2beg[5] ;
wire \tile_x14y13_w2beg[6] ;
wire \tile_x14y13_w2beg[7] ;
wire \tile_x14y13_w2begb[0] ;
wire \tile_x14y13_w2begb[1] ;
wire \tile_x14y13_w2begb[2] ;
wire \tile_x14y13_w2begb[3] ;
wire \tile_x14y13_w2begb[4] ;
wire \tile_x14y13_w2begb[5] ;
wire \tile_x14y13_w2begb[6] ;
wire \tile_x14y13_w2begb[7] ;
wire \tile_x14y13_w6beg[0] ;
wire \tile_x14y13_w6beg[10] ;
wire \tile_x14y13_w6beg[11] ;
wire \tile_x14y13_w6beg[1] ;
wire \tile_x14y13_w6beg[2] ;
wire \tile_x14y13_w6beg[3] ;
wire \tile_x14y13_w6beg[4] ;
wire \tile_x14y13_w6beg[5] ;
wire \tile_x14y13_w6beg[6] ;
wire \tile_x14y13_w6beg[7] ;
wire \tile_x14y13_w6beg[8] ;
wire \tile_x14y13_w6beg[9] ;
wire \tile_x14y13_ww4beg[0] ;
wire \tile_x14y13_ww4beg[10] ;
wire \tile_x14y13_ww4beg[11] ;
wire \tile_x14y13_ww4beg[12] ;
wire \tile_x14y13_ww4beg[13] ;
wire \tile_x14y13_ww4beg[14] ;
wire \tile_x14y13_ww4beg[15] ;
wire \tile_x14y13_ww4beg[1] ;
wire \tile_x14y13_ww4beg[2] ;
wire \tile_x14y13_ww4beg[3] ;
wire \tile_x14y13_ww4beg[4] ;
wire \tile_x14y13_ww4beg[5] ;
wire \tile_x14y13_ww4beg[6] ;
wire \tile_x14y13_ww4beg[7] ;
wire \tile_x14y13_ww4beg[8] ;
wire \tile_x14y13_ww4beg[9] ;
output tile_x14y14_config_accessc_bit0;
output tile_x14y14_config_accessc_bit1;
output tile_x14y14_config_accessc_bit2;
output tile_x14y14_config_accessc_bit3;
output tile_x14y14_fab2ram_a0_o0;
output tile_x14y14_fab2ram_a0_o1;
output tile_x14y14_fab2ram_a0_o2;
output tile_x14y14_fab2ram_a0_o3;
output tile_x14y14_fab2ram_a1_o0;
output tile_x14y14_fab2ram_a1_o1;
output tile_x14y14_fab2ram_a1_o2;
output tile_x14y14_fab2ram_a1_o3;
output tile_x14y14_fab2ram_c_o0;
output tile_x14y14_fab2ram_c_o1;
output tile_x14y14_fab2ram_c_o2;
output tile_x14y14_fab2ram_c_o3;
output tile_x14y14_fab2ram_d0_o0;
output tile_x14y14_fab2ram_d0_o1;
output tile_x14y14_fab2ram_d0_o2;
output tile_x14y14_fab2ram_d0_o3;
output tile_x14y14_fab2ram_d1_o0;
output tile_x14y14_fab2ram_d1_o1;
output tile_x14y14_fab2ram_d1_o2;
output tile_x14y14_fab2ram_d1_o3;
output tile_x14y14_fab2ram_d2_o0;
output tile_x14y14_fab2ram_d2_o1;
output tile_x14y14_fab2ram_d2_o2;
output tile_x14y14_fab2ram_d2_o3;
output tile_x14y14_fab2ram_d3_o0;
output tile_x14y14_fab2ram_d3_o1;
output tile_x14y14_fab2ram_d3_o2;
output tile_x14y14_fab2ram_d3_o3;
wire \tile_x14y14_framedata_o[0] ;
wire \tile_x14y14_framedata_o[10] ;
wire \tile_x14y14_framedata_o[11] ;
wire \tile_x14y14_framedata_o[12] ;
wire \tile_x14y14_framedata_o[13] ;
wire \tile_x14y14_framedata_o[14] ;
wire \tile_x14y14_framedata_o[15] ;
wire \tile_x14y14_framedata_o[16] ;
wire \tile_x14y14_framedata_o[17] ;
wire \tile_x14y14_framedata_o[18] ;
wire \tile_x14y14_framedata_o[19] ;
wire \tile_x14y14_framedata_o[1] ;
wire \tile_x14y14_framedata_o[20] ;
wire \tile_x14y14_framedata_o[21] ;
wire \tile_x14y14_framedata_o[22] ;
wire \tile_x14y14_framedata_o[23] ;
wire \tile_x14y14_framedata_o[24] ;
wire \tile_x14y14_framedata_o[25] ;
wire \tile_x14y14_framedata_o[26] ;
wire \tile_x14y14_framedata_o[27] ;
wire \tile_x14y14_framedata_o[28] ;
wire \tile_x14y14_framedata_o[29] ;
wire \tile_x14y14_framedata_o[2] ;
wire \tile_x14y14_framedata_o[30] ;
wire \tile_x14y14_framedata_o[31] ;
wire \tile_x14y14_framedata_o[3] ;
wire \tile_x14y14_framedata_o[4] ;
wire \tile_x14y14_framedata_o[5] ;
wire \tile_x14y14_framedata_o[6] ;
wire \tile_x14y14_framedata_o[7] ;
wire \tile_x14y14_framedata_o[8] ;
wire \tile_x14y14_framedata_o[9] ;
wire \tile_x14y14_framestrobe_o[0] ;
wire \tile_x14y14_framestrobe_o[10] ;
wire \tile_x14y14_framestrobe_o[11] ;
wire \tile_x14y14_framestrobe_o[12] ;
wire \tile_x14y14_framestrobe_o[13] ;
wire \tile_x14y14_framestrobe_o[14] ;
wire \tile_x14y14_framestrobe_o[15] ;
wire \tile_x14y14_framestrobe_o[16] ;
wire \tile_x14y14_framestrobe_o[17] ;
wire \tile_x14y14_framestrobe_o[18] ;
wire \tile_x14y14_framestrobe_o[19] ;
wire \tile_x14y14_framestrobe_o[1] ;
wire \tile_x14y14_framestrobe_o[2] ;
wire \tile_x14y14_framestrobe_o[3] ;
wire \tile_x14y14_framestrobe_o[4] ;
wire \tile_x14y14_framestrobe_o[5] ;
wire \tile_x14y14_framestrobe_o[6] ;
wire \tile_x14y14_framestrobe_o[7] ;
wire \tile_x14y14_framestrobe_o[8] ;
wire \tile_x14y14_framestrobe_o[9] ;
wire \tile_x14y14_n1beg[0] ;
wire \tile_x14y14_n1beg[1] ;
wire \tile_x14y14_n1beg[2] ;
wire \tile_x14y14_n1beg[3] ;
wire \tile_x14y14_n2beg[0] ;
wire \tile_x14y14_n2beg[1] ;
wire \tile_x14y14_n2beg[2] ;
wire \tile_x14y14_n2beg[3] ;
wire \tile_x14y14_n2beg[4] ;
wire \tile_x14y14_n2beg[5] ;
wire \tile_x14y14_n2beg[6] ;
wire \tile_x14y14_n2beg[7] ;
wire \tile_x14y14_n2begb[0] ;
wire \tile_x14y14_n2begb[1] ;
wire \tile_x14y14_n2begb[2] ;
wire \tile_x14y14_n2begb[3] ;
wire \tile_x14y14_n2begb[4] ;
wire \tile_x14y14_n2begb[5] ;
wire \tile_x14y14_n2begb[6] ;
wire \tile_x14y14_n2begb[7] ;
wire \tile_x14y14_n4beg[0] ;
wire \tile_x14y14_n4beg[10] ;
wire \tile_x14y14_n4beg[11] ;
wire \tile_x14y14_n4beg[12] ;
wire \tile_x14y14_n4beg[13] ;
wire \tile_x14y14_n4beg[14] ;
wire \tile_x14y14_n4beg[15] ;
wire \tile_x14y14_n4beg[1] ;
wire \tile_x14y14_n4beg[2] ;
wire \tile_x14y14_n4beg[3] ;
wire \tile_x14y14_n4beg[4] ;
wire \tile_x14y14_n4beg[5] ;
wire \tile_x14y14_n4beg[6] ;
wire \tile_x14y14_n4beg[7] ;
wire \tile_x14y14_n4beg[8] ;
wire \tile_x14y14_n4beg[9] ;
input tile_x14y14_ram2fab_d0_i0;
input tile_x14y14_ram2fab_d0_i1;
input tile_x14y14_ram2fab_d0_i2;
input tile_x14y14_ram2fab_d0_i3;
input tile_x14y14_ram2fab_d1_i0;
input tile_x14y14_ram2fab_d1_i1;
input tile_x14y14_ram2fab_d1_i2;
input tile_x14y14_ram2fab_d1_i3;
input tile_x14y14_ram2fab_d2_i0;
input tile_x14y14_ram2fab_d2_i1;
input tile_x14y14_ram2fab_d2_i2;
input tile_x14y14_ram2fab_d2_i3;
input tile_x14y14_ram2fab_d3_i0;
input tile_x14y14_ram2fab_d3_i1;
input tile_x14y14_ram2fab_d3_i2;
input tile_x14y14_ram2fab_d3_i3;
wire \tile_x14y14_s1beg[0] ;
wire \tile_x14y14_s1beg[1] ;
wire \tile_x14y14_s1beg[2] ;
wire \tile_x14y14_s1beg[3] ;
wire \tile_x14y14_s2beg[0] ;
wire \tile_x14y14_s2beg[1] ;
wire \tile_x14y14_s2beg[2] ;
wire \tile_x14y14_s2beg[3] ;
wire \tile_x14y14_s2beg[4] ;
wire \tile_x14y14_s2beg[5] ;
wire \tile_x14y14_s2beg[6] ;
wire \tile_x14y14_s2beg[7] ;
wire \tile_x14y14_s2begb[0] ;
wire \tile_x14y14_s2begb[1] ;
wire \tile_x14y14_s2begb[2] ;
wire \tile_x14y14_s2begb[3] ;
wire \tile_x14y14_s2begb[4] ;
wire \tile_x14y14_s2begb[5] ;
wire \tile_x14y14_s2begb[6] ;
wire \tile_x14y14_s2begb[7] ;
wire \tile_x14y14_s4beg[0] ;
wire \tile_x14y14_s4beg[10] ;
wire \tile_x14y14_s4beg[11] ;
wire \tile_x14y14_s4beg[12] ;
wire \tile_x14y14_s4beg[13] ;
wire \tile_x14y14_s4beg[14] ;
wire \tile_x14y14_s4beg[15] ;
wire \tile_x14y14_s4beg[1] ;
wire \tile_x14y14_s4beg[2] ;
wire \tile_x14y14_s4beg[3] ;
wire \tile_x14y14_s4beg[4] ;
wire \tile_x14y14_s4beg[5] ;
wire \tile_x14y14_s4beg[6] ;
wire \tile_x14y14_s4beg[7] ;
wire \tile_x14y14_s4beg[8] ;
wire \tile_x14y14_s4beg[9] ;
wire tile_x14y14_userclko;
wire \tile_x14y14_w1beg[0] ;
wire \tile_x14y14_w1beg[1] ;
wire \tile_x14y14_w1beg[2] ;
wire \tile_x14y14_w1beg[3] ;
wire \tile_x14y14_w2beg[0] ;
wire \tile_x14y14_w2beg[1] ;
wire \tile_x14y14_w2beg[2] ;
wire \tile_x14y14_w2beg[3] ;
wire \tile_x14y14_w2beg[4] ;
wire \tile_x14y14_w2beg[5] ;
wire \tile_x14y14_w2beg[6] ;
wire \tile_x14y14_w2beg[7] ;
wire \tile_x14y14_w2begb[0] ;
wire \tile_x14y14_w2begb[1] ;
wire \tile_x14y14_w2begb[2] ;
wire \tile_x14y14_w2begb[3] ;
wire \tile_x14y14_w2begb[4] ;
wire \tile_x14y14_w2begb[5] ;
wire \tile_x14y14_w2begb[6] ;
wire \tile_x14y14_w2begb[7] ;
wire \tile_x14y14_w6beg[0] ;
wire \tile_x14y14_w6beg[10] ;
wire \tile_x14y14_w6beg[11] ;
wire \tile_x14y14_w6beg[1] ;
wire \tile_x14y14_w6beg[2] ;
wire \tile_x14y14_w6beg[3] ;
wire \tile_x14y14_w6beg[4] ;
wire \tile_x14y14_w6beg[5] ;
wire \tile_x14y14_w6beg[6] ;
wire \tile_x14y14_w6beg[7] ;
wire \tile_x14y14_w6beg[8] ;
wire \tile_x14y14_w6beg[9] ;
wire \tile_x14y14_ww4beg[0] ;
wire \tile_x14y14_ww4beg[10] ;
wire \tile_x14y14_ww4beg[11] ;
wire \tile_x14y14_ww4beg[12] ;
wire \tile_x14y14_ww4beg[13] ;
wire \tile_x14y14_ww4beg[14] ;
wire \tile_x14y14_ww4beg[15] ;
wire \tile_x14y14_ww4beg[1] ;
wire \tile_x14y14_ww4beg[2] ;
wire \tile_x14y14_ww4beg[3] ;
wire \tile_x14y14_ww4beg[4] ;
wire \tile_x14y14_ww4beg[5] ;
wire \tile_x14y14_ww4beg[6] ;
wire \tile_x14y14_ww4beg[7] ;
wire \tile_x14y14_ww4beg[8] ;
wire \tile_x14y14_ww4beg[9] ;
wire \tile_x14y15_framestrobe_o[0] ;
wire \tile_x14y15_framestrobe_o[10] ;
wire \tile_x14y15_framestrobe_o[11] ;
wire \tile_x14y15_framestrobe_o[12] ;
wire \tile_x14y15_framestrobe_o[13] ;
wire \tile_x14y15_framestrobe_o[14] ;
wire \tile_x14y15_framestrobe_o[15] ;
wire \tile_x14y15_framestrobe_o[16] ;
wire \tile_x14y15_framestrobe_o[17] ;
wire \tile_x14y15_framestrobe_o[18] ;
wire \tile_x14y15_framestrobe_o[19] ;
wire \tile_x14y15_framestrobe_o[1] ;
wire \tile_x14y15_framestrobe_o[2] ;
wire \tile_x14y15_framestrobe_o[3] ;
wire \tile_x14y15_framestrobe_o[4] ;
wire \tile_x14y15_framestrobe_o[5] ;
wire \tile_x14y15_framestrobe_o[6] ;
wire \tile_x14y15_framestrobe_o[7] ;
wire \tile_x14y15_framestrobe_o[8] ;
wire \tile_x14y15_framestrobe_o[9] ;
wire \tile_x14y15_n1beg[0] ;
wire \tile_x14y15_n1beg[1] ;
wire \tile_x14y15_n1beg[2] ;
wire \tile_x14y15_n1beg[3] ;
wire \tile_x14y15_n2beg[0] ;
wire \tile_x14y15_n2beg[1] ;
wire \tile_x14y15_n2beg[2] ;
wire \tile_x14y15_n2beg[3] ;
wire \tile_x14y15_n2beg[4] ;
wire \tile_x14y15_n2beg[5] ;
wire \tile_x14y15_n2beg[6] ;
wire \tile_x14y15_n2beg[7] ;
wire \tile_x14y15_n2begb[0] ;
wire \tile_x14y15_n2begb[1] ;
wire \tile_x14y15_n2begb[2] ;
wire \tile_x14y15_n2begb[3] ;
wire \tile_x14y15_n2begb[4] ;
wire \tile_x14y15_n2begb[5] ;
wire \tile_x14y15_n2begb[6] ;
wire \tile_x14y15_n2begb[7] ;
wire \tile_x14y15_n4beg[0] ;
wire \tile_x14y15_n4beg[10] ;
wire \tile_x14y15_n4beg[11] ;
wire \tile_x14y15_n4beg[12] ;
wire \tile_x14y15_n4beg[13] ;
wire \tile_x14y15_n4beg[14] ;
wire \tile_x14y15_n4beg[15] ;
wire \tile_x14y15_n4beg[1] ;
wire \tile_x14y15_n4beg[2] ;
wire \tile_x14y15_n4beg[3] ;
wire \tile_x14y15_n4beg[4] ;
wire \tile_x14y15_n4beg[5] ;
wire \tile_x14y15_n4beg[6] ;
wire \tile_x14y15_n4beg[7] ;
wire \tile_x14y15_n4beg[8] ;
wire \tile_x14y15_n4beg[9] ;
wire tile_x14y15_userclko;
wire \tile_x14y9_framestrobe_o[0] ;
wire \tile_x14y9_framestrobe_o[10] ;
wire \tile_x14y9_framestrobe_o[11] ;
wire \tile_x14y9_framestrobe_o[12] ;
wire \tile_x14y9_framestrobe_o[13] ;
wire \tile_x14y9_framestrobe_o[14] ;
wire \tile_x14y9_framestrobe_o[15] ;
wire \tile_x14y9_framestrobe_o[16] ;
wire \tile_x14y9_framestrobe_o[17] ;
wire \tile_x14y9_framestrobe_o[18] ;
wire \tile_x14y9_framestrobe_o[19] ;
wire \tile_x14y9_framestrobe_o[1] ;
wire \tile_x14y9_framestrobe_o[2] ;
wire \tile_x14y9_framestrobe_o[3] ;
wire \tile_x14y9_framestrobe_o[4] ;
wire \tile_x14y9_framestrobe_o[5] ;
wire \tile_x14y9_framestrobe_o[6] ;
wire \tile_x14y9_framestrobe_o[7] ;
wire \tile_x14y9_framestrobe_o[8] ;
wire \tile_x14y9_framestrobe_o[9] ;
wire \tile_x14y9_s1beg[0] ;
wire \tile_x14y9_s1beg[1] ;
wire \tile_x14y9_s1beg[2] ;
wire \tile_x14y9_s1beg[3] ;
wire \tile_x14y9_s2beg[0] ;
wire \tile_x14y9_s2beg[1] ;
wire \tile_x14y9_s2beg[2] ;
wire \tile_x14y9_s2beg[3] ;
wire \tile_x14y9_s2beg[4] ;
wire \tile_x14y9_s2beg[5] ;
wire \tile_x14y9_s2beg[6] ;
wire \tile_x14y9_s2beg[7] ;
wire \tile_x14y9_s2begb[0] ;
wire \tile_x14y9_s2begb[1] ;
wire \tile_x14y9_s2begb[2] ;
wire \tile_x14y9_s2begb[3] ;
wire \tile_x14y9_s2begb[4] ;
wire \tile_x14y9_s2begb[5] ;
wire \tile_x14y9_s2begb[6] ;
wire \tile_x14y9_s2begb[7] ;
wire \tile_x14y9_s4beg[0] ;
wire \tile_x14y9_s4beg[10] ;
wire \tile_x14y9_s4beg[11] ;
wire \tile_x14y9_s4beg[12] ;
wire \tile_x14y9_s4beg[13] ;
wire \tile_x14y9_s4beg[14] ;
wire \tile_x14y9_s4beg[15] ;
wire \tile_x14y9_s4beg[1] ;
wire \tile_x14y9_s4beg[2] ;
wire \tile_x14y9_s4beg[3] ;
wire \tile_x14y9_s4beg[4] ;
wire \tile_x14y9_s4beg[5] ;
wire \tile_x14y9_s4beg[6] ;
wire \tile_x14y9_s4beg[7] ;
wire \tile_x14y9_s4beg[8] ;
wire \tile_x14y9_s4beg[9] ;
wire tile_x14y9_userclko;
wire tile_x1y10_co;
wire \tile_x1y10_e1beg[0] ;
wire \tile_x1y10_e1beg[1] ;
wire \tile_x1y10_e1beg[2] ;
wire \tile_x1y10_e1beg[3] ;
wire \tile_x1y10_e2beg[0] ;
wire \tile_x1y10_e2beg[1] ;
wire \tile_x1y10_e2beg[2] ;
wire \tile_x1y10_e2beg[3] ;
wire \tile_x1y10_e2beg[4] ;
wire \tile_x1y10_e2beg[5] ;
wire \tile_x1y10_e2beg[6] ;
wire \tile_x1y10_e2beg[7] ;
wire \tile_x1y10_e2begb[0] ;
wire \tile_x1y10_e2begb[1] ;
wire \tile_x1y10_e2begb[2] ;
wire \tile_x1y10_e2begb[3] ;
wire \tile_x1y10_e2begb[4] ;
wire \tile_x1y10_e2begb[5] ;
wire \tile_x1y10_e2begb[6] ;
wire \tile_x1y10_e2begb[7] ;
wire \tile_x1y10_e6beg[0] ;
wire \tile_x1y10_e6beg[10] ;
wire \tile_x1y10_e6beg[11] ;
wire \tile_x1y10_e6beg[1] ;
wire \tile_x1y10_e6beg[2] ;
wire \tile_x1y10_e6beg[3] ;
wire \tile_x1y10_e6beg[4] ;
wire \tile_x1y10_e6beg[5] ;
wire \tile_x1y10_e6beg[6] ;
wire \tile_x1y10_e6beg[7] ;
wire \tile_x1y10_e6beg[8] ;
wire \tile_x1y10_e6beg[9] ;
wire \tile_x1y10_ee4beg[0] ;
wire \tile_x1y10_ee4beg[10] ;
wire \tile_x1y10_ee4beg[11] ;
wire \tile_x1y10_ee4beg[12] ;
wire \tile_x1y10_ee4beg[13] ;
wire \tile_x1y10_ee4beg[14] ;
wire \tile_x1y10_ee4beg[15] ;
wire \tile_x1y10_ee4beg[1] ;
wire \tile_x1y10_ee4beg[2] ;
wire \tile_x1y10_ee4beg[3] ;
wire \tile_x1y10_ee4beg[4] ;
wire \tile_x1y10_ee4beg[5] ;
wire \tile_x1y10_ee4beg[6] ;
wire \tile_x1y10_ee4beg[7] ;
wire \tile_x1y10_ee4beg[8] ;
wire \tile_x1y10_ee4beg[9] ;
wire \tile_x1y10_framedata_o[0] ;
wire \tile_x1y10_framedata_o[10] ;
wire \tile_x1y10_framedata_o[11] ;
wire \tile_x1y10_framedata_o[12] ;
wire \tile_x1y10_framedata_o[13] ;
wire \tile_x1y10_framedata_o[14] ;
wire \tile_x1y10_framedata_o[15] ;
wire \tile_x1y10_framedata_o[16] ;
wire \tile_x1y10_framedata_o[17] ;
wire \tile_x1y10_framedata_o[18] ;
wire \tile_x1y10_framedata_o[19] ;
wire \tile_x1y10_framedata_o[1] ;
wire \tile_x1y10_framedata_o[20] ;
wire \tile_x1y10_framedata_o[21] ;
wire \tile_x1y10_framedata_o[22] ;
wire \tile_x1y10_framedata_o[23] ;
wire \tile_x1y10_framedata_o[24] ;
wire \tile_x1y10_framedata_o[25] ;
wire \tile_x1y10_framedata_o[26] ;
wire \tile_x1y10_framedata_o[27] ;
wire \tile_x1y10_framedata_o[28] ;
wire \tile_x1y10_framedata_o[29] ;
wire \tile_x1y10_framedata_o[2] ;
wire \tile_x1y10_framedata_o[30] ;
wire \tile_x1y10_framedata_o[31] ;
wire \tile_x1y10_framedata_o[3] ;
wire \tile_x1y10_framedata_o[4] ;
wire \tile_x1y10_framedata_o[5] ;
wire \tile_x1y10_framedata_o[6] ;
wire \tile_x1y10_framedata_o[7] ;
wire \tile_x1y10_framedata_o[8] ;
wire \tile_x1y10_framedata_o[9] ;
wire \tile_x1y10_framestrobe_o[0] ;
wire \tile_x1y10_framestrobe_o[10] ;
wire \tile_x1y10_framestrobe_o[11] ;
wire \tile_x1y10_framestrobe_o[12] ;
wire \tile_x1y10_framestrobe_o[13] ;
wire \tile_x1y10_framestrobe_o[14] ;
wire \tile_x1y10_framestrobe_o[15] ;
wire \tile_x1y10_framestrobe_o[16] ;
wire \tile_x1y10_framestrobe_o[17] ;
wire \tile_x1y10_framestrobe_o[18] ;
wire \tile_x1y10_framestrobe_o[19] ;
wire \tile_x1y10_framestrobe_o[1] ;
wire \tile_x1y10_framestrobe_o[2] ;
wire \tile_x1y10_framestrobe_o[3] ;
wire \tile_x1y10_framestrobe_o[4] ;
wire \tile_x1y10_framestrobe_o[5] ;
wire \tile_x1y10_framestrobe_o[6] ;
wire \tile_x1y10_framestrobe_o[7] ;
wire \tile_x1y10_framestrobe_o[8] ;
wire \tile_x1y10_framestrobe_o[9] ;
wire \tile_x1y10_n1beg[0] ;
wire \tile_x1y10_n1beg[1] ;
wire \tile_x1y10_n1beg[2] ;
wire \tile_x1y10_n1beg[3] ;
wire \tile_x1y10_n2beg[0] ;
wire \tile_x1y10_n2beg[1] ;
wire \tile_x1y10_n2beg[2] ;
wire \tile_x1y10_n2beg[3] ;
wire \tile_x1y10_n2beg[4] ;
wire \tile_x1y10_n2beg[5] ;
wire \tile_x1y10_n2beg[6] ;
wire \tile_x1y10_n2beg[7] ;
wire \tile_x1y10_n2begb[0] ;
wire \tile_x1y10_n2begb[1] ;
wire \tile_x1y10_n2begb[2] ;
wire \tile_x1y10_n2begb[3] ;
wire \tile_x1y10_n2begb[4] ;
wire \tile_x1y10_n2begb[5] ;
wire \tile_x1y10_n2begb[6] ;
wire \tile_x1y10_n2begb[7] ;
wire \tile_x1y10_n4beg[0] ;
wire \tile_x1y10_n4beg[10] ;
wire \tile_x1y10_n4beg[11] ;
wire \tile_x1y10_n4beg[12] ;
wire \tile_x1y10_n4beg[13] ;
wire \tile_x1y10_n4beg[14] ;
wire \tile_x1y10_n4beg[15] ;
wire \tile_x1y10_n4beg[1] ;
wire \tile_x1y10_n4beg[2] ;
wire \tile_x1y10_n4beg[3] ;
wire \tile_x1y10_n4beg[4] ;
wire \tile_x1y10_n4beg[5] ;
wire \tile_x1y10_n4beg[6] ;
wire \tile_x1y10_n4beg[7] ;
wire \tile_x1y10_n4beg[8] ;
wire \tile_x1y10_n4beg[9] ;
wire \tile_x1y10_nn4beg[0] ;
wire \tile_x1y10_nn4beg[10] ;
wire \tile_x1y10_nn4beg[11] ;
wire \tile_x1y10_nn4beg[12] ;
wire \tile_x1y10_nn4beg[13] ;
wire \tile_x1y10_nn4beg[14] ;
wire \tile_x1y10_nn4beg[15] ;
wire \tile_x1y10_nn4beg[1] ;
wire \tile_x1y10_nn4beg[2] ;
wire \tile_x1y10_nn4beg[3] ;
wire \tile_x1y10_nn4beg[4] ;
wire \tile_x1y10_nn4beg[5] ;
wire \tile_x1y10_nn4beg[6] ;
wire \tile_x1y10_nn4beg[7] ;
wire \tile_x1y10_nn4beg[8] ;
wire \tile_x1y10_nn4beg[9] ;
wire \tile_x1y10_s1beg[0] ;
wire \tile_x1y10_s1beg[1] ;
wire \tile_x1y10_s1beg[2] ;
wire \tile_x1y10_s1beg[3] ;
wire \tile_x1y10_s2beg[0] ;
wire \tile_x1y10_s2beg[1] ;
wire \tile_x1y10_s2beg[2] ;
wire \tile_x1y10_s2beg[3] ;
wire \tile_x1y10_s2beg[4] ;
wire \tile_x1y10_s2beg[5] ;
wire \tile_x1y10_s2beg[6] ;
wire \tile_x1y10_s2beg[7] ;
wire \tile_x1y10_s2begb[0] ;
wire \tile_x1y10_s2begb[1] ;
wire \tile_x1y10_s2begb[2] ;
wire \tile_x1y10_s2begb[3] ;
wire \tile_x1y10_s2begb[4] ;
wire \tile_x1y10_s2begb[5] ;
wire \tile_x1y10_s2begb[6] ;
wire \tile_x1y10_s2begb[7] ;
wire \tile_x1y10_s4beg[0] ;
wire \tile_x1y10_s4beg[10] ;
wire \tile_x1y10_s4beg[11] ;
wire \tile_x1y10_s4beg[12] ;
wire \tile_x1y10_s4beg[13] ;
wire \tile_x1y10_s4beg[14] ;
wire \tile_x1y10_s4beg[15] ;
wire \tile_x1y10_s4beg[1] ;
wire \tile_x1y10_s4beg[2] ;
wire \tile_x1y10_s4beg[3] ;
wire \tile_x1y10_s4beg[4] ;
wire \tile_x1y10_s4beg[5] ;
wire \tile_x1y10_s4beg[6] ;
wire \tile_x1y10_s4beg[7] ;
wire \tile_x1y10_s4beg[8] ;
wire \tile_x1y10_s4beg[9] ;
wire \tile_x1y10_ss4beg[0] ;
wire \tile_x1y10_ss4beg[10] ;
wire \tile_x1y10_ss4beg[11] ;
wire \tile_x1y10_ss4beg[12] ;
wire \tile_x1y10_ss4beg[13] ;
wire \tile_x1y10_ss4beg[14] ;
wire \tile_x1y10_ss4beg[15] ;
wire \tile_x1y10_ss4beg[1] ;
wire \tile_x1y10_ss4beg[2] ;
wire \tile_x1y10_ss4beg[3] ;
wire \tile_x1y10_ss4beg[4] ;
wire \tile_x1y10_ss4beg[5] ;
wire \tile_x1y10_ss4beg[6] ;
wire \tile_x1y10_ss4beg[7] ;
wire \tile_x1y10_ss4beg[8] ;
wire \tile_x1y10_ss4beg[9] ;
wire tile_x1y10_userclko;
wire \tile_x1y10_w1beg[0] ;
wire \tile_x1y10_w1beg[1] ;
wire \tile_x1y10_w1beg[2] ;
wire \tile_x1y10_w1beg[3] ;
wire \tile_x1y10_w2beg[0] ;
wire \tile_x1y10_w2beg[1] ;
wire \tile_x1y10_w2beg[2] ;
wire \tile_x1y10_w2beg[3] ;
wire \tile_x1y10_w2beg[4] ;
wire \tile_x1y10_w2beg[5] ;
wire \tile_x1y10_w2beg[6] ;
wire \tile_x1y10_w2beg[7] ;
wire \tile_x1y10_w2begb[0] ;
wire \tile_x1y10_w2begb[1] ;
wire \tile_x1y10_w2begb[2] ;
wire \tile_x1y10_w2begb[3] ;
wire \tile_x1y10_w2begb[4] ;
wire \tile_x1y10_w2begb[5] ;
wire \tile_x1y10_w2begb[6] ;
wire \tile_x1y10_w2begb[7] ;
wire \tile_x1y10_w6beg[0] ;
wire \tile_x1y10_w6beg[10] ;
wire \tile_x1y10_w6beg[11] ;
wire \tile_x1y10_w6beg[1] ;
wire \tile_x1y10_w6beg[2] ;
wire \tile_x1y10_w6beg[3] ;
wire \tile_x1y10_w6beg[4] ;
wire \tile_x1y10_w6beg[5] ;
wire \tile_x1y10_w6beg[6] ;
wire \tile_x1y10_w6beg[7] ;
wire \tile_x1y10_w6beg[8] ;
wire \tile_x1y10_w6beg[9] ;
wire \tile_x1y10_ww4beg[0] ;
wire \tile_x1y10_ww4beg[10] ;
wire \tile_x1y10_ww4beg[11] ;
wire \tile_x1y10_ww4beg[12] ;
wire \tile_x1y10_ww4beg[13] ;
wire \tile_x1y10_ww4beg[14] ;
wire \tile_x1y10_ww4beg[15] ;
wire \tile_x1y10_ww4beg[1] ;
wire \tile_x1y10_ww4beg[2] ;
wire \tile_x1y10_ww4beg[3] ;
wire \tile_x1y10_ww4beg[4] ;
wire \tile_x1y10_ww4beg[5] ;
wire \tile_x1y10_ww4beg[6] ;
wire \tile_x1y10_ww4beg[7] ;
wire \tile_x1y10_ww4beg[8] ;
wire \tile_x1y10_ww4beg[9] ;
wire tile_x1y11_co;
wire \tile_x1y11_e1beg[0] ;
wire \tile_x1y11_e1beg[1] ;
wire \tile_x1y11_e1beg[2] ;
wire \tile_x1y11_e1beg[3] ;
wire \tile_x1y11_e2beg[0] ;
wire \tile_x1y11_e2beg[1] ;
wire \tile_x1y11_e2beg[2] ;
wire \tile_x1y11_e2beg[3] ;
wire \tile_x1y11_e2beg[4] ;
wire \tile_x1y11_e2beg[5] ;
wire \tile_x1y11_e2beg[6] ;
wire \tile_x1y11_e2beg[7] ;
wire \tile_x1y11_e2begb[0] ;
wire \tile_x1y11_e2begb[1] ;
wire \tile_x1y11_e2begb[2] ;
wire \tile_x1y11_e2begb[3] ;
wire \tile_x1y11_e2begb[4] ;
wire \tile_x1y11_e2begb[5] ;
wire \tile_x1y11_e2begb[6] ;
wire \tile_x1y11_e2begb[7] ;
wire \tile_x1y11_e6beg[0] ;
wire \tile_x1y11_e6beg[10] ;
wire \tile_x1y11_e6beg[11] ;
wire \tile_x1y11_e6beg[1] ;
wire \tile_x1y11_e6beg[2] ;
wire \tile_x1y11_e6beg[3] ;
wire \tile_x1y11_e6beg[4] ;
wire \tile_x1y11_e6beg[5] ;
wire \tile_x1y11_e6beg[6] ;
wire \tile_x1y11_e6beg[7] ;
wire \tile_x1y11_e6beg[8] ;
wire \tile_x1y11_e6beg[9] ;
wire \tile_x1y11_ee4beg[0] ;
wire \tile_x1y11_ee4beg[10] ;
wire \tile_x1y11_ee4beg[11] ;
wire \tile_x1y11_ee4beg[12] ;
wire \tile_x1y11_ee4beg[13] ;
wire \tile_x1y11_ee4beg[14] ;
wire \tile_x1y11_ee4beg[15] ;
wire \tile_x1y11_ee4beg[1] ;
wire \tile_x1y11_ee4beg[2] ;
wire \tile_x1y11_ee4beg[3] ;
wire \tile_x1y11_ee4beg[4] ;
wire \tile_x1y11_ee4beg[5] ;
wire \tile_x1y11_ee4beg[6] ;
wire \tile_x1y11_ee4beg[7] ;
wire \tile_x1y11_ee4beg[8] ;
wire \tile_x1y11_ee4beg[9] ;
wire \tile_x1y11_framedata_o[0] ;
wire \tile_x1y11_framedata_o[10] ;
wire \tile_x1y11_framedata_o[11] ;
wire \tile_x1y11_framedata_o[12] ;
wire \tile_x1y11_framedata_o[13] ;
wire \tile_x1y11_framedata_o[14] ;
wire \tile_x1y11_framedata_o[15] ;
wire \tile_x1y11_framedata_o[16] ;
wire \tile_x1y11_framedata_o[17] ;
wire \tile_x1y11_framedata_o[18] ;
wire \tile_x1y11_framedata_o[19] ;
wire \tile_x1y11_framedata_o[1] ;
wire \tile_x1y11_framedata_o[20] ;
wire \tile_x1y11_framedata_o[21] ;
wire \tile_x1y11_framedata_o[22] ;
wire \tile_x1y11_framedata_o[23] ;
wire \tile_x1y11_framedata_o[24] ;
wire \tile_x1y11_framedata_o[25] ;
wire \tile_x1y11_framedata_o[26] ;
wire \tile_x1y11_framedata_o[27] ;
wire \tile_x1y11_framedata_o[28] ;
wire \tile_x1y11_framedata_o[29] ;
wire \tile_x1y11_framedata_o[2] ;
wire \tile_x1y11_framedata_o[30] ;
wire \tile_x1y11_framedata_o[31] ;
wire \tile_x1y11_framedata_o[3] ;
wire \tile_x1y11_framedata_o[4] ;
wire \tile_x1y11_framedata_o[5] ;
wire \tile_x1y11_framedata_o[6] ;
wire \tile_x1y11_framedata_o[7] ;
wire \tile_x1y11_framedata_o[8] ;
wire \tile_x1y11_framedata_o[9] ;
wire \tile_x1y11_framestrobe_o[0] ;
wire \tile_x1y11_framestrobe_o[10] ;
wire \tile_x1y11_framestrobe_o[11] ;
wire \tile_x1y11_framestrobe_o[12] ;
wire \tile_x1y11_framestrobe_o[13] ;
wire \tile_x1y11_framestrobe_o[14] ;
wire \tile_x1y11_framestrobe_o[15] ;
wire \tile_x1y11_framestrobe_o[16] ;
wire \tile_x1y11_framestrobe_o[17] ;
wire \tile_x1y11_framestrobe_o[18] ;
wire \tile_x1y11_framestrobe_o[19] ;
wire \tile_x1y11_framestrobe_o[1] ;
wire \tile_x1y11_framestrobe_o[2] ;
wire \tile_x1y11_framestrobe_o[3] ;
wire \tile_x1y11_framestrobe_o[4] ;
wire \tile_x1y11_framestrobe_o[5] ;
wire \tile_x1y11_framestrobe_o[6] ;
wire \tile_x1y11_framestrobe_o[7] ;
wire \tile_x1y11_framestrobe_o[8] ;
wire \tile_x1y11_framestrobe_o[9] ;
wire \tile_x1y11_n1beg[0] ;
wire \tile_x1y11_n1beg[1] ;
wire \tile_x1y11_n1beg[2] ;
wire \tile_x1y11_n1beg[3] ;
wire \tile_x1y11_n2beg[0] ;
wire \tile_x1y11_n2beg[1] ;
wire \tile_x1y11_n2beg[2] ;
wire \tile_x1y11_n2beg[3] ;
wire \tile_x1y11_n2beg[4] ;
wire \tile_x1y11_n2beg[5] ;
wire \tile_x1y11_n2beg[6] ;
wire \tile_x1y11_n2beg[7] ;
wire \tile_x1y11_n2begb[0] ;
wire \tile_x1y11_n2begb[1] ;
wire \tile_x1y11_n2begb[2] ;
wire \tile_x1y11_n2begb[3] ;
wire \tile_x1y11_n2begb[4] ;
wire \tile_x1y11_n2begb[5] ;
wire \tile_x1y11_n2begb[6] ;
wire \tile_x1y11_n2begb[7] ;
wire \tile_x1y11_n4beg[0] ;
wire \tile_x1y11_n4beg[10] ;
wire \tile_x1y11_n4beg[11] ;
wire \tile_x1y11_n4beg[12] ;
wire \tile_x1y11_n4beg[13] ;
wire \tile_x1y11_n4beg[14] ;
wire \tile_x1y11_n4beg[15] ;
wire \tile_x1y11_n4beg[1] ;
wire \tile_x1y11_n4beg[2] ;
wire \tile_x1y11_n4beg[3] ;
wire \tile_x1y11_n4beg[4] ;
wire \tile_x1y11_n4beg[5] ;
wire \tile_x1y11_n4beg[6] ;
wire \tile_x1y11_n4beg[7] ;
wire \tile_x1y11_n4beg[8] ;
wire \tile_x1y11_n4beg[9] ;
wire \tile_x1y11_nn4beg[0] ;
wire \tile_x1y11_nn4beg[10] ;
wire \tile_x1y11_nn4beg[11] ;
wire \tile_x1y11_nn4beg[12] ;
wire \tile_x1y11_nn4beg[13] ;
wire \tile_x1y11_nn4beg[14] ;
wire \tile_x1y11_nn4beg[15] ;
wire \tile_x1y11_nn4beg[1] ;
wire \tile_x1y11_nn4beg[2] ;
wire \tile_x1y11_nn4beg[3] ;
wire \tile_x1y11_nn4beg[4] ;
wire \tile_x1y11_nn4beg[5] ;
wire \tile_x1y11_nn4beg[6] ;
wire \tile_x1y11_nn4beg[7] ;
wire \tile_x1y11_nn4beg[8] ;
wire \tile_x1y11_nn4beg[9] ;
wire \tile_x1y11_s1beg[0] ;
wire \tile_x1y11_s1beg[1] ;
wire \tile_x1y11_s1beg[2] ;
wire \tile_x1y11_s1beg[3] ;
wire \tile_x1y11_s2beg[0] ;
wire \tile_x1y11_s2beg[1] ;
wire \tile_x1y11_s2beg[2] ;
wire \tile_x1y11_s2beg[3] ;
wire \tile_x1y11_s2beg[4] ;
wire \tile_x1y11_s2beg[5] ;
wire \tile_x1y11_s2beg[6] ;
wire \tile_x1y11_s2beg[7] ;
wire \tile_x1y11_s2begb[0] ;
wire \tile_x1y11_s2begb[1] ;
wire \tile_x1y11_s2begb[2] ;
wire \tile_x1y11_s2begb[3] ;
wire \tile_x1y11_s2begb[4] ;
wire \tile_x1y11_s2begb[5] ;
wire \tile_x1y11_s2begb[6] ;
wire \tile_x1y11_s2begb[7] ;
wire \tile_x1y11_s4beg[0] ;
wire \tile_x1y11_s4beg[10] ;
wire \tile_x1y11_s4beg[11] ;
wire \tile_x1y11_s4beg[12] ;
wire \tile_x1y11_s4beg[13] ;
wire \tile_x1y11_s4beg[14] ;
wire \tile_x1y11_s4beg[15] ;
wire \tile_x1y11_s4beg[1] ;
wire \tile_x1y11_s4beg[2] ;
wire \tile_x1y11_s4beg[3] ;
wire \tile_x1y11_s4beg[4] ;
wire \tile_x1y11_s4beg[5] ;
wire \tile_x1y11_s4beg[6] ;
wire \tile_x1y11_s4beg[7] ;
wire \tile_x1y11_s4beg[8] ;
wire \tile_x1y11_s4beg[9] ;
wire \tile_x1y11_ss4beg[0] ;
wire \tile_x1y11_ss4beg[10] ;
wire \tile_x1y11_ss4beg[11] ;
wire \tile_x1y11_ss4beg[12] ;
wire \tile_x1y11_ss4beg[13] ;
wire \tile_x1y11_ss4beg[14] ;
wire \tile_x1y11_ss4beg[15] ;
wire \tile_x1y11_ss4beg[1] ;
wire \tile_x1y11_ss4beg[2] ;
wire \tile_x1y11_ss4beg[3] ;
wire \tile_x1y11_ss4beg[4] ;
wire \tile_x1y11_ss4beg[5] ;
wire \tile_x1y11_ss4beg[6] ;
wire \tile_x1y11_ss4beg[7] ;
wire \tile_x1y11_ss4beg[8] ;
wire \tile_x1y11_ss4beg[9] ;
wire tile_x1y11_userclko;
wire \tile_x1y11_w1beg[0] ;
wire \tile_x1y11_w1beg[1] ;
wire \tile_x1y11_w1beg[2] ;
wire \tile_x1y11_w1beg[3] ;
wire \tile_x1y11_w2beg[0] ;
wire \tile_x1y11_w2beg[1] ;
wire \tile_x1y11_w2beg[2] ;
wire \tile_x1y11_w2beg[3] ;
wire \tile_x1y11_w2beg[4] ;
wire \tile_x1y11_w2beg[5] ;
wire \tile_x1y11_w2beg[6] ;
wire \tile_x1y11_w2beg[7] ;
wire \tile_x1y11_w2begb[0] ;
wire \tile_x1y11_w2begb[1] ;
wire \tile_x1y11_w2begb[2] ;
wire \tile_x1y11_w2begb[3] ;
wire \tile_x1y11_w2begb[4] ;
wire \tile_x1y11_w2begb[5] ;
wire \tile_x1y11_w2begb[6] ;
wire \tile_x1y11_w2begb[7] ;
wire \tile_x1y11_w6beg[0] ;
wire \tile_x1y11_w6beg[10] ;
wire \tile_x1y11_w6beg[11] ;
wire \tile_x1y11_w6beg[1] ;
wire \tile_x1y11_w6beg[2] ;
wire \tile_x1y11_w6beg[3] ;
wire \tile_x1y11_w6beg[4] ;
wire \tile_x1y11_w6beg[5] ;
wire \tile_x1y11_w6beg[6] ;
wire \tile_x1y11_w6beg[7] ;
wire \tile_x1y11_w6beg[8] ;
wire \tile_x1y11_w6beg[9] ;
wire \tile_x1y11_ww4beg[0] ;
wire \tile_x1y11_ww4beg[10] ;
wire \tile_x1y11_ww4beg[11] ;
wire \tile_x1y11_ww4beg[12] ;
wire \tile_x1y11_ww4beg[13] ;
wire \tile_x1y11_ww4beg[14] ;
wire \tile_x1y11_ww4beg[15] ;
wire \tile_x1y11_ww4beg[1] ;
wire \tile_x1y11_ww4beg[2] ;
wire \tile_x1y11_ww4beg[3] ;
wire \tile_x1y11_ww4beg[4] ;
wire \tile_x1y11_ww4beg[5] ;
wire \tile_x1y11_ww4beg[6] ;
wire \tile_x1y11_ww4beg[7] ;
wire \tile_x1y11_ww4beg[8] ;
wire \tile_x1y11_ww4beg[9] ;
wire tile_x1y12_co;
wire \tile_x1y12_e1beg[0] ;
wire \tile_x1y12_e1beg[1] ;
wire \tile_x1y12_e1beg[2] ;
wire \tile_x1y12_e1beg[3] ;
wire \tile_x1y12_e2beg[0] ;
wire \tile_x1y12_e2beg[1] ;
wire \tile_x1y12_e2beg[2] ;
wire \tile_x1y12_e2beg[3] ;
wire \tile_x1y12_e2beg[4] ;
wire \tile_x1y12_e2beg[5] ;
wire \tile_x1y12_e2beg[6] ;
wire \tile_x1y12_e2beg[7] ;
wire \tile_x1y12_e2begb[0] ;
wire \tile_x1y12_e2begb[1] ;
wire \tile_x1y12_e2begb[2] ;
wire \tile_x1y12_e2begb[3] ;
wire \tile_x1y12_e2begb[4] ;
wire \tile_x1y12_e2begb[5] ;
wire \tile_x1y12_e2begb[6] ;
wire \tile_x1y12_e2begb[7] ;
wire \tile_x1y12_e6beg[0] ;
wire \tile_x1y12_e6beg[10] ;
wire \tile_x1y12_e6beg[11] ;
wire \tile_x1y12_e6beg[1] ;
wire \tile_x1y12_e6beg[2] ;
wire \tile_x1y12_e6beg[3] ;
wire \tile_x1y12_e6beg[4] ;
wire \tile_x1y12_e6beg[5] ;
wire \tile_x1y12_e6beg[6] ;
wire \tile_x1y12_e6beg[7] ;
wire \tile_x1y12_e6beg[8] ;
wire \tile_x1y12_e6beg[9] ;
wire \tile_x1y12_ee4beg[0] ;
wire \tile_x1y12_ee4beg[10] ;
wire \tile_x1y12_ee4beg[11] ;
wire \tile_x1y12_ee4beg[12] ;
wire \tile_x1y12_ee4beg[13] ;
wire \tile_x1y12_ee4beg[14] ;
wire \tile_x1y12_ee4beg[15] ;
wire \tile_x1y12_ee4beg[1] ;
wire \tile_x1y12_ee4beg[2] ;
wire \tile_x1y12_ee4beg[3] ;
wire \tile_x1y12_ee4beg[4] ;
wire \tile_x1y12_ee4beg[5] ;
wire \tile_x1y12_ee4beg[6] ;
wire \tile_x1y12_ee4beg[7] ;
wire \tile_x1y12_ee4beg[8] ;
wire \tile_x1y12_ee4beg[9] ;
wire \tile_x1y12_framedata_o[0] ;
wire \tile_x1y12_framedata_o[10] ;
wire \tile_x1y12_framedata_o[11] ;
wire \tile_x1y12_framedata_o[12] ;
wire \tile_x1y12_framedata_o[13] ;
wire \tile_x1y12_framedata_o[14] ;
wire \tile_x1y12_framedata_o[15] ;
wire \tile_x1y12_framedata_o[16] ;
wire \tile_x1y12_framedata_o[17] ;
wire \tile_x1y12_framedata_o[18] ;
wire \tile_x1y12_framedata_o[19] ;
wire \tile_x1y12_framedata_o[1] ;
wire \tile_x1y12_framedata_o[20] ;
wire \tile_x1y12_framedata_o[21] ;
wire \tile_x1y12_framedata_o[22] ;
wire \tile_x1y12_framedata_o[23] ;
wire \tile_x1y12_framedata_o[24] ;
wire \tile_x1y12_framedata_o[25] ;
wire \tile_x1y12_framedata_o[26] ;
wire \tile_x1y12_framedata_o[27] ;
wire \tile_x1y12_framedata_o[28] ;
wire \tile_x1y12_framedata_o[29] ;
wire \tile_x1y12_framedata_o[2] ;
wire \tile_x1y12_framedata_o[30] ;
wire \tile_x1y12_framedata_o[31] ;
wire \tile_x1y12_framedata_o[3] ;
wire \tile_x1y12_framedata_o[4] ;
wire \tile_x1y12_framedata_o[5] ;
wire \tile_x1y12_framedata_o[6] ;
wire \tile_x1y12_framedata_o[7] ;
wire \tile_x1y12_framedata_o[8] ;
wire \tile_x1y12_framedata_o[9] ;
wire \tile_x1y12_framestrobe_o[0] ;
wire \tile_x1y12_framestrobe_o[10] ;
wire \tile_x1y12_framestrobe_o[11] ;
wire \tile_x1y12_framestrobe_o[12] ;
wire \tile_x1y12_framestrobe_o[13] ;
wire \tile_x1y12_framestrobe_o[14] ;
wire \tile_x1y12_framestrobe_o[15] ;
wire \tile_x1y12_framestrobe_o[16] ;
wire \tile_x1y12_framestrobe_o[17] ;
wire \tile_x1y12_framestrobe_o[18] ;
wire \tile_x1y12_framestrobe_o[19] ;
wire \tile_x1y12_framestrobe_o[1] ;
wire \tile_x1y12_framestrobe_o[2] ;
wire \tile_x1y12_framestrobe_o[3] ;
wire \tile_x1y12_framestrobe_o[4] ;
wire \tile_x1y12_framestrobe_o[5] ;
wire \tile_x1y12_framestrobe_o[6] ;
wire \tile_x1y12_framestrobe_o[7] ;
wire \tile_x1y12_framestrobe_o[8] ;
wire \tile_x1y12_framestrobe_o[9] ;
wire \tile_x1y12_n1beg[0] ;
wire \tile_x1y12_n1beg[1] ;
wire \tile_x1y12_n1beg[2] ;
wire \tile_x1y12_n1beg[3] ;
wire \tile_x1y12_n2beg[0] ;
wire \tile_x1y12_n2beg[1] ;
wire \tile_x1y12_n2beg[2] ;
wire \tile_x1y12_n2beg[3] ;
wire \tile_x1y12_n2beg[4] ;
wire \tile_x1y12_n2beg[5] ;
wire \tile_x1y12_n2beg[6] ;
wire \tile_x1y12_n2beg[7] ;
wire \tile_x1y12_n2begb[0] ;
wire \tile_x1y12_n2begb[1] ;
wire \tile_x1y12_n2begb[2] ;
wire \tile_x1y12_n2begb[3] ;
wire \tile_x1y12_n2begb[4] ;
wire \tile_x1y12_n2begb[5] ;
wire \tile_x1y12_n2begb[6] ;
wire \tile_x1y12_n2begb[7] ;
wire \tile_x1y12_n4beg[0] ;
wire \tile_x1y12_n4beg[10] ;
wire \tile_x1y12_n4beg[11] ;
wire \tile_x1y12_n4beg[12] ;
wire \tile_x1y12_n4beg[13] ;
wire \tile_x1y12_n4beg[14] ;
wire \tile_x1y12_n4beg[15] ;
wire \tile_x1y12_n4beg[1] ;
wire \tile_x1y12_n4beg[2] ;
wire \tile_x1y12_n4beg[3] ;
wire \tile_x1y12_n4beg[4] ;
wire \tile_x1y12_n4beg[5] ;
wire \tile_x1y12_n4beg[6] ;
wire \tile_x1y12_n4beg[7] ;
wire \tile_x1y12_n4beg[8] ;
wire \tile_x1y12_n4beg[9] ;
wire \tile_x1y12_nn4beg[0] ;
wire \tile_x1y12_nn4beg[10] ;
wire \tile_x1y12_nn4beg[11] ;
wire \tile_x1y12_nn4beg[12] ;
wire \tile_x1y12_nn4beg[13] ;
wire \tile_x1y12_nn4beg[14] ;
wire \tile_x1y12_nn4beg[15] ;
wire \tile_x1y12_nn4beg[1] ;
wire \tile_x1y12_nn4beg[2] ;
wire \tile_x1y12_nn4beg[3] ;
wire \tile_x1y12_nn4beg[4] ;
wire \tile_x1y12_nn4beg[5] ;
wire \tile_x1y12_nn4beg[6] ;
wire \tile_x1y12_nn4beg[7] ;
wire \tile_x1y12_nn4beg[8] ;
wire \tile_x1y12_nn4beg[9] ;
wire \tile_x1y12_s1beg[0] ;
wire \tile_x1y12_s1beg[1] ;
wire \tile_x1y12_s1beg[2] ;
wire \tile_x1y12_s1beg[3] ;
wire \tile_x1y12_s2beg[0] ;
wire \tile_x1y12_s2beg[1] ;
wire \tile_x1y12_s2beg[2] ;
wire \tile_x1y12_s2beg[3] ;
wire \tile_x1y12_s2beg[4] ;
wire \tile_x1y12_s2beg[5] ;
wire \tile_x1y12_s2beg[6] ;
wire \tile_x1y12_s2beg[7] ;
wire \tile_x1y12_s2begb[0] ;
wire \tile_x1y12_s2begb[1] ;
wire \tile_x1y12_s2begb[2] ;
wire \tile_x1y12_s2begb[3] ;
wire \tile_x1y12_s2begb[4] ;
wire \tile_x1y12_s2begb[5] ;
wire \tile_x1y12_s2begb[6] ;
wire \tile_x1y12_s2begb[7] ;
wire \tile_x1y12_s4beg[0] ;
wire \tile_x1y12_s4beg[10] ;
wire \tile_x1y12_s4beg[11] ;
wire \tile_x1y12_s4beg[12] ;
wire \tile_x1y12_s4beg[13] ;
wire \tile_x1y12_s4beg[14] ;
wire \tile_x1y12_s4beg[15] ;
wire \tile_x1y12_s4beg[1] ;
wire \tile_x1y12_s4beg[2] ;
wire \tile_x1y12_s4beg[3] ;
wire \tile_x1y12_s4beg[4] ;
wire \tile_x1y12_s4beg[5] ;
wire \tile_x1y12_s4beg[6] ;
wire \tile_x1y12_s4beg[7] ;
wire \tile_x1y12_s4beg[8] ;
wire \tile_x1y12_s4beg[9] ;
wire \tile_x1y12_ss4beg[0] ;
wire \tile_x1y12_ss4beg[10] ;
wire \tile_x1y12_ss4beg[11] ;
wire \tile_x1y12_ss4beg[12] ;
wire \tile_x1y12_ss4beg[13] ;
wire \tile_x1y12_ss4beg[14] ;
wire \tile_x1y12_ss4beg[15] ;
wire \tile_x1y12_ss4beg[1] ;
wire \tile_x1y12_ss4beg[2] ;
wire \tile_x1y12_ss4beg[3] ;
wire \tile_x1y12_ss4beg[4] ;
wire \tile_x1y12_ss4beg[5] ;
wire \tile_x1y12_ss4beg[6] ;
wire \tile_x1y12_ss4beg[7] ;
wire \tile_x1y12_ss4beg[8] ;
wire \tile_x1y12_ss4beg[9] ;
wire tile_x1y12_userclko;
wire \tile_x1y12_w1beg[0] ;
wire \tile_x1y12_w1beg[1] ;
wire \tile_x1y12_w1beg[2] ;
wire \tile_x1y12_w1beg[3] ;
wire \tile_x1y12_w2beg[0] ;
wire \tile_x1y12_w2beg[1] ;
wire \tile_x1y12_w2beg[2] ;
wire \tile_x1y12_w2beg[3] ;
wire \tile_x1y12_w2beg[4] ;
wire \tile_x1y12_w2beg[5] ;
wire \tile_x1y12_w2beg[6] ;
wire \tile_x1y12_w2beg[7] ;
wire \tile_x1y12_w2begb[0] ;
wire \tile_x1y12_w2begb[1] ;
wire \tile_x1y12_w2begb[2] ;
wire \tile_x1y12_w2begb[3] ;
wire \tile_x1y12_w2begb[4] ;
wire \tile_x1y12_w2begb[5] ;
wire \tile_x1y12_w2begb[6] ;
wire \tile_x1y12_w2begb[7] ;
wire \tile_x1y12_w6beg[0] ;
wire \tile_x1y12_w6beg[10] ;
wire \tile_x1y12_w6beg[11] ;
wire \tile_x1y12_w6beg[1] ;
wire \tile_x1y12_w6beg[2] ;
wire \tile_x1y12_w6beg[3] ;
wire \tile_x1y12_w6beg[4] ;
wire \tile_x1y12_w6beg[5] ;
wire \tile_x1y12_w6beg[6] ;
wire \tile_x1y12_w6beg[7] ;
wire \tile_x1y12_w6beg[8] ;
wire \tile_x1y12_w6beg[9] ;
wire \tile_x1y12_ww4beg[0] ;
wire \tile_x1y12_ww4beg[10] ;
wire \tile_x1y12_ww4beg[11] ;
wire \tile_x1y12_ww4beg[12] ;
wire \tile_x1y12_ww4beg[13] ;
wire \tile_x1y12_ww4beg[14] ;
wire \tile_x1y12_ww4beg[15] ;
wire \tile_x1y12_ww4beg[1] ;
wire \tile_x1y12_ww4beg[2] ;
wire \tile_x1y12_ww4beg[3] ;
wire \tile_x1y12_ww4beg[4] ;
wire \tile_x1y12_ww4beg[5] ;
wire \tile_x1y12_ww4beg[6] ;
wire \tile_x1y12_ww4beg[7] ;
wire \tile_x1y12_ww4beg[8] ;
wire \tile_x1y12_ww4beg[9] ;
wire tile_x1y13_co;
wire \tile_x1y13_e1beg[0] ;
wire \tile_x1y13_e1beg[1] ;
wire \tile_x1y13_e1beg[2] ;
wire \tile_x1y13_e1beg[3] ;
wire \tile_x1y13_e2beg[0] ;
wire \tile_x1y13_e2beg[1] ;
wire \tile_x1y13_e2beg[2] ;
wire \tile_x1y13_e2beg[3] ;
wire \tile_x1y13_e2beg[4] ;
wire \tile_x1y13_e2beg[5] ;
wire \tile_x1y13_e2beg[6] ;
wire \tile_x1y13_e2beg[7] ;
wire \tile_x1y13_e2begb[0] ;
wire \tile_x1y13_e2begb[1] ;
wire \tile_x1y13_e2begb[2] ;
wire \tile_x1y13_e2begb[3] ;
wire \tile_x1y13_e2begb[4] ;
wire \tile_x1y13_e2begb[5] ;
wire \tile_x1y13_e2begb[6] ;
wire \tile_x1y13_e2begb[7] ;
wire \tile_x1y13_e6beg[0] ;
wire \tile_x1y13_e6beg[10] ;
wire \tile_x1y13_e6beg[11] ;
wire \tile_x1y13_e6beg[1] ;
wire \tile_x1y13_e6beg[2] ;
wire \tile_x1y13_e6beg[3] ;
wire \tile_x1y13_e6beg[4] ;
wire \tile_x1y13_e6beg[5] ;
wire \tile_x1y13_e6beg[6] ;
wire \tile_x1y13_e6beg[7] ;
wire \tile_x1y13_e6beg[8] ;
wire \tile_x1y13_e6beg[9] ;
wire \tile_x1y13_ee4beg[0] ;
wire \tile_x1y13_ee4beg[10] ;
wire \tile_x1y13_ee4beg[11] ;
wire \tile_x1y13_ee4beg[12] ;
wire \tile_x1y13_ee4beg[13] ;
wire \tile_x1y13_ee4beg[14] ;
wire \tile_x1y13_ee4beg[15] ;
wire \tile_x1y13_ee4beg[1] ;
wire \tile_x1y13_ee4beg[2] ;
wire \tile_x1y13_ee4beg[3] ;
wire \tile_x1y13_ee4beg[4] ;
wire \tile_x1y13_ee4beg[5] ;
wire \tile_x1y13_ee4beg[6] ;
wire \tile_x1y13_ee4beg[7] ;
wire \tile_x1y13_ee4beg[8] ;
wire \tile_x1y13_ee4beg[9] ;
wire \tile_x1y13_framedata_o[0] ;
wire \tile_x1y13_framedata_o[10] ;
wire \tile_x1y13_framedata_o[11] ;
wire \tile_x1y13_framedata_o[12] ;
wire \tile_x1y13_framedata_o[13] ;
wire \tile_x1y13_framedata_o[14] ;
wire \tile_x1y13_framedata_o[15] ;
wire \tile_x1y13_framedata_o[16] ;
wire \tile_x1y13_framedata_o[17] ;
wire \tile_x1y13_framedata_o[18] ;
wire \tile_x1y13_framedata_o[19] ;
wire \tile_x1y13_framedata_o[1] ;
wire \tile_x1y13_framedata_o[20] ;
wire \tile_x1y13_framedata_o[21] ;
wire \tile_x1y13_framedata_o[22] ;
wire \tile_x1y13_framedata_o[23] ;
wire \tile_x1y13_framedata_o[24] ;
wire \tile_x1y13_framedata_o[25] ;
wire \tile_x1y13_framedata_o[26] ;
wire \tile_x1y13_framedata_o[27] ;
wire \tile_x1y13_framedata_o[28] ;
wire \tile_x1y13_framedata_o[29] ;
wire \tile_x1y13_framedata_o[2] ;
wire \tile_x1y13_framedata_o[30] ;
wire \tile_x1y13_framedata_o[31] ;
wire \tile_x1y13_framedata_o[3] ;
wire \tile_x1y13_framedata_o[4] ;
wire \tile_x1y13_framedata_o[5] ;
wire \tile_x1y13_framedata_o[6] ;
wire \tile_x1y13_framedata_o[7] ;
wire \tile_x1y13_framedata_o[8] ;
wire \tile_x1y13_framedata_o[9] ;
wire \tile_x1y13_framestrobe_o[0] ;
wire \tile_x1y13_framestrobe_o[10] ;
wire \tile_x1y13_framestrobe_o[11] ;
wire \tile_x1y13_framestrobe_o[12] ;
wire \tile_x1y13_framestrobe_o[13] ;
wire \tile_x1y13_framestrobe_o[14] ;
wire \tile_x1y13_framestrobe_o[15] ;
wire \tile_x1y13_framestrobe_o[16] ;
wire \tile_x1y13_framestrobe_o[17] ;
wire \tile_x1y13_framestrobe_o[18] ;
wire \tile_x1y13_framestrobe_o[19] ;
wire \tile_x1y13_framestrobe_o[1] ;
wire \tile_x1y13_framestrobe_o[2] ;
wire \tile_x1y13_framestrobe_o[3] ;
wire \tile_x1y13_framestrobe_o[4] ;
wire \tile_x1y13_framestrobe_o[5] ;
wire \tile_x1y13_framestrobe_o[6] ;
wire \tile_x1y13_framestrobe_o[7] ;
wire \tile_x1y13_framestrobe_o[8] ;
wire \tile_x1y13_framestrobe_o[9] ;
wire \tile_x1y13_n1beg[0] ;
wire \tile_x1y13_n1beg[1] ;
wire \tile_x1y13_n1beg[2] ;
wire \tile_x1y13_n1beg[3] ;
wire \tile_x1y13_n2beg[0] ;
wire \tile_x1y13_n2beg[1] ;
wire \tile_x1y13_n2beg[2] ;
wire \tile_x1y13_n2beg[3] ;
wire \tile_x1y13_n2beg[4] ;
wire \tile_x1y13_n2beg[5] ;
wire \tile_x1y13_n2beg[6] ;
wire \tile_x1y13_n2beg[7] ;
wire \tile_x1y13_n2begb[0] ;
wire \tile_x1y13_n2begb[1] ;
wire \tile_x1y13_n2begb[2] ;
wire \tile_x1y13_n2begb[3] ;
wire \tile_x1y13_n2begb[4] ;
wire \tile_x1y13_n2begb[5] ;
wire \tile_x1y13_n2begb[6] ;
wire \tile_x1y13_n2begb[7] ;
wire \tile_x1y13_n4beg[0] ;
wire \tile_x1y13_n4beg[10] ;
wire \tile_x1y13_n4beg[11] ;
wire \tile_x1y13_n4beg[12] ;
wire \tile_x1y13_n4beg[13] ;
wire \tile_x1y13_n4beg[14] ;
wire \tile_x1y13_n4beg[15] ;
wire \tile_x1y13_n4beg[1] ;
wire \tile_x1y13_n4beg[2] ;
wire \tile_x1y13_n4beg[3] ;
wire \tile_x1y13_n4beg[4] ;
wire \tile_x1y13_n4beg[5] ;
wire \tile_x1y13_n4beg[6] ;
wire \tile_x1y13_n4beg[7] ;
wire \tile_x1y13_n4beg[8] ;
wire \tile_x1y13_n4beg[9] ;
wire \tile_x1y13_nn4beg[0] ;
wire \tile_x1y13_nn4beg[10] ;
wire \tile_x1y13_nn4beg[11] ;
wire \tile_x1y13_nn4beg[12] ;
wire \tile_x1y13_nn4beg[13] ;
wire \tile_x1y13_nn4beg[14] ;
wire \tile_x1y13_nn4beg[15] ;
wire \tile_x1y13_nn4beg[1] ;
wire \tile_x1y13_nn4beg[2] ;
wire \tile_x1y13_nn4beg[3] ;
wire \tile_x1y13_nn4beg[4] ;
wire \tile_x1y13_nn4beg[5] ;
wire \tile_x1y13_nn4beg[6] ;
wire \tile_x1y13_nn4beg[7] ;
wire \tile_x1y13_nn4beg[8] ;
wire \tile_x1y13_nn4beg[9] ;
wire \tile_x1y13_s1beg[0] ;
wire \tile_x1y13_s1beg[1] ;
wire \tile_x1y13_s1beg[2] ;
wire \tile_x1y13_s1beg[3] ;
wire \tile_x1y13_s2beg[0] ;
wire \tile_x1y13_s2beg[1] ;
wire \tile_x1y13_s2beg[2] ;
wire \tile_x1y13_s2beg[3] ;
wire \tile_x1y13_s2beg[4] ;
wire \tile_x1y13_s2beg[5] ;
wire \tile_x1y13_s2beg[6] ;
wire \tile_x1y13_s2beg[7] ;
wire \tile_x1y13_s2begb[0] ;
wire \tile_x1y13_s2begb[1] ;
wire \tile_x1y13_s2begb[2] ;
wire \tile_x1y13_s2begb[3] ;
wire \tile_x1y13_s2begb[4] ;
wire \tile_x1y13_s2begb[5] ;
wire \tile_x1y13_s2begb[6] ;
wire \tile_x1y13_s2begb[7] ;
wire \tile_x1y13_s4beg[0] ;
wire \tile_x1y13_s4beg[10] ;
wire \tile_x1y13_s4beg[11] ;
wire \tile_x1y13_s4beg[12] ;
wire \tile_x1y13_s4beg[13] ;
wire \tile_x1y13_s4beg[14] ;
wire \tile_x1y13_s4beg[15] ;
wire \tile_x1y13_s4beg[1] ;
wire \tile_x1y13_s4beg[2] ;
wire \tile_x1y13_s4beg[3] ;
wire \tile_x1y13_s4beg[4] ;
wire \tile_x1y13_s4beg[5] ;
wire \tile_x1y13_s4beg[6] ;
wire \tile_x1y13_s4beg[7] ;
wire \tile_x1y13_s4beg[8] ;
wire \tile_x1y13_s4beg[9] ;
wire \tile_x1y13_ss4beg[0] ;
wire \tile_x1y13_ss4beg[10] ;
wire \tile_x1y13_ss4beg[11] ;
wire \tile_x1y13_ss4beg[12] ;
wire \tile_x1y13_ss4beg[13] ;
wire \tile_x1y13_ss4beg[14] ;
wire \tile_x1y13_ss4beg[15] ;
wire \tile_x1y13_ss4beg[1] ;
wire \tile_x1y13_ss4beg[2] ;
wire \tile_x1y13_ss4beg[3] ;
wire \tile_x1y13_ss4beg[4] ;
wire \tile_x1y13_ss4beg[5] ;
wire \tile_x1y13_ss4beg[6] ;
wire \tile_x1y13_ss4beg[7] ;
wire \tile_x1y13_ss4beg[8] ;
wire \tile_x1y13_ss4beg[9] ;
wire tile_x1y13_userclko;
wire \tile_x1y13_w1beg[0] ;
wire \tile_x1y13_w1beg[1] ;
wire \tile_x1y13_w1beg[2] ;
wire \tile_x1y13_w1beg[3] ;
wire \tile_x1y13_w2beg[0] ;
wire \tile_x1y13_w2beg[1] ;
wire \tile_x1y13_w2beg[2] ;
wire \tile_x1y13_w2beg[3] ;
wire \tile_x1y13_w2beg[4] ;
wire \tile_x1y13_w2beg[5] ;
wire \tile_x1y13_w2beg[6] ;
wire \tile_x1y13_w2beg[7] ;
wire \tile_x1y13_w2begb[0] ;
wire \tile_x1y13_w2begb[1] ;
wire \tile_x1y13_w2begb[2] ;
wire \tile_x1y13_w2begb[3] ;
wire \tile_x1y13_w2begb[4] ;
wire \tile_x1y13_w2begb[5] ;
wire \tile_x1y13_w2begb[6] ;
wire \tile_x1y13_w2begb[7] ;
wire \tile_x1y13_w6beg[0] ;
wire \tile_x1y13_w6beg[10] ;
wire \tile_x1y13_w6beg[11] ;
wire \tile_x1y13_w6beg[1] ;
wire \tile_x1y13_w6beg[2] ;
wire \tile_x1y13_w6beg[3] ;
wire \tile_x1y13_w6beg[4] ;
wire \tile_x1y13_w6beg[5] ;
wire \tile_x1y13_w6beg[6] ;
wire \tile_x1y13_w6beg[7] ;
wire \tile_x1y13_w6beg[8] ;
wire \tile_x1y13_w6beg[9] ;
wire \tile_x1y13_ww4beg[0] ;
wire \tile_x1y13_ww4beg[10] ;
wire \tile_x1y13_ww4beg[11] ;
wire \tile_x1y13_ww4beg[12] ;
wire \tile_x1y13_ww4beg[13] ;
wire \tile_x1y13_ww4beg[14] ;
wire \tile_x1y13_ww4beg[15] ;
wire \tile_x1y13_ww4beg[1] ;
wire \tile_x1y13_ww4beg[2] ;
wire \tile_x1y13_ww4beg[3] ;
wire \tile_x1y13_ww4beg[4] ;
wire \tile_x1y13_ww4beg[5] ;
wire \tile_x1y13_ww4beg[6] ;
wire \tile_x1y13_ww4beg[7] ;
wire \tile_x1y13_ww4beg[8] ;
wire \tile_x1y13_ww4beg[9] ;
wire tile_x1y14_co;
wire \tile_x1y14_e1beg[0] ;
wire \tile_x1y14_e1beg[1] ;
wire \tile_x1y14_e1beg[2] ;
wire \tile_x1y14_e1beg[3] ;
wire \tile_x1y14_e2beg[0] ;
wire \tile_x1y14_e2beg[1] ;
wire \tile_x1y14_e2beg[2] ;
wire \tile_x1y14_e2beg[3] ;
wire \tile_x1y14_e2beg[4] ;
wire \tile_x1y14_e2beg[5] ;
wire \tile_x1y14_e2beg[6] ;
wire \tile_x1y14_e2beg[7] ;
wire \tile_x1y14_e2begb[0] ;
wire \tile_x1y14_e2begb[1] ;
wire \tile_x1y14_e2begb[2] ;
wire \tile_x1y14_e2begb[3] ;
wire \tile_x1y14_e2begb[4] ;
wire \tile_x1y14_e2begb[5] ;
wire \tile_x1y14_e2begb[6] ;
wire \tile_x1y14_e2begb[7] ;
wire \tile_x1y14_e6beg[0] ;
wire \tile_x1y14_e6beg[10] ;
wire \tile_x1y14_e6beg[11] ;
wire \tile_x1y14_e6beg[1] ;
wire \tile_x1y14_e6beg[2] ;
wire \tile_x1y14_e6beg[3] ;
wire \tile_x1y14_e6beg[4] ;
wire \tile_x1y14_e6beg[5] ;
wire \tile_x1y14_e6beg[6] ;
wire \tile_x1y14_e6beg[7] ;
wire \tile_x1y14_e6beg[8] ;
wire \tile_x1y14_e6beg[9] ;
wire \tile_x1y14_ee4beg[0] ;
wire \tile_x1y14_ee4beg[10] ;
wire \tile_x1y14_ee4beg[11] ;
wire \tile_x1y14_ee4beg[12] ;
wire \tile_x1y14_ee4beg[13] ;
wire \tile_x1y14_ee4beg[14] ;
wire \tile_x1y14_ee4beg[15] ;
wire \tile_x1y14_ee4beg[1] ;
wire \tile_x1y14_ee4beg[2] ;
wire \tile_x1y14_ee4beg[3] ;
wire \tile_x1y14_ee4beg[4] ;
wire \tile_x1y14_ee4beg[5] ;
wire \tile_x1y14_ee4beg[6] ;
wire \tile_x1y14_ee4beg[7] ;
wire \tile_x1y14_ee4beg[8] ;
wire \tile_x1y14_ee4beg[9] ;
wire \tile_x1y14_framedata_o[0] ;
wire \tile_x1y14_framedata_o[10] ;
wire \tile_x1y14_framedata_o[11] ;
wire \tile_x1y14_framedata_o[12] ;
wire \tile_x1y14_framedata_o[13] ;
wire \tile_x1y14_framedata_o[14] ;
wire \tile_x1y14_framedata_o[15] ;
wire \tile_x1y14_framedata_o[16] ;
wire \tile_x1y14_framedata_o[17] ;
wire \tile_x1y14_framedata_o[18] ;
wire \tile_x1y14_framedata_o[19] ;
wire \tile_x1y14_framedata_o[1] ;
wire \tile_x1y14_framedata_o[20] ;
wire \tile_x1y14_framedata_o[21] ;
wire \tile_x1y14_framedata_o[22] ;
wire \tile_x1y14_framedata_o[23] ;
wire \tile_x1y14_framedata_o[24] ;
wire \tile_x1y14_framedata_o[25] ;
wire \tile_x1y14_framedata_o[26] ;
wire \tile_x1y14_framedata_o[27] ;
wire \tile_x1y14_framedata_o[28] ;
wire \tile_x1y14_framedata_o[29] ;
wire \tile_x1y14_framedata_o[2] ;
wire \tile_x1y14_framedata_o[30] ;
wire \tile_x1y14_framedata_o[31] ;
wire \tile_x1y14_framedata_o[3] ;
wire \tile_x1y14_framedata_o[4] ;
wire \tile_x1y14_framedata_o[5] ;
wire \tile_x1y14_framedata_o[6] ;
wire \tile_x1y14_framedata_o[7] ;
wire \tile_x1y14_framedata_o[8] ;
wire \tile_x1y14_framedata_o[9] ;
wire \tile_x1y14_framestrobe_o[0] ;
wire \tile_x1y14_framestrobe_o[10] ;
wire \tile_x1y14_framestrobe_o[11] ;
wire \tile_x1y14_framestrobe_o[12] ;
wire \tile_x1y14_framestrobe_o[13] ;
wire \tile_x1y14_framestrobe_o[14] ;
wire \tile_x1y14_framestrobe_o[15] ;
wire \tile_x1y14_framestrobe_o[16] ;
wire \tile_x1y14_framestrobe_o[17] ;
wire \tile_x1y14_framestrobe_o[18] ;
wire \tile_x1y14_framestrobe_o[19] ;
wire \tile_x1y14_framestrobe_o[1] ;
wire \tile_x1y14_framestrobe_o[2] ;
wire \tile_x1y14_framestrobe_o[3] ;
wire \tile_x1y14_framestrobe_o[4] ;
wire \tile_x1y14_framestrobe_o[5] ;
wire \tile_x1y14_framestrobe_o[6] ;
wire \tile_x1y14_framestrobe_o[7] ;
wire \tile_x1y14_framestrobe_o[8] ;
wire \tile_x1y14_framestrobe_o[9] ;
wire \tile_x1y14_n1beg[0] ;
wire \tile_x1y14_n1beg[1] ;
wire \tile_x1y14_n1beg[2] ;
wire \tile_x1y14_n1beg[3] ;
wire \tile_x1y14_n2beg[0] ;
wire \tile_x1y14_n2beg[1] ;
wire \tile_x1y14_n2beg[2] ;
wire \tile_x1y14_n2beg[3] ;
wire \tile_x1y14_n2beg[4] ;
wire \tile_x1y14_n2beg[5] ;
wire \tile_x1y14_n2beg[6] ;
wire \tile_x1y14_n2beg[7] ;
wire \tile_x1y14_n2begb[0] ;
wire \tile_x1y14_n2begb[1] ;
wire \tile_x1y14_n2begb[2] ;
wire \tile_x1y14_n2begb[3] ;
wire \tile_x1y14_n2begb[4] ;
wire \tile_x1y14_n2begb[5] ;
wire \tile_x1y14_n2begb[6] ;
wire \tile_x1y14_n2begb[7] ;
wire \tile_x1y14_n4beg[0] ;
wire \tile_x1y14_n4beg[10] ;
wire \tile_x1y14_n4beg[11] ;
wire \tile_x1y14_n4beg[12] ;
wire \tile_x1y14_n4beg[13] ;
wire \tile_x1y14_n4beg[14] ;
wire \tile_x1y14_n4beg[15] ;
wire \tile_x1y14_n4beg[1] ;
wire \tile_x1y14_n4beg[2] ;
wire \tile_x1y14_n4beg[3] ;
wire \tile_x1y14_n4beg[4] ;
wire \tile_x1y14_n4beg[5] ;
wire \tile_x1y14_n4beg[6] ;
wire \tile_x1y14_n4beg[7] ;
wire \tile_x1y14_n4beg[8] ;
wire \tile_x1y14_n4beg[9] ;
wire \tile_x1y14_nn4beg[0] ;
wire \tile_x1y14_nn4beg[10] ;
wire \tile_x1y14_nn4beg[11] ;
wire \tile_x1y14_nn4beg[12] ;
wire \tile_x1y14_nn4beg[13] ;
wire \tile_x1y14_nn4beg[14] ;
wire \tile_x1y14_nn4beg[15] ;
wire \tile_x1y14_nn4beg[1] ;
wire \tile_x1y14_nn4beg[2] ;
wire \tile_x1y14_nn4beg[3] ;
wire \tile_x1y14_nn4beg[4] ;
wire \tile_x1y14_nn4beg[5] ;
wire \tile_x1y14_nn4beg[6] ;
wire \tile_x1y14_nn4beg[7] ;
wire \tile_x1y14_nn4beg[8] ;
wire \tile_x1y14_nn4beg[9] ;
wire \tile_x1y14_s1beg[0] ;
wire \tile_x1y14_s1beg[1] ;
wire \tile_x1y14_s1beg[2] ;
wire \tile_x1y14_s1beg[3] ;
wire \tile_x1y14_s2beg[0] ;
wire \tile_x1y14_s2beg[1] ;
wire \tile_x1y14_s2beg[2] ;
wire \tile_x1y14_s2beg[3] ;
wire \tile_x1y14_s2beg[4] ;
wire \tile_x1y14_s2beg[5] ;
wire \tile_x1y14_s2beg[6] ;
wire \tile_x1y14_s2beg[7] ;
wire \tile_x1y14_s2begb[0] ;
wire \tile_x1y14_s2begb[1] ;
wire \tile_x1y14_s2begb[2] ;
wire \tile_x1y14_s2begb[3] ;
wire \tile_x1y14_s2begb[4] ;
wire \tile_x1y14_s2begb[5] ;
wire \tile_x1y14_s2begb[6] ;
wire \tile_x1y14_s2begb[7] ;
wire \tile_x1y14_s4beg[0] ;
wire \tile_x1y14_s4beg[10] ;
wire \tile_x1y14_s4beg[11] ;
wire \tile_x1y14_s4beg[12] ;
wire \tile_x1y14_s4beg[13] ;
wire \tile_x1y14_s4beg[14] ;
wire \tile_x1y14_s4beg[15] ;
wire \tile_x1y14_s4beg[1] ;
wire \tile_x1y14_s4beg[2] ;
wire \tile_x1y14_s4beg[3] ;
wire \tile_x1y14_s4beg[4] ;
wire \tile_x1y14_s4beg[5] ;
wire \tile_x1y14_s4beg[6] ;
wire \tile_x1y14_s4beg[7] ;
wire \tile_x1y14_s4beg[8] ;
wire \tile_x1y14_s4beg[9] ;
wire \tile_x1y14_ss4beg[0] ;
wire \tile_x1y14_ss4beg[10] ;
wire \tile_x1y14_ss4beg[11] ;
wire \tile_x1y14_ss4beg[12] ;
wire \tile_x1y14_ss4beg[13] ;
wire \tile_x1y14_ss4beg[14] ;
wire \tile_x1y14_ss4beg[15] ;
wire \tile_x1y14_ss4beg[1] ;
wire \tile_x1y14_ss4beg[2] ;
wire \tile_x1y14_ss4beg[3] ;
wire \tile_x1y14_ss4beg[4] ;
wire \tile_x1y14_ss4beg[5] ;
wire \tile_x1y14_ss4beg[6] ;
wire \tile_x1y14_ss4beg[7] ;
wire \tile_x1y14_ss4beg[8] ;
wire \tile_x1y14_ss4beg[9] ;
wire tile_x1y14_userclko;
wire \tile_x1y14_w1beg[0] ;
wire \tile_x1y14_w1beg[1] ;
wire \tile_x1y14_w1beg[2] ;
wire \tile_x1y14_w1beg[3] ;
wire \tile_x1y14_w2beg[0] ;
wire \tile_x1y14_w2beg[1] ;
wire \tile_x1y14_w2beg[2] ;
wire \tile_x1y14_w2beg[3] ;
wire \tile_x1y14_w2beg[4] ;
wire \tile_x1y14_w2beg[5] ;
wire \tile_x1y14_w2beg[6] ;
wire \tile_x1y14_w2beg[7] ;
wire \tile_x1y14_w2begb[0] ;
wire \tile_x1y14_w2begb[1] ;
wire \tile_x1y14_w2begb[2] ;
wire \tile_x1y14_w2begb[3] ;
wire \tile_x1y14_w2begb[4] ;
wire \tile_x1y14_w2begb[5] ;
wire \tile_x1y14_w2begb[6] ;
wire \tile_x1y14_w2begb[7] ;
wire \tile_x1y14_w6beg[0] ;
wire \tile_x1y14_w6beg[10] ;
wire \tile_x1y14_w6beg[11] ;
wire \tile_x1y14_w6beg[1] ;
wire \tile_x1y14_w6beg[2] ;
wire \tile_x1y14_w6beg[3] ;
wire \tile_x1y14_w6beg[4] ;
wire \tile_x1y14_w6beg[5] ;
wire \tile_x1y14_w6beg[6] ;
wire \tile_x1y14_w6beg[7] ;
wire \tile_x1y14_w6beg[8] ;
wire \tile_x1y14_w6beg[9] ;
wire \tile_x1y14_ww4beg[0] ;
wire \tile_x1y14_ww4beg[10] ;
wire \tile_x1y14_ww4beg[11] ;
wire \tile_x1y14_ww4beg[12] ;
wire \tile_x1y14_ww4beg[13] ;
wire \tile_x1y14_ww4beg[14] ;
wire \tile_x1y14_ww4beg[15] ;
wire \tile_x1y14_ww4beg[1] ;
wire \tile_x1y14_ww4beg[2] ;
wire \tile_x1y14_ww4beg[3] ;
wire \tile_x1y14_ww4beg[4] ;
wire \tile_x1y14_ww4beg[5] ;
wire \tile_x1y14_ww4beg[6] ;
wire \tile_x1y14_ww4beg[7] ;
wire \tile_x1y14_ww4beg[8] ;
wire \tile_x1y14_ww4beg[9] ;
wire tile_x1y15_co;
wire \tile_x1y15_framestrobe_o[0] ;
wire \tile_x1y15_framestrobe_o[10] ;
wire \tile_x1y15_framestrobe_o[11] ;
wire \tile_x1y15_framestrobe_o[12] ;
wire \tile_x1y15_framestrobe_o[13] ;
wire \tile_x1y15_framestrobe_o[14] ;
wire \tile_x1y15_framestrobe_o[15] ;
wire \tile_x1y15_framestrobe_o[16] ;
wire \tile_x1y15_framestrobe_o[17] ;
wire \tile_x1y15_framestrobe_o[18] ;
wire \tile_x1y15_framestrobe_o[19] ;
wire \tile_x1y15_framestrobe_o[1] ;
wire \tile_x1y15_framestrobe_o[2] ;
wire \tile_x1y15_framestrobe_o[3] ;
wire \tile_x1y15_framestrobe_o[4] ;
wire \tile_x1y15_framestrobe_o[5] ;
wire \tile_x1y15_framestrobe_o[6] ;
wire \tile_x1y15_framestrobe_o[7] ;
wire \tile_x1y15_framestrobe_o[8] ;
wire \tile_x1y15_framestrobe_o[9] ;
wire \tile_x1y15_n1beg[0] ;
wire \tile_x1y15_n1beg[1] ;
wire \tile_x1y15_n1beg[2] ;
wire \tile_x1y15_n1beg[3] ;
wire \tile_x1y15_n2beg[0] ;
wire \tile_x1y15_n2beg[1] ;
wire \tile_x1y15_n2beg[2] ;
wire \tile_x1y15_n2beg[3] ;
wire \tile_x1y15_n2beg[4] ;
wire \tile_x1y15_n2beg[5] ;
wire \tile_x1y15_n2beg[6] ;
wire \tile_x1y15_n2beg[7] ;
wire \tile_x1y15_n2begb[0] ;
wire \tile_x1y15_n2begb[1] ;
wire \tile_x1y15_n2begb[2] ;
wire \tile_x1y15_n2begb[3] ;
wire \tile_x1y15_n2begb[4] ;
wire \tile_x1y15_n2begb[5] ;
wire \tile_x1y15_n2begb[6] ;
wire \tile_x1y15_n2begb[7] ;
wire \tile_x1y15_n4beg[0] ;
wire \tile_x1y15_n4beg[10] ;
wire \tile_x1y15_n4beg[11] ;
wire \tile_x1y15_n4beg[12] ;
wire \tile_x1y15_n4beg[13] ;
wire \tile_x1y15_n4beg[14] ;
wire \tile_x1y15_n4beg[15] ;
wire \tile_x1y15_n4beg[1] ;
wire \tile_x1y15_n4beg[2] ;
wire \tile_x1y15_n4beg[3] ;
wire \tile_x1y15_n4beg[4] ;
wire \tile_x1y15_n4beg[5] ;
wire \tile_x1y15_n4beg[6] ;
wire \tile_x1y15_n4beg[7] ;
wire \tile_x1y15_n4beg[8] ;
wire \tile_x1y15_n4beg[9] ;
wire \tile_x1y15_nn4beg[0] ;
wire \tile_x1y15_nn4beg[10] ;
wire \tile_x1y15_nn4beg[11] ;
wire \tile_x1y15_nn4beg[12] ;
wire \tile_x1y15_nn4beg[13] ;
wire \tile_x1y15_nn4beg[14] ;
wire \tile_x1y15_nn4beg[15] ;
wire \tile_x1y15_nn4beg[1] ;
wire \tile_x1y15_nn4beg[2] ;
wire \tile_x1y15_nn4beg[3] ;
wire \tile_x1y15_nn4beg[4] ;
wire \tile_x1y15_nn4beg[5] ;
wire \tile_x1y15_nn4beg[6] ;
wire \tile_x1y15_nn4beg[7] ;
wire \tile_x1y15_nn4beg[8] ;
wire \tile_x1y15_nn4beg[9] ;
wire tile_x1y15_userclko;
wire \tile_x1y9_framestrobe_o[0] ;
wire \tile_x1y9_framestrobe_o[10] ;
wire \tile_x1y9_framestrobe_o[11] ;
wire \tile_x1y9_framestrobe_o[12] ;
wire \tile_x1y9_framestrobe_o[13] ;
wire \tile_x1y9_framestrobe_o[14] ;
wire \tile_x1y9_framestrobe_o[15] ;
wire \tile_x1y9_framestrobe_o[16] ;
wire \tile_x1y9_framestrobe_o[17] ;
wire \tile_x1y9_framestrobe_o[18] ;
wire \tile_x1y9_framestrobe_o[19] ;
wire \tile_x1y9_framestrobe_o[1] ;
wire \tile_x1y9_framestrobe_o[2] ;
wire \tile_x1y9_framestrobe_o[3] ;
wire \tile_x1y9_framestrobe_o[4] ;
wire \tile_x1y9_framestrobe_o[5] ;
wire \tile_x1y9_framestrobe_o[6] ;
wire \tile_x1y9_framestrobe_o[7] ;
wire \tile_x1y9_framestrobe_o[8] ;
wire \tile_x1y9_framestrobe_o[9] ;
wire \tile_x1y9_s1beg[0] ;
wire \tile_x1y9_s1beg[1] ;
wire \tile_x1y9_s1beg[2] ;
wire \tile_x1y9_s1beg[3] ;
wire \tile_x1y9_s2beg[0] ;
wire \tile_x1y9_s2beg[1] ;
wire \tile_x1y9_s2beg[2] ;
wire \tile_x1y9_s2beg[3] ;
wire \tile_x1y9_s2beg[4] ;
wire \tile_x1y9_s2beg[5] ;
wire \tile_x1y9_s2beg[6] ;
wire \tile_x1y9_s2beg[7] ;
wire \tile_x1y9_s2begb[0] ;
wire \tile_x1y9_s2begb[1] ;
wire \tile_x1y9_s2begb[2] ;
wire \tile_x1y9_s2begb[3] ;
wire \tile_x1y9_s2begb[4] ;
wire \tile_x1y9_s2begb[5] ;
wire \tile_x1y9_s2begb[6] ;
wire \tile_x1y9_s2begb[7] ;
wire \tile_x1y9_s4beg[0] ;
wire \tile_x1y9_s4beg[10] ;
wire \tile_x1y9_s4beg[11] ;
wire \tile_x1y9_s4beg[12] ;
wire \tile_x1y9_s4beg[13] ;
wire \tile_x1y9_s4beg[14] ;
wire \tile_x1y9_s4beg[15] ;
wire \tile_x1y9_s4beg[1] ;
wire \tile_x1y9_s4beg[2] ;
wire \tile_x1y9_s4beg[3] ;
wire \tile_x1y9_s4beg[4] ;
wire \tile_x1y9_s4beg[5] ;
wire \tile_x1y9_s4beg[6] ;
wire \tile_x1y9_s4beg[7] ;
wire \tile_x1y9_s4beg[8] ;
wire \tile_x1y9_s4beg[9] ;
wire \tile_x1y9_ss4beg[0] ;
wire \tile_x1y9_ss4beg[10] ;
wire \tile_x1y9_ss4beg[11] ;
wire \tile_x1y9_ss4beg[12] ;
wire \tile_x1y9_ss4beg[13] ;
wire \tile_x1y9_ss4beg[14] ;
wire \tile_x1y9_ss4beg[15] ;
wire \tile_x1y9_ss4beg[1] ;
wire \tile_x1y9_ss4beg[2] ;
wire \tile_x1y9_ss4beg[3] ;
wire \tile_x1y9_ss4beg[4] ;
wire \tile_x1y9_ss4beg[5] ;
wire \tile_x1y9_ss4beg[6] ;
wire \tile_x1y9_ss4beg[7] ;
wire \tile_x1y9_ss4beg[8] ;
wire \tile_x1y9_ss4beg[9] ;
wire tile_x1y9_userclko;
wire \tile_x2y10_e1beg[0] ;
wire \tile_x2y10_e1beg[1] ;
wire \tile_x2y10_e1beg[2] ;
wire \tile_x2y10_e1beg[3] ;
wire \tile_x2y10_e2beg[0] ;
wire \tile_x2y10_e2beg[1] ;
wire \tile_x2y10_e2beg[2] ;
wire \tile_x2y10_e2beg[3] ;
wire \tile_x2y10_e2beg[4] ;
wire \tile_x2y10_e2beg[5] ;
wire \tile_x2y10_e2beg[6] ;
wire \tile_x2y10_e2beg[7] ;
wire \tile_x2y10_e2begb[0] ;
wire \tile_x2y10_e2begb[1] ;
wire \tile_x2y10_e2begb[2] ;
wire \tile_x2y10_e2begb[3] ;
wire \tile_x2y10_e2begb[4] ;
wire \tile_x2y10_e2begb[5] ;
wire \tile_x2y10_e2begb[6] ;
wire \tile_x2y10_e2begb[7] ;
wire \tile_x2y10_e6beg[0] ;
wire \tile_x2y10_e6beg[10] ;
wire \tile_x2y10_e6beg[11] ;
wire \tile_x2y10_e6beg[1] ;
wire \tile_x2y10_e6beg[2] ;
wire \tile_x2y10_e6beg[3] ;
wire \tile_x2y10_e6beg[4] ;
wire \tile_x2y10_e6beg[5] ;
wire \tile_x2y10_e6beg[6] ;
wire \tile_x2y10_e6beg[7] ;
wire \tile_x2y10_e6beg[8] ;
wire \tile_x2y10_e6beg[9] ;
wire \tile_x2y10_ee4beg[0] ;
wire \tile_x2y10_ee4beg[10] ;
wire \tile_x2y10_ee4beg[11] ;
wire \tile_x2y10_ee4beg[12] ;
wire \tile_x2y10_ee4beg[13] ;
wire \tile_x2y10_ee4beg[14] ;
wire \tile_x2y10_ee4beg[15] ;
wire \tile_x2y10_ee4beg[1] ;
wire \tile_x2y10_ee4beg[2] ;
wire \tile_x2y10_ee4beg[3] ;
wire \tile_x2y10_ee4beg[4] ;
wire \tile_x2y10_ee4beg[5] ;
wire \tile_x2y10_ee4beg[6] ;
wire \tile_x2y10_ee4beg[7] ;
wire \tile_x2y10_ee4beg[8] ;
wire \tile_x2y10_ee4beg[9] ;
wire \tile_x2y10_framedata_o[0] ;
wire \tile_x2y10_framedata_o[10] ;
wire \tile_x2y10_framedata_o[11] ;
wire \tile_x2y10_framedata_o[12] ;
wire \tile_x2y10_framedata_o[13] ;
wire \tile_x2y10_framedata_o[14] ;
wire \tile_x2y10_framedata_o[15] ;
wire \tile_x2y10_framedata_o[16] ;
wire \tile_x2y10_framedata_o[17] ;
wire \tile_x2y10_framedata_o[18] ;
wire \tile_x2y10_framedata_o[19] ;
wire \tile_x2y10_framedata_o[1] ;
wire \tile_x2y10_framedata_o[20] ;
wire \tile_x2y10_framedata_o[21] ;
wire \tile_x2y10_framedata_o[22] ;
wire \tile_x2y10_framedata_o[23] ;
wire \tile_x2y10_framedata_o[24] ;
wire \tile_x2y10_framedata_o[25] ;
wire \tile_x2y10_framedata_o[26] ;
wire \tile_x2y10_framedata_o[27] ;
wire \tile_x2y10_framedata_o[28] ;
wire \tile_x2y10_framedata_o[29] ;
wire \tile_x2y10_framedata_o[2] ;
wire \tile_x2y10_framedata_o[30] ;
wire \tile_x2y10_framedata_o[31] ;
wire \tile_x2y10_framedata_o[3] ;
wire \tile_x2y10_framedata_o[4] ;
wire \tile_x2y10_framedata_o[5] ;
wire \tile_x2y10_framedata_o[6] ;
wire \tile_x2y10_framedata_o[7] ;
wire \tile_x2y10_framedata_o[8] ;
wire \tile_x2y10_framedata_o[9] ;
wire \tile_x2y10_framestrobe_o[0] ;
wire \tile_x2y10_framestrobe_o[10] ;
wire \tile_x2y10_framestrobe_o[11] ;
wire \tile_x2y10_framestrobe_o[12] ;
wire \tile_x2y10_framestrobe_o[13] ;
wire \tile_x2y10_framestrobe_o[14] ;
wire \tile_x2y10_framestrobe_o[15] ;
wire \tile_x2y10_framestrobe_o[16] ;
wire \tile_x2y10_framestrobe_o[17] ;
wire \tile_x2y10_framestrobe_o[18] ;
wire \tile_x2y10_framestrobe_o[19] ;
wire \tile_x2y10_framestrobe_o[1] ;
wire \tile_x2y10_framestrobe_o[2] ;
wire \tile_x2y10_framestrobe_o[3] ;
wire \tile_x2y10_framestrobe_o[4] ;
wire \tile_x2y10_framestrobe_o[5] ;
wire \tile_x2y10_framestrobe_o[6] ;
wire \tile_x2y10_framestrobe_o[7] ;
wire \tile_x2y10_framestrobe_o[8] ;
wire \tile_x2y10_framestrobe_o[9] ;
wire \tile_x2y10_n1beg[0] ;
wire \tile_x2y10_n1beg[1] ;
wire \tile_x2y10_n1beg[2] ;
wire \tile_x2y10_n1beg[3] ;
wire \tile_x2y10_n2beg[0] ;
wire \tile_x2y10_n2beg[1] ;
wire \tile_x2y10_n2beg[2] ;
wire \tile_x2y10_n2beg[3] ;
wire \tile_x2y10_n2beg[4] ;
wire \tile_x2y10_n2beg[5] ;
wire \tile_x2y10_n2beg[6] ;
wire \tile_x2y10_n2beg[7] ;
wire \tile_x2y10_n2begb[0] ;
wire \tile_x2y10_n2begb[1] ;
wire \tile_x2y10_n2begb[2] ;
wire \tile_x2y10_n2begb[3] ;
wire \tile_x2y10_n2begb[4] ;
wire \tile_x2y10_n2begb[5] ;
wire \tile_x2y10_n2begb[6] ;
wire \tile_x2y10_n2begb[7] ;
wire \tile_x2y10_n4beg[0] ;
wire \tile_x2y10_n4beg[10] ;
wire \tile_x2y10_n4beg[11] ;
wire \tile_x2y10_n4beg[12] ;
wire \tile_x2y10_n4beg[13] ;
wire \tile_x2y10_n4beg[14] ;
wire \tile_x2y10_n4beg[15] ;
wire \tile_x2y10_n4beg[1] ;
wire \tile_x2y10_n4beg[2] ;
wire \tile_x2y10_n4beg[3] ;
wire \tile_x2y10_n4beg[4] ;
wire \tile_x2y10_n4beg[5] ;
wire \tile_x2y10_n4beg[6] ;
wire \tile_x2y10_n4beg[7] ;
wire \tile_x2y10_n4beg[8] ;
wire \tile_x2y10_n4beg[9] ;
wire \tile_x2y10_nn4beg[0] ;
wire \tile_x2y10_nn4beg[10] ;
wire \tile_x2y10_nn4beg[11] ;
wire \tile_x2y10_nn4beg[12] ;
wire \tile_x2y10_nn4beg[13] ;
wire \tile_x2y10_nn4beg[14] ;
wire \tile_x2y10_nn4beg[15] ;
wire \tile_x2y10_nn4beg[1] ;
wire \tile_x2y10_nn4beg[2] ;
wire \tile_x2y10_nn4beg[3] ;
wire \tile_x2y10_nn4beg[4] ;
wire \tile_x2y10_nn4beg[5] ;
wire \tile_x2y10_nn4beg[6] ;
wire \tile_x2y10_nn4beg[7] ;
wire \tile_x2y10_nn4beg[8] ;
wire \tile_x2y10_nn4beg[9] ;
wire \tile_x2y10_s1beg[0] ;
wire \tile_x2y10_s1beg[1] ;
wire \tile_x2y10_s1beg[2] ;
wire \tile_x2y10_s1beg[3] ;
wire \tile_x2y10_s2beg[0] ;
wire \tile_x2y10_s2beg[1] ;
wire \tile_x2y10_s2beg[2] ;
wire \tile_x2y10_s2beg[3] ;
wire \tile_x2y10_s2beg[4] ;
wire \tile_x2y10_s2beg[5] ;
wire \tile_x2y10_s2beg[6] ;
wire \tile_x2y10_s2beg[7] ;
wire \tile_x2y10_s2begb[0] ;
wire \tile_x2y10_s2begb[1] ;
wire \tile_x2y10_s2begb[2] ;
wire \tile_x2y10_s2begb[3] ;
wire \tile_x2y10_s2begb[4] ;
wire \tile_x2y10_s2begb[5] ;
wire \tile_x2y10_s2begb[6] ;
wire \tile_x2y10_s2begb[7] ;
wire \tile_x2y10_s4beg[0] ;
wire \tile_x2y10_s4beg[10] ;
wire \tile_x2y10_s4beg[11] ;
wire \tile_x2y10_s4beg[12] ;
wire \tile_x2y10_s4beg[13] ;
wire \tile_x2y10_s4beg[14] ;
wire \tile_x2y10_s4beg[15] ;
wire \tile_x2y10_s4beg[1] ;
wire \tile_x2y10_s4beg[2] ;
wire \tile_x2y10_s4beg[3] ;
wire \tile_x2y10_s4beg[4] ;
wire \tile_x2y10_s4beg[5] ;
wire \tile_x2y10_s4beg[6] ;
wire \tile_x2y10_s4beg[7] ;
wire \tile_x2y10_s4beg[8] ;
wire \tile_x2y10_s4beg[9] ;
wire \tile_x2y10_ss4beg[0] ;
wire \tile_x2y10_ss4beg[10] ;
wire \tile_x2y10_ss4beg[11] ;
wire \tile_x2y10_ss4beg[12] ;
wire \tile_x2y10_ss4beg[13] ;
wire \tile_x2y10_ss4beg[14] ;
wire \tile_x2y10_ss4beg[15] ;
wire \tile_x2y10_ss4beg[1] ;
wire \tile_x2y10_ss4beg[2] ;
wire \tile_x2y10_ss4beg[3] ;
wire \tile_x2y10_ss4beg[4] ;
wire \tile_x2y10_ss4beg[5] ;
wire \tile_x2y10_ss4beg[6] ;
wire \tile_x2y10_ss4beg[7] ;
wire \tile_x2y10_ss4beg[8] ;
wire \tile_x2y10_ss4beg[9] ;
wire tile_x2y10_userclko;
wire \tile_x2y10_w1beg[0] ;
wire \tile_x2y10_w1beg[1] ;
wire \tile_x2y10_w1beg[2] ;
wire \tile_x2y10_w1beg[3] ;
wire \tile_x2y10_w2beg[0] ;
wire \tile_x2y10_w2beg[1] ;
wire \tile_x2y10_w2beg[2] ;
wire \tile_x2y10_w2beg[3] ;
wire \tile_x2y10_w2beg[4] ;
wire \tile_x2y10_w2beg[5] ;
wire \tile_x2y10_w2beg[6] ;
wire \tile_x2y10_w2beg[7] ;
wire \tile_x2y10_w2begb[0] ;
wire \tile_x2y10_w2begb[1] ;
wire \tile_x2y10_w2begb[2] ;
wire \tile_x2y10_w2begb[3] ;
wire \tile_x2y10_w2begb[4] ;
wire \tile_x2y10_w2begb[5] ;
wire \tile_x2y10_w2begb[6] ;
wire \tile_x2y10_w2begb[7] ;
wire \tile_x2y10_w6beg[0] ;
wire \tile_x2y10_w6beg[10] ;
wire \tile_x2y10_w6beg[11] ;
wire \tile_x2y10_w6beg[1] ;
wire \tile_x2y10_w6beg[2] ;
wire \tile_x2y10_w6beg[3] ;
wire \tile_x2y10_w6beg[4] ;
wire \tile_x2y10_w6beg[5] ;
wire \tile_x2y10_w6beg[6] ;
wire \tile_x2y10_w6beg[7] ;
wire \tile_x2y10_w6beg[8] ;
wire \tile_x2y10_w6beg[9] ;
wire \tile_x2y10_ww4beg[0] ;
wire \tile_x2y10_ww4beg[10] ;
wire \tile_x2y10_ww4beg[11] ;
wire \tile_x2y10_ww4beg[12] ;
wire \tile_x2y10_ww4beg[13] ;
wire \tile_x2y10_ww4beg[14] ;
wire \tile_x2y10_ww4beg[15] ;
wire \tile_x2y10_ww4beg[1] ;
wire \tile_x2y10_ww4beg[2] ;
wire \tile_x2y10_ww4beg[3] ;
wire \tile_x2y10_ww4beg[4] ;
wire \tile_x2y10_ww4beg[5] ;
wire \tile_x2y10_ww4beg[6] ;
wire \tile_x2y10_ww4beg[7] ;
wire \tile_x2y10_ww4beg[8] ;
wire \tile_x2y10_ww4beg[9] ;
wire \tile_x2y11_e1beg[0] ;
wire \tile_x2y11_e1beg[1] ;
wire \tile_x2y11_e1beg[2] ;
wire \tile_x2y11_e1beg[3] ;
wire \tile_x2y11_e2beg[0] ;
wire \tile_x2y11_e2beg[1] ;
wire \tile_x2y11_e2beg[2] ;
wire \tile_x2y11_e2beg[3] ;
wire \tile_x2y11_e2beg[4] ;
wire \tile_x2y11_e2beg[5] ;
wire \tile_x2y11_e2beg[6] ;
wire \tile_x2y11_e2beg[7] ;
wire \tile_x2y11_e2begb[0] ;
wire \tile_x2y11_e2begb[1] ;
wire \tile_x2y11_e2begb[2] ;
wire \tile_x2y11_e2begb[3] ;
wire \tile_x2y11_e2begb[4] ;
wire \tile_x2y11_e2begb[5] ;
wire \tile_x2y11_e2begb[6] ;
wire \tile_x2y11_e2begb[7] ;
wire \tile_x2y11_e6beg[0] ;
wire \tile_x2y11_e6beg[10] ;
wire \tile_x2y11_e6beg[11] ;
wire \tile_x2y11_e6beg[1] ;
wire \tile_x2y11_e6beg[2] ;
wire \tile_x2y11_e6beg[3] ;
wire \tile_x2y11_e6beg[4] ;
wire \tile_x2y11_e6beg[5] ;
wire \tile_x2y11_e6beg[6] ;
wire \tile_x2y11_e6beg[7] ;
wire \tile_x2y11_e6beg[8] ;
wire \tile_x2y11_e6beg[9] ;
wire \tile_x2y11_ee4beg[0] ;
wire \tile_x2y11_ee4beg[10] ;
wire \tile_x2y11_ee4beg[11] ;
wire \tile_x2y11_ee4beg[12] ;
wire \tile_x2y11_ee4beg[13] ;
wire \tile_x2y11_ee4beg[14] ;
wire \tile_x2y11_ee4beg[15] ;
wire \tile_x2y11_ee4beg[1] ;
wire \tile_x2y11_ee4beg[2] ;
wire \tile_x2y11_ee4beg[3] ;
wire \tile_x2y11_ee4beg[4] ;
wire \tile_x2y11_ee4beg[5] ;
wire \tile_x2y11_ee4beg[6] ;
wire \tile_x2y11_ee4beg[7] ;
wire \tile_x2y11_ee4beg[8] ;
wire \tile_x2y11_ee4beg[9] ;
wire \tile_x2y11_framedata_o[0] ;
wire \tile_x2y11_framedata_o[10] ;
wire \tile_x2y11_framedata_o[11] ;
wire \tile_x2y11_framedata_o[12] ;
wire \tile_x2y11_framedata_o[13] ;
wire \tile_x2y11_framedata_o[14] ;
wire \tile_x2y11_framedata_o[15] ;
wire \tile_x2y11_framedata_o[16] ;
wire \tile_x2y11_framedata_o[17] ;
wire \tile_x2y11_framedata_o[18] ;
wire \tile_x2y11_framedata_o[19] ;
wire \tile_x2y11_framedata_o[1] ;
wire \tile_x2y11_framedata_o[20] ;
wire \tile_x2y11_framedata_o[21] ;
wire \tile_x2y11_framedata_o[22] ;
wire \tile_x2y11_framedata_o[23] ;
wire \tile_x2y11_framedata_o[24] ;
wire \tile_x2y11_framedata_o[25] ;
wire \tile_x2y11_framedata_o[26] ;
wire \tile_x2y11_framedata_o[27] ;
wire \tile_x2y11_framedata_o[28] ;
wire \tile_x2y11_framedata_o[29] ;
wire \tile_x2y11_framedata_o[2] ;
wire \tile_x2y11_framedata_o[30] ;
wire \tile_x2y11_framedata_o[31] ;
wire \tile_x2y11_framedata_o[3] ;
wire \tile_x2y11_framedata_o[4] ;
wire \tile_x2y11_framedata_o[5] ;
wire \tile_x2y11_framedata_o[6] ;
wire \tile_x2y11_framedata_o[7] ;
wire \tile_x2y11_framedata_o[8] ;
wire \tile_x2y11_framedata_o[9] ;
wire \tile_x2y11_framestrobe_o[0] ;
wire \tile_x2y11_framestrobe_o[10] ;
wire \tile_x2y11_framestrobe_o[11] ;
wire \tile_x2y11_framestrobe_o[12] ;
wire \tile_x2y11_framestrobe_o[13] ;
wire \tile_x2y11_framestrobe_o[14] ;
wire \tile_x2y11_framestrobe_o[15] ;
wire \tile_x2y11_framestrobe_o[16] ;
wire \tile_x2y11_framestrobe_o[17] ;
wire \tile_x2y11_framestrobe_o[18] ;
wire \tile_x2y11_framestrobe_o[19] ;
wire \tile_x2y11_framestrobe_o[1] ;
wire \tile_x2y11_framestrobe_o[2] ;
wire \tile_x2y11_framestrobe_o[3] ;
wire \tile_x2y11_framestrobe_o[4] ;
wire \tile_x2y11_framestrobe_o[5] ;
wire \tile_x2y11_framestrobe_o[6] ;
wire \tile_x2y11_framestrobe_o[7] ;
wire \tile_x2y11_framestrobe_o[8] ;
wire \tile_x2y11_framestrobe_o[9] ;
wire \tile_x2y11_n1beg[0] ;
wire \tile_x2y11_n1beg[1] ;
wire \tile_x2y11_n1beg[2] ;
wire \tile_x2y11_n1beg[3] ;
wire \tile_x2y11_n2beg[0] ;
wire \tile_x2y11_n2beg[1] ;
wire \tile_x2y11_n2beg[2] ;
wire \tile_x2y11_n2beg[3] ;
wire \tile_x2y11_n2beg[4] ;
wire \tile_x2y11_n2beg[5] ;
wire \tile_x2y11_n2beg[6] ;
wire \tile_x2y11_n2beg[7] ;
wire \tile_x2y11_n2begb[0] ;
wire \tile_x2y11_n2begb[1] ;
wire \tile_x2y11_n2begb[2] ;
wire \tile_x2y11_n2begb[3] ;
wire \tile_x2y11_n2begb[4] ;
wire \tile_x2y11_n2begb[5] ;
wire \tile_x2y11_n2begb[6] ;
wire \tile_x2y11_n2begb[7] ;
wire \tile_x2y11_n4beg[0] ;
wire \tile_x2y11_n4beg[10] ;
wire \tile_x2y11_n4beg[11] ;
wire \tile_x2y11_n4beg[12] ;
wire \tile_x2y11_n4beg[13] ;
wire \tile_x2y11_n4beg[14] ;
wire \tile_x2y11_n4beg[15] ;
wire \tile_x2y11_n4beg[1] ;
wire \tile_x2y11_n4beg[2] ;
wire \tile_x2y11_n4beg[3] ;
wire \tile_x2y11_n4beg[4] ;
wire \tile_x2y11_n4beg[5] ;
wire \tile_x2y11_n4beg[6] ;
wire \tile_x2y11_n4beg[7] ;
wire \tile_x2y11_n4beg[8] ;
wire \tile_x2y11_n4beg[9] ;
wire \tile_x2y11_nn4beg[0] ;
wire \tile_x2y11_nn4beg[10] ;
wire \tile_x2y11_nn4beg[11] ;
wire \tile_x2y11_nn4beg[12] ;
wire \tile_x2y11_nn4beg[13] ;
wire \tile_x2y11_nn4beg[14] ;
wire \tile_x2y11_nn4beg[15] ;
wire \tile_x2y11_nn4beg[1] ;
wire \tile_x2y11_nn4beg[2] ;
wire \tile_x2y11_nn4beg[3] ;
wire \tile_x2y11_nn4beg[4] ;
wire \tile_x2y11_nn4beg[5] ;
wire \tile_x2y11_nn4beg[6] ;
wire \tile_x2y11_nn4beg[7] ;
wire \tile_x2y11_nn4beg[8] ;
wire \tile_x2y11_nn4beg[9] ;
wire \tile_x2y11_s1beg[0] ;
wire \tile_x2y11_s1beg[1] ;
wire \tile_x2y11_s1beg[2] ;
wire \tile_x2y11_s1beg[3] ;
wire \tile_x2y11_s2beg[0] ;
wire \tile_x2y11_s2beg[1] ;
wire \tile_x2y11_s2beg[2] ;
wire \tile_x2y11_s2beg[3] ;
wire \tile_x2y11_s2beg[4] ;
wire \tile_x2y11_s2beg[5] ;
wire \tile_x2y11_s2beg[6] ;
wire \tile_x2y11_s2beg[7] ;
wire \tile_x2y11_s2begb[0] ;
wire \tile_x2y11_s2begb[1] ;
wire \tile_x2y11_s2begb[2] ;
wire \tile_x2y11_s2begb[3] ;
wire \tile_x2y11_s2begb[4] ;
wire \tile_x2y11_s2begb[5] ;
wire \tile_x2y11_s2begb[6] ;
wire \tile_x2y11_s2begb[7] ;
wire \tile_x2y11_s4beg[0] ;
wire \tile_x2y11_s4beg[10] ;
wire \tile_x2y11_s4beg[11] ;
wire \tile_x2y11_s4beg[12] ;
wire \tile_x2y11_s4beg[13] ;
wire \tile_x2y11_s4beg[14] ;
wire \tile_x2y11_s4beg[15] ;
wire \tile_x2y11_s4beg[1] ;
wire \tile_x2y11_s4beg[2] ;
wire \tile_x2y11_s4beg[3] ;
wire \tile_x2y11_s4beg[4] ;
wire \tile_x2y11_s4beg[5] ;
wire \tile_x2y11_s4beg[6] ;
wire \tile_x2y11_s4beg[7] ;
wire \tile_x2y11_s4beg[8] ;
wire \tile_x2y11_s4beg[9] ;
wire \tile_x2y11_ss4beg[0] ;
wire \tile_x2y11_ss4beg[10] ;
wire \tile_x2y11_ss4beg[11] ;
wire \tile_x2y11_ss4beg[12] ;
wire \tile_x2y11_ss4beg[13] ;
wire \tile_x2y11_ss4beg[14] ;
wire \tile_x2y11_ss4beg[15] ;
wire \tile_x2y11_ss4beg[1] ;
wire \tile_x2y11_ss4beg[2] ;
wire \tile_x2y11_ss4beg[3] ;
wire \tile_x2y11_ss4beg[4] ;
wire \tile_x2y11_ss4beg[5] ;
wire \tile_x2y11_ss4beg[6] ;
wire \tile_x2y11_ss4beg[7] ;
wire \tile_x2y11_ss4beg[8] ;
wire \tile_x2y11_ss4beg[9] ;
wire tile_x2y11_userclko;
wire \tile_x2y11_w1beg[0] ;
wire \tile_x2y11_w1beg[1] ;
wire \tile_x2y11_w1beg[2] ;
wire \tile_x2y11_w1beg[3] ;
wire \tile_x2y11_w2beg[0] ;
wire \tile_x2y11_w2beg[1] ;
wire \tile_x2y11_w2beg[2] ;
wire \tile_x2y11_w2beg[3] ;
wire \tile_x2y11_w2beg[4] ;
wire \tile_x2y11_w2beg[5] ;
wire \tile_x2y11_w2beg[6] ;
wire \tile_x2y11_w2beg[7] ;
wire \tile_x2y11_w2begb[0] ;
wire \tile_x2y11_w2begb[1] ;
wire \tile_x2y11_w2begb[2] ;
wire \tile_x2y11_w2begb[3] ;
wire \tile_x2y11_w2begb[4] ;
wire \tile_x2y11_w2begb[5] ;
wire \tile_x2y11_w2begb[6] ;
wire \tile_x2y11_w2begb[7] ;
wire \tile_x2y11_w6beg[0] ;
wire \tile_x2y11_w6beg[10] ;
wire \tile_x2y11_w6beg[11] ;
wire \tile_x2y11_w6beg[1] ;
wire \tile_x2y11_w6beg[2] ;
wire \tile_x2y11_w6beg[3] ;
wire \tile_x2y11_w6beg[4] ;
wire \tile_x2y11_w6beg[5] ;
wire \tile_x2y11_w6beg[6] ;
wire \tile_x2y11_w6beg[7] ;
wire \tile_x2y11_w6beg[8] ;
wire \tile_x2y11_w6beg[9] ;
wire \tile_x2y11_ww4beg[0] ;
wire \tile_x2y11_ww4beg[10] ;
wire \tile_x2y11_ww4beg[11] ;
wire \tile_x2y11_ww4beg[12] ;
wire \tile_x2y11_ww4beg[13] ;
wire \tile_x2y11_ww4beg[14] ;
wire \tile_x2y11_ww4beg[15] ;
wire \tile_x2y11_ww4beg[1] ;
wire \tile_x2y11_ww4beg[2] ;
wire \tile_x2y11_ww4beg[3] ;
wire \tile_x2y11_ww4beg[4] ;
wire \tile_x2y11_ww4beg[5] ;
wire \tile_x2y11_ww4beg[6] ;
wire \tile_x2y11_ww4beg[7] ;
wire \tile_x2y11_ww4beg[8] ;
wire \tile_x2y11_ww4beg[9] ;
wire \tile_x2y12_e1beg[0] ;
wire \tile_x2y12_e1beg[1] ;
wire \tile_x2y12_e1beg[2] ;
wire \tile_x2y12_e1beg[3] ;
wire \tile_x2y12_e2beg[0] ;
wire \tile_x2y12_e2beg[1] ;
wire \tile_x2y12_e2beg[2] ;
wire \tile_x2y12_e2beg[3] ;
wire \tile_x2y12_e2beg[4] ;
wire \tile_x2y12_e2beg[5] ;
wire \tile_x2y12_e2beg[6] ;
wire \tile_x2y12_e2beg[7] ;
wire \tile_x2y12_e2begb[0] ;
wire \tile_x2y12_e2begb[1] ;
wire \tile_x2y12_e2begb[2] ;
wire \tile_x2y12_e2begb[3] ;
wire \tile_x2y12_e2begb[4] ;
wire \tile_x2y12_e2begb[5] ;
wire \tile_x2y12_e2begb[6] ;
wire \tile_x2y12_e2begb[7] ;
wire \tile_x2y12_e6beg[0] ;
wire \tile_x2y12_e6beg[10] ;
wire \tile_x2y12_e6beg[11] ;
wire \tile_x2y12_e6beg[1] ;
wire \tile_x2y12_e6beg[2] ;
wire \tile_x2y12_e6beg[3] ;
wire \tile_x2y12_e6beg[4] ;
wire \tile_x2y12_e6beg[5] ;
wire \tile_x2y12_e6beg[6] ;
wire \tile_x2y12_e6beg[7] ;
wire \tile_x2y12_e6beg[8] ;
wire \tile_x2y12_e6beg[9] ;
wire \tile_x2y12_ee4beg[0] ;
wire \tile_x2y12_ee4beg[10] ;
wire \tile_x2y12_ee4beg[11] ;
wire \tile_x2y12_ee4beg[12] ;
wire \tile_x2y12_ee4beg[13] ;
wire \tile_x2y12_ee4beg[14] ;
wire \tile_x2y12_ee4beg[15] ;
wire \tile_x2y12_ee4beg[1] ;
wire \tile_x2y12_ee4beg[2] ;
wire \tile_x2y12_ee4beg[3] ;
wire \tile_x2y12_ee4beg[4] ;
wire \tile_x2y12_ee4beg[5] ;
wire \tile_x2y12_ee4beg[6] ;
wire \tile_x2y12_ee4beg[7] ;
wire \tile_x2y12_ee4beg[8] ;
wire \tile_x2y12_ee4beg[9] ;
wire \tile_x2y12_framedata_o[0] ;
wire \tile_x2y12_framedata_o[10] ;
wire \tile_x2y12_framedata_o[11] ;
wire \tile_x2y12_framedata_o[12] ;
wire \tile_x2y12_framedata_o[13] ;
wire \tile_x2y12_framedata_o[14] ;
wire \tile_x2y12_framedata_o[15] ;
wire \tile_x2y12_framedata_o[16] ;
wire \tile_x2y12_framedata_o[17] ;
wire \tile_x2y12_framedata_o[18] ;
wire \tile_x2y12_framedata_o[19] ;
wire \tile_x2y12_framedata_o[1] ;
wire \tile_x2y12_framedata_o[20] ;
wire \tile_x2y12_framedata_o[21] ;
wire \tile_x2y12_framedata_o[22] ;
wire \tile_x2y12_framedata_o[23] ;
wire \tile_x2y12_framedata_o[24] ;
wire \tile_x2y12_framedata_o[25] ;
wire \tile_x2y12_framedata_o[26] ;
wire \tile_x2y12_framedata_o[27] ;
wire \tile_x2y12_framedata_o[28] ;
wire \tile_x2y12_framedata_o[29] ;
wire \tile_x2y12_framedata_o[2] ;
wire \tile_x2y12_framedata_o[30] ;
wire \tile_x2y12_framedata_o[31] ;
wire \tile_x2y12_framedata_o[3] ;
wire \tile_x2y12_framedata_o[4] ;
wire \tile_x2y12_framedata_o[5] ;
wire \tile_x2y12_framedata_o[6] ;
wire \tile_x2y12_framedata_o[7] ;
wire \tile_x2y12_framedata_o[8] ;
wire \tile_x2y12_framedata_o[9] ;
wire \tile_x2y12_framestrobe_o[0] ;
wire \tile_x2y12_framestrobe_o[10] ;
wire \tile_x2y12_framestrobe_o[11] ;
wire \tile_x2y12_framestrobe_o[12] ;
wire \tile_x2y12_framestrobe_o[13] ;
wire \tile_x2y12_framestrobe_o[14] ;
wire \tile_x2y12_framestrobe_o[15] ;
wire \tile_x2y12_framestrobe_o[16] ;
wire \tile_x2y12_framestrobe_o[17] ;
wire \tile_x2y12_framestrobe_o[18] ;
wire \tile_x2y12_framestrobe_o[19] ;
wire \tile_x2y12_framestrobe_o[1] ;
wire \tile_x2y12_framestrobe_o[2] ;
wire \tile_x2y12_framestrobe_o[3] ;
wire \tile_x2y12_framestrobe_o[4] ;
wire \tile_x2y12_framestrobe_o[5] ;
wire \tile_x2y12_framestrobe_o[6] ;
wire \tile_x2y12_framestrobe_o[7] ;
wire \tile_x2y12_framestrobe_o[8] ;
wire \tile_x2y12_framestrobe_o[9] ;
wire \tile_x2y12_n1beg[0] ;
wire \tile_x2y12_n1beg[1] ;
wire \tile_x2y12_n1beg[2] ;
wire \tile_x2y12_n1beg[3] ;
wire \tile_x2y12_n2beg[0] ;
wire \tile_x2y12_n2beg[1] ;
wire \tile_x2y12_n2beg[2] ;
wire \tile_x2y12_n2beg[3] ;
wire \tile_x2y12_n2beg[4] ;
wire \tile_x2y12_n2beg[5] ;
wire \tile_x2y12_n2beg[6] ;
wire \tile_x2y12_n2beg[7] ;
wire \tile_x2y12_n2begb[0] ;
wire \tile_x2y12_n2begb[1] ;
wire \tile_x2y12_n2begb[2] ;
wire \tile_x2y12_n2begb[3] ;
wire \tile_x2y12_n2begb[4] ;
wire \tile_x2y12_n2begb[5] ;
wire \tile_x2y12_n2begb[6] ;
wire \tile_x2y12_n2begb[7] ;
wire \tile_x2y12_n4beg[0] ;
wire \tile_x2y12_n4beg[10] ;
wire \tile_x2y12_n4beg[11] ;
wire \tile_x2y12_n4beg[12] ;
wire \tile_x2y12_n4beg[13] ;
wire \tile_x2y12_n4beg[14] ;
wire \tile_x2y12_n4beg[15] ;
wire \tile_x2y12_n4beg[1] ;
wire \tile_x2y12_n4beg[2] ;
wire \tile_x2y12_n4beg[3] ;
wire \tile_x2y12_n4beg[4] ;
wire \tile_x2y12_n4beg[5] ;
wire \tile_x2y12_n4beg[6] ;
wire \tile_x2y12_n4beg[7] ;
wire \tile_x2y12_n4beg[8] ;
wire \tile_x2y12_n4beg[9] ;
wire \tile_x2y12_nn4beg[0] ;
wire \tile_x2y12_nn4beg[10] ;
wire \tile_x2y12_nn4beg[11] ;
wire \tile_x2y12_nn4beg[12] ;
wire \tile_x2y12_nn4beg[13] ;
wire \tile_x2y12_nn4beg[14] ;
wire \tile_x2y12_nn4beg[15] ;
wire \tile_x2y12_nn4beg[1] ;
wire \tile_x2y12_nn4beg[2] ;
wire \tile_x2y12_nn4beg[3] ;
wire \tile_x2y12_nn4beg[4] ;
wire \tile_x2y12_nn4beg[5] ;
wire \tile_x2y12_nn4beg[6] ;
wire \tile_x2y12_nn4beg[7] ;
wire \tile_x2y12_nn4beg[8] ;
wire \tile_x2y12_nn4beg[9] ;
wire \tile_x2y12_s1beg[0] ;
wire \tile_x2y12_s1beg[1] ;
wire \tile_x2y12_s1beg[2] ;
wire \tile_x2y12_s1beg[3] ;
wire \tile_x2y12_s2beg[0] ;
wire \tile_x2y12_s2beg[1] ;
wire \tile_x2y12_s2beg[2] ;
wire \tile_x2y12_s2beg[3] ;
wire \tile_x2y12_s2beg[4] ;
wire \tile_x2y12_s2beg[5] ;
wire \tile_x2y12_s2beg[6] ;
wire \tile_x2y12_s2beg[7] ;
wire \tile_x2y12_s2begb[0] ;
wire \tile_x2y12_s2begb[1] ;
wire \tile_x2y12_s2begb[2] ;
wire \tile_x2y12_s2begb[3] ;
wire \tile_x2y12_s2begb[4] ;
wire \tile_x2y12_s2begb[5] ;
wire \tile_x2y12_s2begb[6] ;
wire \tile_x2y12_s2begb[7] ;
wire \tile_x2y12_s4beg[0] ;
wire \tile_x2y12_s4beg[10] ;
wire \tile_x2y12_s4beg[11] ;
wire \tile_x2y12_s4beg[12] ;
wire \tile_x2y12_s4beg[13] ;
wire \tile_x2y12_s4beg[14] ;
wire \tile_x2y12_s4beg[15] ;
wire \tile_x2y12_s4beg[1] ;
wire \tile_x2y12_s4beg[2] ;
wire \tile_x2y12_s4beg[3] ;
wire \tile_x2y12_s4beg[4] ;
wire \tile_x2y12_s4beg[5] ;
wire \tile_x2y12_s4beg[6] ;
wire \tile_x2y12_s4beg[7] ;
wire \tile_x2y12_s4beg[8] ;
wire \tile_x2y12_s4beg[9] ;
wire \tile_x2y12_ss4beg[0] ;
wire \tile_x2y12_ss4beg[10] ;
wire \tile_x2y12_ss4beg[11] ;
wire \tile_x2y12_ss4beg[12] ;
wire \tile_x2y12_ss4beg[13] ;
wire \tile_x2y12_ss4beg[14] ;
wire \tile_x2y12_ss4beg[15] ;
wire \tile_x2y12_ss4beg[1] ;
wire \tile_x2y12_ss4beg[2] ;
wire \tile_x2y12_ss4beg[3] ;
wire \tile_x2y12_ss4beg[4] ;
wire \tile_x2y12_ss4beg[5] ;
wire \tile_x2y12_ss4beg[6] ;
wire \tile_x2y12_ss4beg[7] ;
wire \tile_x2y12_ss4beg[8] ;
wire \tile_x2y12_ss4beg[9] ;
wire tile_x2y12_userclko;
wire \tile_x2y12_w1beg[0] ;
wire \tile_x2y12_w1beg[1] ;
wire \tile_x2y12_w1beg[2] ;
wire \tile_x2y12_w1beg[3] ;
wire \tile_x2y12_w2beg[0] ;
wire \tile_x2y12_w2beg[1] ;
wire \tile_x2y12_w2beg[2] ;
wire \tile_x2y12_w2beg[3] ;
wire \tile_x2y12_w2beg[4] ;
wire \tile_x2y12_w2beg[5] ;
wire \tile_x2y12_w2beg[6] ;
wire \tile_x2y12_w2beg[7] ;
wire \tile_x2y12_w2begb[0] ;
wire \tile_x2y12_w2begb[1] ;
wire \tile_x2y12_w2begb[2] ;
wire \tile_x2y12_w2begb[3] ;
wire \tile_x2y12_w2begb[4] ;
wire \tile_x2y12_w2begb[5] ;
wire \tile_x2y12_w2begb[6] ;
wire \tile_x2y12_w2begb[7] ;
wire \tile_x2y12_w6beg[0] ;
wire \tile_x2y12_w6beg[10] ;
wire \tile_x2y12_w6beg[11] ;
wire \tile_x2y12_w6beg[1] ;
wire \tile_x2y12_w6beg[2] ;
wire \tile_x2y12_w6beg[3] ;
wire \tile_x2y12_w6beg[4] ;
wire \tile_x2y12_w6beg[5] ;
wire \tile_x2y12_w6beg[6] ;
wire \tile_x2y12_w6beg[7] ;
wire \tile_x2y12_w6beg[8] ;
wire \tile_x2y12_w6beg[9] ;
wire \tile_x2y12_ww4beg[0] ;
wire \tile_x2y12_ww4beg[10] ;
wire \tile_x2y12_ww4beg[11] ;
wire \tile_x2y12_ww4beg[12] ;
wire \tile_x2y12_ww4beg[13] ;
wire \tile_x2y12_ww4beg[14] ;
wire \tile_x2y12_ww4beg[15] ;
wire \tile_x2y12_ww4beg[1] ;
wire \tile_x2y12_ww4beg[2] ;
wire \tile_x2y12_ww4beg[3] ;
wire \tile_x2y12_ww4beg[4] ;
wire \tile_x2y12_ww4beg[5] ;
wire \tile_x2y12_ww4beg[6] ;
wire \tile_x2y12_ww4beg[7] ;
wire \tile_x2y12_ww4beg[8] ;
wire \tile_x2y12_ww4beg[9] ;
wire \tile_x2y13_e1beg[0] ;
wire \tile_x2y13_e1beg[1] ;
wire \tile_x2y13_e1beg[2] ;
wire \tile_x2y13_e1beg[3] ;
wire \tile_x2y13_e2beg[0] ;
wire \tile_x2y13_e2beg[1] ;
wire \tile_x2y13_e2beg[2] ;
wire \tile_x2y13_e2beg[3] ;
wire \tile_x2y13_e2beg[4] ;
wire \tile_x2y13_e2beg[5] ;
wire \tile_x2y13_e2beg[6] ;
wire \tile_x2y13_e2beg[7] ;
wire \tile_x2y13_e2begb[0] ;
wire \tile_x2y13_e2begb[1] ;
wire \tile_x2y13_e2begb[2] ;
wire \tile_x2y13_e2begb[3] ;
wire \tile_x2y13_e2begb[4] ;
wire \tile_x2y13_e2begb[5] ;
wire \tile_x2y13_e2begb[6] ;
wire \tile_x2y13_e2begb[7] ;
wire \tile_x2y13_e6beg[0] ;
wire \tile_x2y13_e6beg[10] ;
wire \tile_x2y13_e6beg[11] ;
wire \tile_x2y13_e6beg[1] ;
wire \tile_x2y13_e6beg[2] ;
wire \tile_x2y13_e6beg[3] ;
wire \tile_x2y13_e6beg[4] ;
wire \tile_x2y13_e6beg[5] ;
wire \tile_x2y13_e6beg[6] ;
wire \tile_x2y13_e6beg[7] ;
wire \tile_x2y13_e6beg[8] ;
wire \tile_x2y13_e6beg[9] ;
wire \tile_x2y13_ee4beg[0] ;
wire \tile_x2y13_ee4beg[10] ;
wire \tile_x2y13_ee4beg[11] ;
wire \tile_x2y13_ee4beg[12] ;
wire \tile_x2y13_ee4beg[13] ;
wire \tile_x2y13_ee4beg[14] ;
wire \tile_x2y13_ee4beg[15] ;
wire \tile_x2y13_ee4beg[1] ;
wire \tile_x2y13_ee4beg[2] ;
wire \tile_x2y13_ee4beg[3] ;
wire \tile_x2y13_ee4beg[4] ;
wire \tile_x2y13_ee4beg[5] ;
wire \tile_x2y13_ee4beg[6] ;
wire \tile_x2y13_ee4beg[7] ;
wire \tile_x2y13_ee4beg[8] ;
wire \tile_x2y13_ee4beg[9] ;
wire \tile_x2y13_framedata_o[0] ;
wire \tile_x2y13_framedata_o[10] ;
wire \tile_x2y13_framedata_o[11] ;
wire \tile_x2y13_framedata_o[12] ;
wire \tile_x2y13_framedata_o[13] ;
wire \tile_x2y13_framedata_o[14] ;
wire \tile_x2y13_framedata_o[15] ;
wire \tile_x2y13_framedata_o[16] ;
wire \tile_x2y13_framedata_o[17] ;
wire \tile_x2y13_framedata_o[18] ;
wire \tile_x2y13_framedata_o[19] ;
wire \tile_x2y13_framedata_o[1] ;
wire \tile_x2y13_framedata_o[20] ;
wire \tile_x2y13_framedata_o[21] ;
wire \tile_x2y13_framedata_o[22] ;
wire \tile_x2y13_framedata_o[23] ;
wire \tile_x2y13_framedata_o[24] ;
wire \tile_x2y13_framedata_o[25] ;
wire \tile_x2y13_framedata_o[26] ;
wire \tile_x2y13_framedata_o[27] ;
wire \tile_x2y13_framedata_o[28] ;
wire \tile_x2y13_framedata_o[29] ;
wire \tile_x2y13_framedata_o[2] ;
wire \tile_x2y13_framedata_o[30] ;
wire \tile_x2y13_framedata_o[31] ;
wire \tile_x2y13_framedata_o[3] ;
wire \tile_x2y13_framedata_o[4] ;
wire \tile_x2y13_framedata_o[5] ;
wire \tile_x2y13_framedata_o[6] ;
wire \tile_x2y13_framedata_o[7] ;
wire \tile_x2y13_framedata_o[8] ;
wire \tile_x2y13_framedata_o[9] ;
wire \tile_x2y13_framestrobe_o[0] ;
wire \tile_x2y13_framestrobe_o[10] ;
wire \tile_x2y13_framestrobe_o[11] ;
wire \tile_x2y13_framestrobe_o[12] ;
wire \tile_x2y13_framestrobe_o[13] ;
wire \tile_x2y13_framestrobe_o[14] ;
wire \tile_x2y13_framestrobe_o[15] ;
wire \tile_x2y13_framestrobe_o[16] ;
wire \tile_x2y13_framestrobe_o[17] ;
wire \tile_x2y13_framestrobe_o[18] ;
wire \tile_x2y13_framestrobe_o[19] ;
wire \tile_x2y13_framestrobe_o[1] ;
wire \tile_x2y13_framestrobe_o[2] ;
wire \tile_x2y13_framestrobe_o[3] ;
wire \tile_x2y13_framestrobe_o[4] ;
wire \tile_x2y13_framestrobe_o[5] ;
wire \tile_x2y13_framestrobe_o[6] ;
wire \tile_x2y13_framestrobe_o[7] ;
wire \tile_x2y13_framestrobe_o[8] ;
wire \tile_x2y13_framestrobe_o[9] ;
wire \tile_x2y13_n1beg[0] ;
wire \tile_x2y13_n1beg[1] ;
wire \tile_x2y13_n1beg[2] ;
wire \tile_x2y13_n1beg[3] ;
wire \tile_x2y13_n2beg[0] ;
wire \tile_x2y13_n2beg[1] ;
wire \tile_x2y13_n2beg[2] ;
wire \tile_x2y13_n2beg[3] ;
wire \tile_x2y13_n2beg[4] ;
wire \tile_x2y13_n2beg[5] ;
wire \tile_x2y13_n2beg[6] ;
wire \tile_x2y13_n2beg[7] ;
wire \tile_x2y13_n2begb[0] ;
wire \tile_x2y13_n2begb[1] ;
wire \tile_x2y13_n2begb[2] ;
wire \tile_x2y13_n2begb[3] ;
wire \tile_x2y13_n2begb[4] ;
wire \tile_x2y13_n2begb[5] ;
wire \tile_x2y13_n2begb[6] ;
wire \tile_x2y13_n2begb[7] ;
wire \tile_x2y13_n4beg[0] ;
wire \tile_x2y13_n4beg[10] ;
wire \tile_x2y13_n4beg[11] ;
wire \tile_x2y13_n4beg[12] ;
wire \tile_x2y13_n4beg[13] ;
wire \tile_x2y13_n4beg[14] ;
wire \tile_x2y13_n4beg[15] ;
wire \tile_x2y13_n4beg[1] ;
wire \tile_x2y13_n4beg[2] ;
wire \tile_x2y13_n4beg[3] ;
wire \tile_x2y13_n4beg[4] ;
wire \tile_x2y13_n4beg[5] ;
wire \tile_x2y13_n4beg[6] ;
wire \tile_x2y13_n4beg[7] ;
wire \tile_x2y13_n4beg[8] ;
wire \tile_x2y13_n4beg[9] ;
wire \tile_x2y13_nn4beg[0] ;
wire \tile_x2y13_nn4beg[10] ;
wire \tile_x2y13_nn4beg[11] ;
wire \tile_x2y13_nn4beg[12] ;
wire \tile_x2y13_nn4beg[13] ;
wire \tile_x2y13_nn4beg[14] ;
wire \tile_x2y13_nn4beg[15] ;
wire \tile_x2y13_nn4beg[1] ;
wire \tile_x2y13_nn4beg[2] ;
wire \tile_x2y13_nn4beg[3] ;
wire \tile_x2y13_nn4beg[4] ;
wire \tile_x2y13_nn4beg[5] ;
wire \tile_x2y13_nn4beg[6] ;
wire \tile_x2y13_nn4beg[7] ;
wire \tile_x2y13_nn4beg[8] ;
wire \tile_x2y13_nn4beg[9] ;
wire \tile_x2y13_s1beg[0] ;
wire \tile_x2y13_s1beg[1] ;
wire \tile_x2y13_s1beg[2] ;
wire \tile_x2y13_s1beg[3] ;
wire \tile_x2y13_s2beg[0] ;
wire \tile_x2y13_s2beg[1] ;
wire \tile_x2y13_s2beg[2] ;
wire \tile_x2y13_s2beg[3] ;
wire \tile_x2y13_s2beg[4] ;
wire \tile_x2y13_s2beg[5] ;
wire \tile_x2y13_s2beg[6] ;
wire \tile_x2y13_s2beg[7] ;
wire \tile_x2y13_s2begb[0] ;
wire \tile_x2y13_s2begb[1] ;
wire \tile_x2y13_s2begb[2] ;
wire \tile_x2y13_s2begb[3] ;
wire \tile_x2y13_s2begb[4] ;
wire \tile_x2y13_s2begb[5] ;
wire \tile_x2y13_s2begb[6] ;
wire \tile_x2y13_s2begb[7] ;
wire \tile_x2y13_s4beg[0] ;
wire \tile_x2y13_s4beg[10] ;
wire \tile_x2y13_s4beg[11] ;
wire \tile_x2y13_s4beg[12] ;
wire \tile_x2y13_s4beg[13] ;
wire \tile_x2y13_s4beg[14] ;
wire \tile_x2y13_s4beg[15] ;
wire \tile_x2y13_s4beg[1] ;
wire \tile_x2y13_s4beg[2] ;
wire \tile_x2y13_s4beg[3] ;
wire \tile_x2y13_s4beg[4] ;
wire \tile_x2y13_s4beg[5] ;
wire \tile_x2y13_s4beg[6] ;
wire \tile_x2y13_s4beg[7] ;
wire \tile_x2y13_s4beg[8] ;
wire \tile_x2y13_s4beg[9] ;
wire \tile_x2y13_ss4beg[0] ;
wire \tile_x2y13_ss4beg[10] ;
wire \tile_x2y13_ss4beg[11] ;
wire \tile_x2y13_ss4beg[12] ;
wire \tile_x2y13_ss4beg[13] ;
wire \tile_x2y13_ss4beg[14] ;
wire \tile_x2y13_ss4beg[15] ;
wire \tile_x2y13_ss4beg[1] ;
wire \tile_x2y13_ss4beg[2] ;
wire \tile_x2y13_ss4beg[3] ;
wire \tile_x2y13_ss4beg[4] ;
wire \tile_x2y13_ss4beg[5] ;
wire \tile_x2y13_ss4beg[6] ;
wire \tile_x2y13_ss4beg[7] ;
wire \tile_x2y13_ss4beg[8] ;
wire \tile_x2y13_ss4beg[9] ;
wire tile_x2y13_userclko;
wire \tile_x2y13_w1beg[0] ;
wire \tile_x2y13_w1beg[1] ;
wire \tile_x2y13_w1beg[2] ;
wire \tile_x2y13_w1beg[3] ;
wire \tile_x2y13_w2beg[0] ;
wire \tile_x2y13_w2beg[1] ;
wire \tile_x2y13_w2beg[2] ;
wire \tile_x2y13_w2beg[3] ;
wire \tile_x2y13_w2beg[4] ;
wire \tile_x2y13_w2beg[5] ;
wire \tile_x2y13_w2beg[6] ;
wire \tile_x2y13_w2beg[7] ;
wire \tile_x2y13_w2begb[0] ;
wire \tile_x2y13_w2begb[1] ;
wire \tile_x2y13_w2begb[2] ;
wire \tile_x2y13_w2begb[3] ;
wire \tile_x2y13_w2begb[4] ;
wire \tile_x2y13_w2begb[5] ;
wire \tile_x2y13_w2begb[6] ;
wire \tile_x2y13_w2begb[7] ;
wire \tile_x2y13_w6beg[0] ;
wire \tile_x2y13_w6beg[10] ;
wire \tile_x2y13_w6beg[11] ;
wire \tile_x2y13_w6beg[1] ;
wire \tile_x2y13_w6beg[2] ;
wire \tile_x2y13_w6beg[3] ;
wire \tile_x2y13_w6beg[4] ;
wire \tile_x2y13_w6beg[5] ;
wire \tile_x2y13_w6beg[6] ;
wire \tile_x2y13_w6beg[7] ;
wire \tile_x2y13_w6beg[8] ;
wire \tile_x2y13_w6beg[9] ;
wire \tile_x2y13_ww4beg[0] ;
wire \tile_x2y13_ww4beg[10] ;
wire \tile_x2y13_ww4beg[11] ;
wire \tile_x2y13_ww4beg[12] ;
wire \tile_x2y13_ww4beg[13] ;
wire \tile_x2y13_ww4beg[14] ;
wire \tile_x2y13_ww4beg[15] ;
wire \tile_x2y13_ww4beg[1] ;
wire \tile_x2y13_ww4beg[2] ;
wire \tile_x2y13_ww4beg[3] ;
wire \tile_x2y13_ww4beg[4] ;
wire \tile_x2y13_ww4beg[5] ;
wire \tile_x2y13_ww4beg[6] ;
wire \tile_x2y13_ww4beg[7] ;
wire \tile_x2y13_ww4beg[8] ;
wire \tile_x2y13_ww4beg[9] ;
wire \tile_x2y14_e1beg[0] ;
wire \tile_x2y14_e1beg[1] ;
wire \tile_x2y14_e1beg[2] ;
wire \tile_x2y14_e1beg[3] ;
wire \tile_x2y14_e2beg[0] ;
wire \tile_x2y14_e2beg[1] ;
wire \tile_x2y14_e2beg[2] ;
wire \tile_x2y14_e2beg[3] ;
wire \tile_x2y14_e2beg[4] ;
wire \tile_x2y14_e2beg[5] ;
wire \tile_x2y14_e2beg[6] ;
wire \tile_x2y14_e2beg[7] ;
wire \tile_x2y14_e2begb[0] ;
wire \tile_x2y14_e2begb[1] ;
wire \tile_x2y14_e2begb[2] ;
wire \tile_x2y14_e2begb[3] ;
wire \tile_x2y14_e2begb[4] ;
wire \tile_x2y14_e2begb[5] ;
wire \tile_x2y14_e2begb[6] ;
wire \tile_x2y14_e2begb[7] ;
wire \tile_x2y14_e6beg[0] ;
wire \tile_x2y14_e6beg[10] ;
wire \tile_x2y14_e6beg[11] ;
wire \tile_x2y14_e6beg[1] ;
wire \tile_x2y14_e6beg[2] ;
wire \tile_x2y14_e6beg[3] ;
wire \tile_x2y14_e6beg[4] ;
wire \tile_x2y14_e6beg[5] ;
wire \tile_x2y14_e6beg[6] ;
wire \tile_x2y14_e6beg[7] ;
wire \tile_x2y14_e6beg[8] ;
wire \tile_x2y14_e6beg[9] ;
wire \tile_x2y14_ee4beg[0] ;
wire \tile_x2y14_ee4beg[10] ;
wire \tile_x2y14_ee4beg[11] ;
wire \tile_x2y14_ee4beg[12] ;
wire \tile_x2y14_ee4beg[13] ;
wire \tile_x2y14_ee4beg[14] ;
wire \tile_x2y14_ee4beg[15] ;
wire \tile_x2y14_ee4beg[1] ;
wire \tile_x2y14_ee4beg[2] ;
wire \tile_x2y14_ee4beg[3] ;
wire \tile_x2y14_ee4beg[4] ;
wire \tile_x2y14_ee4beg[5] ;
wire \tile_x2y14_ee4beg[6] ;
wire \tile_x2y14_ee4beg[7] ;
wire \tile_x2y14_ee4beg[8] ;
wire \tile_x2y14_ee4beg[9] ;
wire \tile_x2y14_framedata_o[0] ;
wire \tile_x2y14_framedata_o[10] ;
wire \tile_x2y14_framedata_o[11] ;
wire \tile_x2y14_framedata_o[12] ;
wire \tile_x2y14_framedata_o[13] ;
wire \tile_x2y14_framedata_o[14] ;
wire \tile_x2y14_framedata_o[15] ;
wire \tile_x2y14_framedata_o[16] ;
wire \tile_x2y14_framedata_o[17] ;
wire \tile_x2y14_framedata_o[18] ;
wire \tile_x2y14_framedata_o[19] ;
wire \tile_x2y14_framedata_o[1] ;
wire \tile_x2y14_framedata_o[20] ;
wire \tile_x2y14_framedata_o[21] ;
wire \tile_x2y14_framedata_o[22] ;
wire \tile_x2y14_framedata_o[23] ;
wire \tile_x2y14_framedata_o[24] ;
wire \tile_x2y14_framedata_o[25] ;
wire \tile_x2y14_framedata_o[26] ;
wire \tile_x2y14_framedata_o[27] ;
wire \tile_x2y14_framedata_o[28] ;
wire \tile_x2y14_framedata_o[29] ;
wire \tile_x2y14_framedata_o[2] ;
wire \tile_x2y14_framedata_o[30] ;
wire \tile_x2y14_framedata_o[31] ;
wire \tile_x2y14_framedata_o[3] ;
wire \tile_x2y14_framedata_o[4] ;
wire \tile_x2y14_framedata_o[5] ;
wire \tile_x2y14_framedata_o[6] ;
wire \tile_x2y14_framedata_o[7] ;
wire \tile_x2y14_framedata_o[8] ;
wire \tile_x2y14_framedata_o[9] ;
wire \tile_x2y14_framestrobe_o[0] ;
wire \tile_x2y14_framestrobe_o[10] ;
wire \tile_x2y14_framestrobe_o[11] ;
wire \tile_x2y14_framestrobe_o[12] ;
wire \tile_x2y14_framestrobe_o[13] ;
wire \tile_x2y14_framestrobe_o[14] ;
wire \tile_x2y14_framestrobe_o[15] ;
wire \tile_x2y14_framestrobe_o[16] ;
wire \tile_x2y14_framestrobe_o[17] ;
wire \tile_x2y14_framestrobe_o[18] ;
wire \tile_x2y14_framestrobe_o[19] ;
wire \tile_x2y14_framestrobe_o[1] ;
wire \tile_x2y14_framestrobe_o[2] ;
wire \tile_x2y14_framestrobe_o[3] ;
wire \tile_x2y14_framestrobe_o[4] ;
wire \tile_x2y14_framestrobe_o[5] ;
wire \tile_x2y14_framestrobe_o[6] ;
wire \tile_x2y14_framestrobe_o[7] ;
wire \tile_x2y14_framestrobe_o[8] ;
wire \tile_x2y14_framestrobe_o[9] ;
wire \tile_x2y14_n1beg[0] ;
wire \tile_x2y14_n1beg[1] ;
wire \tile_x2y14_n1beg[2] ;
wire \tile_x2y14_n1beg[3] ;
wire \tile_x2y14_n2beg[0] ;
wire \tile_x2y14_n2beg[1] ;
wire \tile_x2y14_n2beg[2] ;
wire \tile_x2y14_n2beg[3] ;
wire \tile_x2y14_n2beg[4] ;
wire \tile_x2y14_n2beg[5] ;
wire \tile_x2y14_n2beg[6] ;
wire \tile_x2y14_n2beg[7] ;
wire \tile_x2y14_n2begb[0] ;
wire \tile_x2y14_n2begb[1] ;
wire \tile_x2y14_n2begb[2] ;
wire \tile_x2y14_n2begb[3] ;
wire \tile_x2y14_n2begb[4] ;
wire \tile_x2y14_n2begb[5] ;
wire \tile_x2y14_n2begb[6] ;
wire \tile_x2y14_n2begb[7] ;
wire \tile_x2y14_n4beg[0] ;
wire \tile_x2y14_n4beg[10] ;
wire \tile_x2y14_n4beg[11] ;
wire \tile_x2y14_n4beg[12] ;
wire \tile_x2y14_n4beg[13] ;
wire \tile_x2y14_n4beg[14] ;
wire \tile_x2y14_n4beg[15] ;
wire \tile_x2y14_n4beg[1] ;
wire \tile_x2y14_n4beg[2] ;
wire \tile_x2y14_n4beg[3] ;
wire \tile_x2y14_n4beg[4] ;
wire \tile_x2y14_n4beg[5] ;
wire \tile_x2y14_n4beg[6] ;
wire \tile_x2y14_n4beg[7] ;
wire \tile_x2y14_n4beg[8] ;
wire \tile_x2y14_n4beg[9] ;
wire \tile_x2y14_nn4beg[0] ;
wire \tile_x2y14_nn4beg[10] ;
wire \tile_x2y14_nn4beg[11] ;
wire \tile_x2y14_nn4beg[12] ;
wire \tile_x2y14_nn4beg[13] ;
wire \tile_x2y14_nn4beg[14] ;
wire \tile_x2y14_nn4beg[15] ;
wire \tile_x2y14_nn4beg[1] ;
wire \tile_x2y14_nn4beg[2] ;
wire \tile_x2y14_nn4beg[3] ;
wire \tile_x2y14_nn4beg[4] ;
wire \tile_x2y14_nn4beg[5] ;
wire \tile_x2y14_nn4beg[6] ;
wire \tile_x2y14_nn4beg[7] ;
wire \tile_x2y14_nn4beg[8] ;
wire \tile_x2y14_nn4beg[9] ;
wire \tile_x2y14_s1beg[0] ;
wire \tile_x2y14_s1beg[1] ;
wire \tile_x2y14_s1beg[2] ;
wire \tile_x2y14_s1beg[3] ;
wire \tile_x2y14_s2beg[0] ;
wire \tile_x2y14_s2beg[1] ;
wire \tile_x2y14_s2beg[2] ;
wire \tile_x2y14_s2beg[3] ;
wire \tile_x2y14_s2beg[4] ;
wire \tile_x2y14_s2beg[5] ;
wire \tile_x2y14_s2beg[6] ;
wire \tile_x2y14_s2beg[7] ;
wire \tile_x2y14_s2begb[0] ;
wire \tile_x2y14_s2begb[1] ;
wire \tile_x2y14_s2begb[2] ;
wire \tile_x2y14_s2begb[3] ;
wire \tile_x2y14_s2begb[4] ;
wire \tile_x2y14_s2begb[5] ;
wire \tile_x2y14_s2begb[6] ;
wire \tile_x2y14_s2begb[7] ;
wire \tile_x2y14_s4beg[0] ;
wire \tile_x2y14_s4beg[10] ;
wire \tile_x2y14_s4beg[11] ;
wire \tile_x2y14_s4beg[12] ;
wire \tile_x2y14_s4beg[13] ;
wire \tile_x2y14_s4beg[14] ;
wire \tile_x2y14_s4beg[15] ;
wire \tile_x2y14_s4beg[1] ;
wire \tile_x2y14_s4beg[2] ;
wire \tile_x2y14_s4beg[3] ;
wire \tile_x2y14_s4beg[4] ;
wire \tile_x2y14_s4beg[5] ;
wire \tile_x2y14_s4beg[6] ;
wire \tile_x2y14_s4beg[7] ;
wire \tile_x2y14_s4beg[8] ;
wire \tile_x2y14_s4beg[9] ;
wire \tile_x2y14_ss4beg[0] ;
wire \tile_x2y14_ss4beg[10] ;
wire \tile_x2y14_ss4beg[11] ;
wire \tile_x2y14_ss4beg[12] ;
wire \tile_x2y14_ss4beg[13] ;
wire \tile_x2y14_ss4beg[14] ;
wire \tile_x2y14_ss4beg[15] ;
wire \tile_x2y14_ss4beg[1] ;
wire \tile_x2y14_ss4beg[2] ;
wire \tile_x2y14_ss4beg[3] ;
wire \tile_x2y14_ss4beg[4] ;
wire \tile_x2y14_ss4beg[5] ;
wire \tile_x2y14_ss4beg[6] ;
wire \tile_x2y14_ss4beg[7] ;
wire \tile_x2y14_ss4beg[8] ;
wire \tile_x2y14_ss4beg[9] ;
wire tile_x2y14_userclko;
wire \tile_x2y14_w1beg[0] ;
wire \tile_x2y14_w1beg[1] ;
wire \tile_x2y14_w1beg[2] ;
wire \tile_x2y14_w1beg[3] ;
wire \tile_x2y14_w2beg[0] ;
wire \tile_x2y14_w2beg[1] ;
wire \tile_x2y14_w2beg[2] ;
wire \tile_x2y14_w2beg[3] ;
wire \tile_x2y14_w2beg[4] ;
wire \tile_x2y14_w2beg[5] ;
wire \tile_x2y14_w2beg[6] ;
wire \tile_x2y14_w2beg[7] ;
wire \tile_x2y14_w2begb[0] ;
wire \tile_x2y14_w2begb[1] ;
wire \tile_x2y14_w2begb[2] ;
wire \tile_x2y14_w2begb[3] ;
wire \tile_x2y14_w2begb[4] ;
wire \tile_x2y14_w2begb[5] ;
wire \tile_x2y14_w2begb[6] ;
wire \tile_x2y14_w2begb[7] ;
wire \tile_x2y14_w6beg[0] ;
wire \tile_x2y14_w6beg[10] ;
wire \tile_x2y14_w6beg[11] ;
wire \tile_x2y14_w6beg[1] ;
wire \tile_x2y14_w6beg[2] ;
wire \tile_x2y14_w6beg[3] ;
wire \tile_x2y14_w6beg[4] ;
wire \tile_x2y14_w6beg[5] ;
wire \tile_x2y14_w6beg[6] ;
wire \tile_x2y14_w6beg[7] ;
wire \tile_x2y14_w6beg[8] ;
wire \tile_x2y14_w6beg[9] ;
wire \tile_x2y14_ww4beg[0] ;
wire \tile_x2y14_ww4beg[10] ;
wire \tile_x2y14_ww4beg[11] ;
wire \tile_x2y14_ww4beg[12] ;
wire \tile_x2y14_ww4beg[13] ;
wire \tile_x2y14_ww4beg[14] ;
wire \tile_x2y14_ww4beg[15] ;
wire \tile_x2y14_ww4beg[1] ;
wire \tile_x2y14_ww4beg[2] ;
wire \tile_x2y14_ww4beg[3] ;
wire \tile_x2y14_ww4beg[4] ;
wire \tile_x2y14_ww4beg[5] ;
wire \tile_x2y14_ww4beg[6] ;
wire \tile_x2y14_ww4beg[7] ;
wire \tile_x2y14_ww4beg[8] ;
wire \tile_x2y14_ww4beg[9] ;
wire \tile_x2y15_framestrobe_o[0] ;
wire \tile_x2y15_framestrobe_o[10] ;
wire \tile_x2y15_framestrobe_o[11] ;
wire \tile_x2y15_framestrobe_o[12] ;
wire \tile_x2y15_framestrobe_o[13] ;
wire \tile_x2y15_framestrobe_o[14] ;
wire \tile_x2y15_framestrobe_o[15] ;
wire \tile_x2y15_framestrobe_o[16] ;
wire \tile_x2y15_framestrobe_o[17] ;
wire \tile_x2y15_framestrobe_o[18] ;
wire \tile_x2y15_framestrobe_o[19] ;
wire \tile_x2y15_framestrobe_o[1] ;
wire \tile_x2y15_framestrobe_o[2] ;
wire \tile_x2y15_framestrobe_o[3] ;
wire \tile_x2y15_framestrobe_o[4] ;
wire \tile_x2y15_framestrobe_o[5] ;
wire \tile_x2y15_framestrobe_o[6] ;
wire \tile_x2y15_framestrobe_o[7] ;
wire \tile_x2y15_framestrobe_o[8] ;
wire \tile_x2y15_framestrobe_o[9] ;
wire \tile_x2y15_n1beg[0] ;
wire \tile_x2y15_n1beg[1] ;
wire \tile_x2y15_n1beg[2] ;
wire \tile_x2y15_n1beg[3] ;
wire \tile_x2y15_n2beg[0] ;
wire \tile_x2y15_n2beg[1] ;
wire \tile_x2y15_n2beg[2] ;
wire \tile_x2y15_n2beg[3] ;
wire \tile_x2y15_n2beg[4] ;
wire \tile_x2y15_n2beg[5] ;
wire \tile_x2y15_n2beg[6] ;
wire \tile_x2y15_n2beg[7] ;
wire \tile_x2y15_n2begb[0] ;
wire \tile_x2y15_n2begb[1] ;
wire \tile_x2y15_n2begb[2] ;
wire \tile_x2y15_n2begb[3] ;
wire \tile_x2y15_n2begb[4] ;
wire \tile_x2y15_n2begb[5] ;
wire \tile_x2y15_n2begb[6] ;
wire \tile_x2y15_n2begb[7] ;
wire \tile_x2y15_n4beg[0] ;
wire \tile_x2y15_n4beg[10] ;
wire \tile_x2y15_n4beg[11] ;
wire \tile_x2y15_n4beg[12] ;
wire \tile_x2y15_n4beg[13] ;
wire \tile_x2y15_n4beg[14] ;
wire \tile_x2y15_n4beg[15] ;
wire \tile_x2y15_n4beg[1] ;
wire \tile_x2y15_n4beg[2] ;
wire \tile_x2y15_n4beg[3] ;
wire \tile_x2y15_n4beg[4] ;
wire \tile_x2y15_n4beg[5] ;
wire \tile_x2y15_n4beg[6] ;
wire \tile_x2y15_n4beg[7] ;
wire \tile_x2y15_n4beg[8] ;
wire \tile_x2y15_n4beg[9] ;
wire \tile_x2y15_nn4beg[0] ;
wire \tile_x2y15_nn4beg[10] ;
wire \tile_x2y15_nn4beg[11] ;
wire \tile_x2y15_nn4beg[12] ;
wire \tile_x2y15_nn4beg[13] ;
wire \tile_x2y15_nn4beg[14] ;
wire \tile_x2y15_nn4beg[15] ;
wire \tile_x2y15_nn4beg[1] ;
wire \tile_x2y15_nn4beg[2] ;
wire \tile_x2y15_nn4beg[3] ;
wire \tile_x2y15_nn4beg[4] ;
wire \tile_x2y15_nn4beg[5] ;
wire \tile_x2y15_nn4beg[6] ;
wire \tile_x2y15_nn4beg[7] ;
wire \tile_x2y15_nn4beg[8] ;
wire \tile_x2y15_nn4beg[9] ;
wire tile_x2y15_userclko;
wire \tile_x2y9_framestrobe_o[0] ;
wire \tile_x2y9_framestrobe_o[10] ;
wire \tile_x2y9_framestrobe_o[11] ;
wire \tile_x2y9_framestrobe_o[12] ;
wire \tile_x2y9_framestrobe_o[13] ;
wire \tile_x2y9_framestrobe_o[14] ;
wire \tile_x2y9_framestrobe_o[15] ;
wire \tile_x2y9_framestrobe_o[16] ;
wire \tile_x2y9_framestrobe_o[17] ;
wire \tile_x2y9_framestrobe_o[18] ;
wire \tile_x2y9_framestrobe_o[19] ;
wire \tile_x2y9_framestrobe_o[1] ;
wire \tile_x2y9_framestrobe_o[2] ;
wire \tile_x2y9_framestrobe_o[3] ;
wire \tile_x2y9_framestrobe_o[4] ;
wire \tile_x2y9_framestrobe_o[5] ;
wire \tile_x2y9_framestrobe_o[6] ;
wire \tile_x2y9_framestrobe_o[7] ;
wire \tile_x2y9_framestrobe_o[8] ;
wire \tile_x2y9_framestrobe_o[9] ;
wire \tile_x2y9_s1beg[0] ;
wire \tile_x2y9_s1beg[1] ;
wire \tile_x2y9_s1beg[2] ;
wire \tile_x2y9_s1beg[3] ;
wire \tile_x2y9_s2beg[0] ;
wire \tile_x2y9_s2beg[1] ;
wire \tile_x2y9_s2beg[2] ;
wire \tile_x2y9_s2beg[3] ;
wire \tile_x2y9_s2beg[4] ;
wire \tile_x2y9_s2beg[5] ;
wire \tile_x2y9_s2beg[6] ;
wire \tile_x2y9_s2beg[7] ;
wire \tile_x2y9_s2begb[0] ;
wire \tile_x2y9_s2begb[1] ;
wire \tile_x2y9_s2begb[2] ;
wire \tile_x2y9_s2begb[3] ;
wire \tile_x2y9_s2begb[4] ;
wire \tile_x2y9_s2begb[5] ;
wire \tile_x2y9_s2begb[6] ;
wire \tile_x2y9_s2begb[7] ;
wire \tile_x2y9_s4beg[0] ;
wire \tile_x2y9_s4beg[10] ;
wire \tile_x2y9_s4beg[11] ;
wire \tile_x2y9_s4beg[12] ;
wire \tile_x2y9_s4beg[13] ;
wire \tile_x2y9_s4beg[14] ;
wire \tile_x2y9_s4beg[15] ;
wire \tile_x2y9_s4beg[1] ;
wire \tile_x2y9_s4beg[2] ;
wire \tile_x2y9_s4beg[3] ;
wire \tile_x2y9_s4beg[4] ;
wire \tile_x2y9_s4beg[5] ;
wire \tile_x2y9_s4beg[6] ;
wire \tile_x2y9_s4beg[7] ;
wire \tile_x2y9_s4beg[8] ;
wire \tile_x2y9_s4beg[9] ;
wire \tile_x2y9_ss4beg[0] ;
wire \tile_x2y9_ss4beg[10] ;
wire \tile_x2y9_ss4beg[11] ;
wire \tile_x2y9_ss4beg[12] ;
wire \tile_x2y9_ss4beg[13] ;
wire \tile_x2y9_ss4beg[14] ;
wire \tile_x2y9_ss4beg[15] ;
wire \tile_x2y9_ss4beg[1] ;
wire \tile_x2y9_ss4beg[2] ;
wire \tile_x2y9_ss4beg[3] ;
wire \tile_x2y9_ss4beg[4] ;
wire \tile_x2y9_ss4beg[5] ;
wire \tile_x2y9_ss4beg[6] ;
wire \tile_x2y9_ss4beg[7] ;
wire \tile_x2y9_ss4beg[8] ;
wire \tile_x2y9_ss4beg[9] ;
wire tile_x2y9_userclko;
wire tile_x3y10_co;
wire \tile_x3y10_e1beg[0] ;
wire \tile_x3y10_e1beg[1] ;
wire \tile_x3y10_e1beg[2] ;
wire \tile_x3y10_e1beg[3] ;
wire \tile_x3y10_e2beg[0] ;
wire \tile_x3y10_e2beg[1] ;
wire \tile_x3y10_e2beg[2] ;
wire \tile_x3y10_e2beg[3] ;
wire \tile_x3y10_e2beg[4] ;
wire \tile_x3y10_e2beg[5] ;
wire \tile_x3y10_e2beg[6] ;
wire \tile_x3y10_e2beg[7] ;
wire \tile_x3y10_e2begb[0] ;
wire \tile_x3y10_e2begb[1] ;
wire \tile_x3y10_e2begb[2] ;
wire \tile_x3y10_e2begb[3] ;
wire \tile_x3y10_e2begb[4] ;
wire \tile_x3y10_e2begb[5] ;
wire \tile_x3y10_e2begb[6] ;
wire \tile_x3y10_e2begb[7] ;
wire \tile_x3y10_e6beg[0] ;
wire \tile_x3y10_e6beg[10] ;
wire \tile_x3y10_e6beg[11] ;
wire \tile_x3y10_e6beg[1] ;
wire \tile_x3y10_e6beg[2] ;
wire \tile_x3y10_e6beg[3] ;
wire \tile_x3y10_e6beg[4] ;
wire \tile_x3y10_e6beg[5] ;
wire \tile_x3y10_e6beg[6] ;
wire \tile_x3y10_e6beg[7] ;
wire \tile_x3y10_e6beg[8] ;
wire \tile_x3y10_e6beg[9] ;
wire \tile_x3y10_ee4beg[0] ;
wire \tile_x3y10_ee4beg[10] ;
wire \tile_x3y10_ee4beg[11] ;
wire \tile_x3y10_ee4beg[12] ;
wire \tile_x3y10_ee4beg[13] ;
wire \tile_x3y10_ee4beg[14] ;
wire \tile_x3y10_ee4beg[15] ;
wire \tile_x3y10_ee4beg[1] ;
wire \tile_x3y10_ee4beg[2] ;
wire \tile_x3y10_ee4beg[3] ;
wire \tile_x3y10_ee4beg[4] ;
wire \tile_x3y10_ee4beg[5] ;
wire \tile_x3y10_ee4beg[6] ;
wire \tile_x3y10_ee4beg[7] ;
wire \tile_x3y10_ee4beg[8] ;
wire \tile_x3y10_ee4beg[9] ;
wire \tile_x3y10_framedata_o[0] ;
wire \tile_x3y10_framedata_o[10] ;
wire \tile_x3y10_framedata_o[11] ;
wire \tile_x3y10_framedata_o[12] ;
wire \tile_x3y10_framedata_o[13] ;
wire \tile_x3y10_framedata_o[14] ;
wire \tile_x3y10_framedata_o[15] ;
wire \tile_x3y10_framedata_o[16] ;
wire \tile_x3y10_framedata_o[17] ;
wire \tile_x3y10_framedata_o[18] ;
wire \tile_x3y10_framedata_o[19] ;
wire \tile_x3y10_framedata_o[1] ;
wire \tile_x3y10_framedata_o[20] ;
wire \tile_x3y10_framedata_o[21] ;
wire \tile_x3y10_framedata_o[22] ;
wire \tile_x3y10_framedata_o[23] ;
wire \tile_x3y10_framedata_o[24] ;
wire \tile_x3y10_framedata_o[25] ;
wire \tile_x3y10_framedata_o[26] ;
wire \tile_x3y10_framedata_o[27] ;
wire \tile_x3y10_framedata_o[28] ;
wire \tile_x3y10_framedata_o[29] ;
wire \tile_x3y10_framedata_o[2] ;
wire \tile_x3y10_framedata_o[30] ;
wire \tile_x3y10_framedata_o[31] ;
wire \tile_x3y10_framedata_o[3] ;
wire \tile_x3y10_framedata_o[4] ;
wire \tile_x3y10_framedata_o[5] ;
wire \tile_x3y10_framedata_o[6] ;
wire \tile_x3y10_framedata_o[7] ;
wire \tile_x3y10_framedata_o[8] ;
wire \tile_x3y10_framedata_o[9] ;
wire \tile_x3y10_framestrobe_o[0] ;
wire \tile_x3y10_framestrobe_o[10] ;
wire \tile_x3y10_framestrobe_o[11] ;
wire \tile_x3y10_framestrobe_o[12] ;
wire \tile_x3y10_framestrobe_o[13] ;
wire \tile_x3y10_framestrobe_o[14] ;
wire \tile_x3y10_framestrobe_o[15] ;
wire \tile_x3y10_framestrobe_o[16] ;
wire \tile_x3y10_framestrobe_o[17] ;
wire \tile_x3y10_framestrobe_o[18] ;
wire \tile_x3y10_framestrobe_o[19] ;
wire \tile_x3y10_framestrobe_o[1] ;
wire \tile_x3y10_framestrobe_o[2] ;
wire \tile_x3y10_framestrobe_o[3] ;
wire \tile_x3y10_framestrobe_o[4] ;
wire \tile_x3y10_framestrobe_o[5] ;
wire \tile_x3y10_framestrobe_o[6] ;
wire \tile_x3y10_framestrobe_o[7] ;
wire \tile_x3y10_framestrobe_o[8] ;
wire \tile_x3y10_framestrobe_o[9] ;
wire \tile_x3y10_n1beg[0] ;
wire \tile_x3y10_n1beg[1] ;
wire \tile_x3y10_n1beg[2] ;
wire \tile_x3y10_n1beg[3] ;
wire \tile_x3y10_n2beg[0] ;
wire \tile_x3y10_n2beg[1] ;
wire \tile_x3y10_n2beg[2] ;
wire \tile_x3y10_n2beg[3] ;
wire \tile_x3y10_n2beg[4] ;
wire \tile_x3y10_n2beg[5] ;
wire \tile_x3y10_n2beg[6] ;
wire \tile_x3y10_n2beg[7] ;
wire \tile_x3y10_n2begb[0] ;
wire \tile_x3y10_n2begb[1] ;
wire \tile_x3y10_n2begb[2] ;
wire \tile_x3y10_n2begb[3] ;
wire \tile_x3y10_n2begb[4] ;
wire \tile_x3y10_n2begb[5] ;
wire \tile_x3y10_n2begb[6] ;
wire \tile_x3y10_n2begb[7] ;
wire \tile_x3y10_n4beg[0] ;
wire \tile_x3y10_n4beg[10] ;
wire \tile_x3y10_n4beg[11] ;
wire \tile_x3y10_n4beg[12] ;
wire \tile_x3y10_n4beg[13] ;
wire \tile_x3y10_n4beg[14] ;
wire \tile_x3y10_n4beg[15] ;
wire \tile_x3y10_n4beg[1] ;
wire \tile_x3y10_n4beg[2] ;
wire \tile_x3y10_n4beg[3] ;
wire \tile_x3y10_n4beg[4] ;
wire \tile_x3y10_n4beg[5] ;
wire \tile_x3y10_n4beg[6] ;
wire \tile_x3y10_n4beg[7] ;
wire \tile_x3y10_n4beg[8] ;
wire \tile_x3y10_n4beg[9] ;
wire \tile_x3y10_nn4beg[0] ;
wire \tile_x3y10_nn4beg[10] ;
wire \tile_x3y10_nn4beg[11] ;
wire \tile_x3y10_nn4beg[12] ;
wire \tile_x3y10_nn4beg[13] ;
wire \tile_x3y10_nn4beg[14] ;
wire \tile_x3y10_nn4beg[15] ;
wire \tile_x3y10_nn4beg[1] ;
wire \tile_x3y10_nn4beg[2] ;
wire \tile_x3y10_nn4beg[3] ;
wire \tile_x3y10_nn4beg[4] ;
wire \tile_x3y10_nn4beg[5] ;
wire \tile_x3y10_nn4beg[6] ;
wire \tile_x3y10_nn4beg[7] ;
wire \tile_x3y10_nn4beg[8] ;
wire \tile_x3y10_nn4beg[9] ;
wire \tile_x3y10_s1beg[0] ;
wire \tile_x3y10_s1beg[1] ;
wire \tile_x3y10_s1beg[2] ;
wire \tile_x3y10_s1beg[3] ;
wire \tile_x3y10_s2beg[0] ;
wire \tile_x3y10_s2beg[1] ;
wire \tile_x3y10_s2beg[2] ;
wire \tile_x3y10_s2beg[3] ;
wire \tile_x3y10_s2beg[4] ;
wire \tile_x3y10_s2beg[5] ;
wire \tile_x3y10_s2beg[6] ;
wire \tile_x3y10_s2beg[7] ;
wire \tile_x3y10_s2begb[0] ;
wire \tile_x3y10_s2begb[1] ;
wire \tile_x3y10_s2begb[2] ;
wire \tile_x3y10_s2begb[3] ;
wire \tile_x3y10_s2begb[4] ;
wire \tile_x3y10_s2begb[5] ;
wire \tile_x3y10_s2begb[6] ;
wire \tile_x3y10_s2begb[7] ;
wire \tile_x3y10_s4beg[0] ;
wire \tile_x3y10_s4beg[10] ;
wire \tile_x3y10_s4beg[11] ;
wire \tile_x3y10_s4beg[12] ;
wire \tile_x3y10_s4beg[13] ;
wire \tile_x3y10_s4beg[14] ;
wire \tile_x3y10_s4beg[15] ;
wire \tile_x3y10_s4beg[1] ;
wire \tile_x3y10_s4beg[2] ;
wire \tile_x3y10_s4beg[3] ;
wire \tile_x3y10_s4beg[4] ;
wire \tile_x3y10_s4beg[5] ;
wire \tile_x3y10_s4beg[6] ;
wire \tile_x3y10_s4beg[7] ;
wire \tile_x3y10_s4beg[8] ;
wire \tile_x3y10_s4beg[9] ;
wire \tile_x3y10_ss4beg[0] ;
wire \tile_x3y10_ss4beg[10] ;
wire \tile_x3y10_ss4beg[11] ;
wire \tile_x3y10_ss4beg[12] ;
wire \tile_x3y10_ss4beg[13] ;
wire \tile_x3y10_ss4beg[14] ;
wire \tile_x3y10_ss4beg[15] ;
wire \tile_x3y10_ss4beg[1] ;
wire \tile_x3y10_ss4beg[2] ;
wire \tile_x3y10_ss4beg[3] ;
wire \tile_x3y10_ss4beg[4] ;
wire \tile_x3y10_ss4beg[5] ;
wire \tile_x3y10_ss4beg[6] ;
wire \tile_x3y10_ss4beg[7] ;
wire \tile_x3y10_ss4beg[8] ;
wire \tile_x3y10_ss4beg[9] ;
wire tile_x3y10_userclko;
wire \tile_x3y10_w1beg[0] ;
wire \tile_x3y10_w1beg[1] ;
wire \tile_x3y10_w1beg[2] ;
wire \tile_x3y10_w1beg[3] ;
wire \tile_x3y10_w2beg[0] ;
wire \tile_x3y10_w2beg[1] ;
wire \tile_x3y10_w2beg[2] ;
wire \tile_x3y10_w2beg[3] ;
wire \tile_x3y10_w2beg[4] ;
wire \tile_x3y10_w2beg[5] ;
wire \tile_x3y10_w2beg[6] ;
wire \tile_x3y10_w2beg[7] ;
wire \tile_x3y10_w2begb[0] ;
wire \tile_x3y10_w2begb[1] ;
wire \tile_x3y10_w2begb[2] ;
wire \tile_x3y10_w2begb[3] ;
wire \tile_x3y10_w2begb[4] ;
wire \tile_x3y10_w2begb[5] ;
wire \tile_x3y10_w2begb[6] ;
wire \tile_x3y10_w2begb[7] ;
wire \tile_x3y10_w6beg[0] ;
wire \tile_x3y10_w6beg[10] ;
wire \tile_x3y10_w6beg[11] ;
wire \tile_x3y10_w6beg[1] ;
wire \tile_x3y10_w6beg[2] ;
wire \tile_x3y10_w6beg[3] ;
wire \tile_x3y10_w6beg[4] ;
wire \tile_x3y10_w6beg[5] ;
wire \tile_x3y10_w6beg[6] ;
wire \tile_x3y10_w6beg[7] ;
wire \tile_x3y10_w6beg[8] ;
wire \tile_x3y10_w6beg[9] ;
wire \tile_x3y10_ww4beg[0] ;
wire \tile_x3y10_ww4beg[10] ;
wire \tile_x3y10_ww4beg[11] ;
wire \tile_x3y10_ww4beg[12] ;
wire \tile_x3y10_ww4beg[13] ;
wire \tile_x3y10_ww4beg[14] ;
wire \tile_x3y10_ww4beg[15] ;
wire \tile_x3y10_ww4beg[1] ;
wire \tile_x3y10_ww4beg[2] ;
wire \tile_x3y10_ww4beg[3] ;
wire \tile_x3y10_ww4beg[4] ;
wire \tile_x3y10_ww4beg[5] ;
wire \tile_x3y10_ww4beg[6] ;
wire \tile_x3y10_ww4beg[7] ;
wire \tile_x3y10_ww4beg[8] ;
wire \tile_x3y10_ww4beg[9] ;
wire tile_x3y11_co;
wire \tile_x3y11_e1beg[0] ;
wire \tile_x3y11_e1beg[1] ;
wire \tile_x3y11_e1beg[2] ;
wire \tile_x3y11_e1beg[3] ;
wire \tile_x3y11_e2beg[0] ;
wire \tile_x3y11_e2beg[1] ;
wire \tile_x3y11_e2beg[2] ;
wire \tile_x3y11_e2beg[3] ;
wire \tile_x3y11_e2beg[4] ;
wire \tile_x3y11_e2beg[5] ;
wire \tile_x3y11_e2beg[6] ;
wire \tile_x3y11_e2beg[7] ;
wire \tile_x3y11_e2begb[0] ;
wire \tile_x3y11_e2begb[1] ;
wire \tile_x3y11_e2begb[2] ;
wire \tile_x3y11_e2begb[3] ;
wire \tile_x3y11_e2begb[4] ;
wire \tile_x3y11_e2begb[5] ;
wire \tile_x3y11_e2begb[6] ;
wire \tile_x3y11_e2begb[7] ;
wire \tile_x3y11_e6beg[0] ;
wire \tile_x3y11_e6beg[10] ;
wire \tile_x3y11_e6beg[11] ;
wire \tile_x3y11_e6beg[1] ;
wire \tile_x3y11_e6beg[2] ;
wire \tile_x3y11_e6beg[3] ;
wire \tile_x3y11_e6beg[4] ;
wire \tile_x3y11_e6beg[5] ;
wire \tile_x3y11_e6beg[6] ;
wire \tile_x3y11_e6beg[7] ;
wire \tile_x3y11_e6beg[8] ;
wire \tile_x3y11_e6beg[9] ;
wire \tile_x3y11_ee4beg[0] ;
wire \tile_x3y11_ee4beg[10] ;
wire \tile_x3y11_ee4beg[11] ;
wire \tile_x3y11_ee4beg[12] ;
wire \tile_x3y11_ee4beg[13] ;
wire \tile_x3y11_ee4beg[14] ;
wire \tile_x3y11_ee4beg[15] ;
wire \tile_x3y11_ee4beg[1] ;
wire \tile_x3y11_ee4beg[2] ;
wire \tile_x3y11_ee4beg[3] ;
wire \tile_x3y11_ee4beg[4] ;
wire \tile_x3y11_ee4beg[5] ;
wire \tile_x3y11_ee4beg[6] ;
wire \tile_x3y11_ee4beg[7] ;
wire \tile_x3y11_ee4beg[8] ;
wire \tile_x3y11_ee4beg[9] ;
wire \tile_x3y11_framedata_o[0] ;
wire \tile_x3y11_framedata_o[10] ;
wire \tile_x3y11_framedata_o[11] ;
wire \tile_x3y11_framedata_o[12] ;
wire \tile_x3y11_framedata_o[13] ;
wire \tile_x3y11_framedata_o[14] ;
wire \tile_x3y11_framedata_o[15] ;
wire \tile_x3y11_framedata_o[16] ;
wire \tile_x3y11_framedata_o[17] ;
wire \tile_x3y11_framedata_o[18] ;
wire \tile_x3y11_framedata_o[19] ;
wire \tile_x3y11_framedata_o[1] ;
wire \tile_x3y11_framedata_o[20] ;
wire \tile_x3y11_framedata_o[21] ;
wire \tile_x3y11_framedata_o[22] ;
wire \tile_x3y11_framedata_o[23] ;
wire \tile_x3y11_framedata_o[24] ;
wire \tile_x3y11_framedata_o[25] ;
wire \tile_x3y11_framedata_o[26] ;
wire \tile_x3y11_framedata_o[27] ;
wire \tile_x3y11_framedata_o[28] ;
wire \tile_x3y11_framedata_o[29] ;
wire \tile_x3y11_framedata_o[2] ;
wire \tile_x3y11_framedata_o[30] ;
wire \tile_x3y11_framedata_o[31] ;
wire \tile_x3y11_framedata_o[3] ;
wire \tile_x3y11_framedata_o[4] ;
wire \tile_x3y11_framedata_o[5] ;
wire \tile_x3y11_framedata_o[6] ;
wire \tile_x3y11_framedata_o[7] ;
wire \tile_x3y11_framedata_o[8] ;
wire \tile_x3y11_framedata_o[9] ;
wire \tile_x3y11_framestrobe_o[0] ;
wire \tile_x3y11_framestrobe_o[10] ;
wire \tile_x3y11_framestrobe_o[11] ;
wire \tile_x3y11_framestrobe_o[12] ;
wire \tile_x3y11_framestrobe_o[13] ;
wire \tile_x3y11_framestrobe_o[14] ;
wire \tile_x3y11_framestrobe_o[15] ;
wire \tile_x3y11_framestrobe_o[16] ;
wire \tile_x3y11_framestrobe_o[17] ;
wire \tile_x3y11_framestrobe_o[18] ;
wire \tile_x3y11_framestrobe_o[19] ;
wire \tile_x3y11_framestrobe_o[1] ;
wire \tile_x3y11_framestrobe_o[2] ;
wire \tile_x3y11_framestrobe_o[3] ;
wire \tile_x3y11_framestrobe_o[4] ;
wire \tile_x3y11_framestrobe_o[5] ;
wire \tile_x3y11_framestrobe_o[6] ;
wire \tile_x3y11_framestrobe_o[7] ;
wire \tile_x3y11_framestrobe_o[8] ;
wire \tile_x3y11_framestrobe_o[9] ;
wire \tile_x3y11_n1beg[0] ;
wire \tile_x3y11_n1beg[1] ;
wire \tile_x3y11_n1beg[2] ;
wire \tile_x3y11_n1beg[3] ;
wire \tile_x3y11_n2beg[0] ;
wire \tile_x3y11_n2beg[1] ;
wire \tile_x3y11_n2beg[2] ;
wire \tile_x3y11_n2beg[3] ;
wire \tile_x3y11_n2beg[4] ;
wire \tile_x3y11_n2beg[5] ;
wire \tile_x3y11_n2beg[6] ;
wire \tile_x3y11_n2beg[7] ;
wire \tile_x3y11_n2begb[0] ;
wire \tile_x3y11_n2begb[1] ;
wire \tile_x3y11_n2begb[2] ;
wire \tile_x3y11_n2begb[3] ;
wire \tile_x3y11_n2begb[4] ;
wire \tile_x3y11_n2begb[5] ;
wire \tile_x3y11_n2begb[6] ;
wire \tile_x3y11_n2begb[7] ;
wire \tile_x3y11_n4beg[0] ;
wire \tile_x3y11_n4beg[10] ;
wire \tile_x3y11_n4beg[11] ;
wire \tile_x3y11_n4beg[12] ;
wire \tile_x3y11_n4beg[13] ;
wire \tile_x3y11_n4beg[14] ;
wire \tile_x3y11_n4beg[15] ;
wire \tile_x3y11_n4beg[1] ;
wire \tile_x3y11_n4beg[2] ;
wire \tile_x3y11_n4beg[3] ;
wire \tile_x3y11_n4beg[4] ;
wire \tile_x3y11_n4beg[5] ;
wire \tile_x3y11_n4beg[6] ;
wire \tile_x3y11_n4beg[7] ;
wire \tile_x3y11_n4beg[8] ;
wire \tile_x3y11_n4beg[9] ;
wire \tile_x3y11_nn4beg[0] ;
wire \tile_x3y11_nn4beg[10] ;
wire \tile_x3y11_nn4beg[11] ;
wire \tile_x3y11_nn4beg[12] ;
wire \tile_x3y11_nn4beg[13] ;
wire \tile_x3y11_nn4beg[14] ;
wire \tile_x3y11_nn4beg[15] ;
wire \tile_x3y11_nn4beg[1] ;
wire \tile_x3y11_nn4beg[2] ;
wire \tile_x3y11_nn4beg[3] ;
wire \tile_x3y11_nn4beg[4] ;
wire \tile_x3y11_nn4beg[5] ;
wire \tile_x3y11_nn4beg[6] ;
wire \tile_x3y11_nn4beg[7] ;
wire \tile_x3y11_nn4beg[8] ;
wire \tile_x3y11_nn4beg[9] ;
wire \tile_x3y11_s1beg[0] ;
wire \tile_x3y11_s1beg[1] ;
wire \tile_x3y11_s1beg[2] ;
wire \tile_x3y11_s1beg[3] ;
wire \tile_x3y11_s2beg[0] ;
wire \tile_x3y11_s2beg[1] ;
wire \tile_x3y11_s2beg[2] ;
wire \tile_x3y11_s2beg[3] ;
wire \tile_x3y11_s2beg[4] ;
wire \tile_x3y11_s2beg[5] ;
wire \tile_x3y11_s2beg[6] ;
wire \tile_x3y11_s2beg[7] ;
wire \tile_x3y11_s2begb[0] ;
wire \tile_x3y11_s2begb[1] ;
wire \tile_x3y11_s2begb[2] ;
wire \tile_x3y11_s2begb[3] ;
wire \tile_x3y11_s2begb[4] ;
wire \tile_x3y11_s2begb[5] ;
wire \tile_x3y11_s2begb[6] ;
wire \tile_x3y11_s2begb[7] ;
wire \tile_x3y11_s4beg[0] ;
wire \tile_x3y11_s4beg[10] ;
wire \tile_x3y11_s4beg[11] ;
wire \tile_x3y11_s4beg[12] ;
wire \tile_x3y11_s4beg[13] ;
wire \tile_x3y11_s4beg[14] ;
wire \tile_x3y11_s4beg[15] ;
wire \tile_x3y11_s4beg[1] ;
wire \tile_x3y11_s4beg[2] ;
wire \tile_x3y11_s4beg[3] ;
wire \tile_x3y11_s4beg[4] ;
wire \tile_x3y11_s4beg[5] ;
wire \tile_x3y11_s4beg[6] ;
wire \tile_x3y11_s4beg[7] ;
wire \tile_x3y11_s4beg[8] ;
wire \tile_x3y11_s4beg[9] ;
wire \tile_x3y11_ss4beg[0] ;
wire \tile_x3y11_ss4beg[10] ;
wire \tile_x3y11_ss4beg[11] ;
wire \tile_x3y11_ss4beg[12] ;
wire \tile_x3y11_ss4beg[13] ;
wire \tile_x3y11_ss4beg[14] ;
wire \tile_x3y11_ss4beg[15] ;
wire \tile_x3y11_ss4beg[1] ;
wire \tile_x3y11_ss4beg[2] ;
wire \tile_x3y11_ss4beg[3] ;
wire \tile_x3y11_ss4beg[4] ;
wire \tile_x3y11_ss4beg[5] ;
wire \tile_x3y11_ss4beg[6] ;
wire \tile_x3y11_ss4beg[7] ;
wire \tile_x3y11_ss4beg[8] ;
wire \tile_x3y11_ss4beg[9] ;
wire tile_x3y11_userclko;
wire \tile_x3y11_w1beg[0] ;
wire \tile_x3y11_w1beg[1] ;
wire \tile_x3y11_w1beg[2] ;
wire \tile_x3y11_w1beg[3] ;
wire \tile_x3y11_w2beg[0] ;
wire \tile_x3y11_w2beg[1] ;
wire \tile_x3y11_w2beg[2] ;
wire \tile_x3y11_w2beg[3] ;
wire \tile_x3y11_w2beg[4] ;
wire \tile_x3y11_w2beg[5] ;
wire \tile_x3y11_w2beg[6] ;
wire \tile_x3y11_w2beg[7] ;
wire \tile_x3y11_w2begb[0] ;
wire \tile_x3y11_w2begb[1] ;
wire \tile_x3y11_w2begb[2] ;
wire \tile_x3y11_w2begb[3] ;
wire \tile_x3y11_w2begb[4] ;
wire \tile_x3y11_w2begb[5] ;
wire \tile_x3y11_w2begb[6] ;
wire \tile_x3y11_w2begb[7] ;
wire \tile_x3y11_w6beg[0] ;
wire \tile_x3y11_w6beg[10] ;
wire \tile_x3y11_w6beg[11] ;
wire \tile_x3y11_w6beg[1] ;
wire \tile_x3y11_w6beg[2] ;
wire \tile_x3y11_w6beg[3] ;
wire \tile_x3y11_w6beg[4] ;
wire \tile_x3y11_w6beg[5] ;
wire \tile_x3y11_w6beg[6] ;
wire \tile_x3y11_w6beg[7] ;
wire \tile_x3y11_w6beg[8] ;
wire \tile_x3y11_w6beg[9] ;
wire \tile_x3y11_ww4beg[0] ;
wire \tile_x3y11_ww4beg[10] ;
wire \tile_x3y11_ww4beg[11] ;
wire \tile_x3y11_ww4beg[12] ;
wire \tile_x3y11_ww4beg[13] ;
wire \tile_x3y11_ww4beg[14] ;
wire \tile_x3y11_ww4beg[15] ;
wire \tile_x3y11_ww4beg[1] ;
wire \tile_x3y11_ww4beg[2] ;
wire \tile_x3y11_ww4beg[3] ;
wire \tile_x3y11_ww4beg[4] ;
wire \tile_x3y11_ww4beg[5] ;
wire \tile_x3y11_ww4beg[6] ;
wire \tile_x3y11_ww4beg[7] ;
wire \tile_x3y11_ww4beg[8] ;
wire \tile_x3y11_ww4beg[9] ;
wire tile_x3y12_co;
wire \tile_x3y12_e1beg[0] ;
wire \tile_x3y12_e1beg[1] ;
wire \tile_x3y12_e1beg[2] ;
wire \tile_x3y12_e1beg[3] ;
wire \tile_x3y12_e2beg[0] ;
wire \tile_x3y12_e2beg[1] ;
wire \tile_x3y12_e2beg[2] ;
wire \tile_x3y12_e2beg[3] ;
wire \tile_x3y12_e2beg[4] ;
wire \tile_x3y12_e2beg[5] ;
wire \tile_x3y12_e2beg[6] ;
wire \tile_x3y12_e2beg[7] ;
wire \tile_x3y12_e2begb[0] ;
wire \tile_x3y12_e2begb[1] ;
wire \tile_x3y12_e2begb[2] ;
wire \tile_x3y12_e2begb[3] ;
wire \tile_x3y12_e2begb[4] ;
wire \tile_x3y12_e2begb[5] ;
wire \tile_x3y12_e2begb[6] ;
wire \tile_x3y12_e2begb[7] ;
wire \tile_x3y12_e6beg[0] ;
wire \tile_x3y12_e6beg[10] ;
wire \tile_x3y12_e6beg[11] ;
wire \tile_x3y12_e6beg[1] ;
wire \tile_x3y12_e6beg[2] ;
wire \tile_x3y12_e6beg[3] ;
wire \tile_x3y12_e6beg[4] ;
wire \tile_x3y12_e6beg[5] ;
wire \tile_x3y12_e6beg[6] ;
wire \tile_x3y12_e6beg[7] ;
wire \tile_x3y12_e6beg[8] ;
wire \tile_x3y12_e6beg[9] ;
wire \tile_x3y12_ee4beg[0] ;
wire \tile_x3y12_ee4beg[10] ;
wire \tile_x3y12_ee4beg[11] ;
wire \tile_x3y12_ee4beg[12] ;
wire \tile_x3y12_ee4beg[13] ;
wire \tile_x3y12_ee4beg[14] ;
wire \tile_x3y12_ee4beg[15] ;
wire \tile_x3y12_ee4beg[1] ;
wire \tile_x3y12_ee4beg[2] ;
wire \tile_x3y12_ee4beg[3] ;
wire \tile_x3y12_ee4beg[4] ;
wire \tile_x3y12_ee4beg[5] ;
wire \tile_x3y12_ee4beg[6] ;
wire \tile_x3y12_ee4beg[7] ;
wire \tile_x3y12_ee4beg[8] ;
wire \tile_x3y12_ee4beg[9] ;
wire \tile_x3y12_framedata_o[0] ;
wire \tile_x3y12_framedata_o[10] ;
wire \tile_x3y12_framedata_o[11] ;
wire \tile_x3y12_framedata_o[12] ;
wire \tile_x3y12_framedata_o[13] ;
wire \tile_x3y12_framedata_o[14] ;
wire \tile_x3y12_framedata_o[15] ;
wire \tile_x3y12_framedata_o[16] ;
wire \tile_x3y12_framedata_o[17] ;
wire \tile_x3y12_framedata_o[18] ;
wire \tile_x3y12_framedata_o[19] ;
wire \tile_x3y12_framedata_o[1] ;
wire \tile_x3y12_framedata_o[20] ;
wire \tile_x3y12_framedata_o[21] ;
wire \tile_x3y12_framedata_o[22] ;
wire \tile_x3y12_framedata_o[23] ;
wire \tile_x3y12_framedata_o[24] ;
wire \tile_x3y12_framedata_o[25] ;
wire \tile_x3y12_framedata_o[26] ;
wire \tile_x3y12_framedata_o[27] ;
wire \tile_x3y12_framedata_o[28] ;
wire \tile_x3y12_framedata_o[29] ;
wire \tile_x3y12_framedata_o[2] ;
wire \tile_x3y12_framedata_o[30] ;
wire \tile_x3y12_framedata_o[31] ;
wire \tile_x3y12_framedata_o[3] ;
wire \tile_x3y12_framedata_o[4] ;
wire \tile_x3y12_framedata_o[5] ;
wire \tile_x3y12_framedata_o[6] ;
wire \tile_x3y12_framedata_o[7] ;
wire \tile_x3y12_framedata_o[8] ;
wire \tile_x3y12_framedata_o[9] ;
wire \tile_x3y12_framestrobe_o[0] ;
wire \tile_x3y12_framestrobe_o[10] ;
wire \tile_x3y12_framestrobe_o[11] ;
wire \tile_x3y12_framestrobe_o[12] ;
wire \tile_x3y12_framestrobe_o[13] ;
wire \tile_x3y12_framestrobe_o[14] ;
wire \tile_x3y12_framestrobe_o[15] ;
wire \tile_x3y12_framestrobe_o[16] ;
wire \tile_x3y12_framestrobe_o[17] ;
wire \tile_x3y12_framestrobe_o[18] ;
wire \tile_x3y12_framestrobe_o[19] ;
wire \tile_x3y12_framestrobe_o[1] ;
wire \tile_x3y12_framestrobe_o[2] ;
wire \tile_x3y12_framestrobe_o[3] ;
wire \tile_x3y12_framestrobe_o[4] ;
wire \tile_x3y12_framestrobe_o[5] ;
wire \tile_x3y12_framestrobe_o[6] ;
wire \tile_x3y12_framestrobe_o[7] ;
wire \tile_x3y12_framestrobe_o[8] ;
wire \tile_x3y12_framestrobe_o[9] ;
wire \tile_x3y12_n1beg[0] ;
wire \tile_x3y12_n1beg[1] ;
wire \tile_x3y12_n1beg[2] ;
wire \tile_x3y12_n1beg[3] ;
wire \tile_x3y12_n2beg[0] ;
wire \tile_x3y12_n2beg[1] ;
wire \tile_x3y12_n2beg[2] ;
wire \tile_x3y12_n2beg[3] ;
wire \tile_x3y12_n2beg[4] ;
wire \tile_x3y12_n2beg[5] ;
wire \tile_x3y12_n2beg[6] ;
wire \tile_x3y12_n2beg[7] ;
wire \tile_x3y12_n2begb[0] ;
wire \tile_x3y12_n2begb[1] ;
wire \tile_x3y12_n2begb[2] ;
wire \tile_x3y12_n2begb[3] ;
wire \tile_x3y12_n2begb[4] ;
wire \tile_x3y12_n2begb[5] ;
wire \tile_x3y12_n2begb[6] ;
wire \tile_x3y12_n2begb[7] ;
wire \tile_x3y12_n4beg[0] ;
wire \tile_x3y12_n4beg[10] ;
wire \tile_x3y12_n4beg[11] ;
wire \tile_x3y12_n4beg[12] ;
wire \tile_x3y12_n4beg[13] ;
wire \tile_x3y12_n4beg[14] ;
wire \tile_x3y12_n4beg[15] ;
wire \tile_x3y12_n4beg[1] ;
wire \tile_x3y12_n4beg[2] ;
wire \tile_x3y12_n4beg[3] ;
wire \tile_x3y12_n4beg[4] ;
wire \tile_x3y12_n4beg[5] ;
wire \tile_x3y12_n4beg[6] ;
wire \tile_x3y12_n4beg[7] ;
wire \tile_x3y12_n4beg[8] ;
wire \tile_x3y12_n4beg[9] ;
wire \tile_x3y12_nn4beg[0] ;
wire \tile_x3y12_nn4beg[10] ;
wire \tile_x3y12_nn4beg[11] ;
wire \tile_x3y12_nn4beg[12] ;
wire \tile_x3y12_nn4beg[13] ;
wire \tile_x3y12_nn4beg[14] ;
wire \tile_x3y12_nn4beg[15] ;
wire \tile_x3y12_nn4beg[1] ;
wire \tile_x3y12_nn4beg[2] ;
wire \tile_x3y12_nn4beg[3] ;
wire \tile_x3y12_nn4beg[4] ;
wire \tile_x3y12_nn4beg[5] ;
wire \tile_x3y12_nn4beg[6] ;
wire \tile_x3y12_nn4beg[7] ;
wire \tile_x3y12_nn4beg[8] ;
wire \tile_x3y12_nn4beg[9] ;
wire \tile_x3y12_s1beg[0] ;
wire \tile_x3y12_s1beg[1] ;
wire \tile_x3y12_s1beg[2] ;
wire \tile_x3y12_s1beg[3] ;
wire \tile_x3y12_s2beg[0] ;
wire \tile_x3y12_s2beg[1] ;
wire \tile_x3y12_s2beg[2] ;
wire \tile_x3y12_s2beg[3] ;
wire \tile_x3y12_s2beg[4] ;
wire \tile_x3y12_s2beg[5] ;
wire \tile_x3y12_s2beg[6] ;
wire \tile_x3y12_s2beg[7] ;
wire \tile_x3y12_s2begb[0] ;
wire \tile_x3y12_s2begb[1] ;
wire \tile_x3y12_s2begb[2] ;
wire \tile_x3y12_s2begb[3] ;
wire \tile_x3y12_s2begb[4] ;
wire \tile_x3y12_s2begb[5] ;
wire \tile_x3y12_s2begb[6] ;
wire \tile_x3y12_s2begb[7] ;
wire \tile_x3y12_s4beg[0] ;
wire \tile_x3y12_s4beg[10] ;
wire \tile_x3y12_s4beg[11] ;
wire \tile_x3y12_s4beg[12] ;
wire \tile_x3y12_s4beg[13] ;
wire \tile_x3y12_s4beg[14] ;
wire \tile_x3y12_s4beg[15] ;
wire \tile_x3y12_s4beg[1] ;
wire \tile_x3y12_s4beg[2] ;
wire \tile_x3y12_s4beg[3] ;
wire \tile_x3y12_s4beg[4] ;
wire \tile_x3y12_s4beg[5] ;
wire \tile_x3y12_s4beg[6] ;
wire \tile_x3y12_s4beg[7] ;
wire \tile_x3y12_s4beg[8] ;
wire \tile_x3y12_s4beg[9] ;
wire \tile_x3y12_ss4beg[0] ;
wire \tile_x3y12_ss4beg[10] ;
wire \tile_x3y12_ss4beg[11] ;
wire \tile_x3y12_ss4beg[12] ;
wire \tile_x3y12_ss4beg[13] ;
wire \tile_x3y12_ss4beg[14] ;
wire \tile_x3y12_ss4beg[15] ;
wire \tile_x3y12_ss4beg[1] ;
wire \tile_x3y12_ss4beg[2] ;
wire \tile_x3y12_ss4beg[3] ;
wire \tile_x3y12_ss4beg[4] ;
wire \tile_x3y12_ss4beg[5] ;
wire \tile_x3y12_ss4beg[6] ;
wire \tile_x3y12_ss4beg[7] ;
wire \tile_x3y12_ss4beg[8] ;
wire \tile_x3y12_ss4beg[9] ;
wire tile_x3y12_userclko;
wire \tile_x3y12_w1beg[0] ;
wire \tile_x3y12_w1beg[1] ;
wire \tile_x3y12_w1beg[2] ;
wire \tile_x3y12_w1beg[3] ;
wire \tile_x3y12_w2beg[0] ;
wire \tile_x3y12_w2beg[1] ;
wire \tile_x3y12_w2beg[2] ;
wire \tile_x3y12_w2beg[3] ;
wire \tile_x3y12_w2beg[4] ;
wire \tile_x3y12_w2beg[5] ;
wire \tile_x3y12_w2beg[6] ;
wire \tile_x3y12_w2beg[7] ;
wire \tile_x3y12_w2begb[0] ;
wire \tile_x3y12_w2begb[1] ;
wire \tile_x3y12_w2begb[2] ;
wire \tile_x3y12_w2begb[3] ;
wire \tile_x3y12_w2begb[4] ;
wire \tile_x3y12_w2begb[5] ;
wire \tile_x3y12_w2begb[6] ;
wire \tile_x3y12_w2begb[7] ;
wire \tile_x3y12_w6beg[0] ;
wire \tile_x3y12_w6beg[10] ;
wire \tile_x3y12_w6beg[11] ;
wire \tile_x3y12_w6beg[1] ;
wire \tile_x3y12_w6beg[2] ;
wire \tile_x3y12_w6beg[3] ;
wire \tile_x3y12_w6beg[4] ;
wire \tile_x3y12_w6beg[5] ;
wire \tile_x3y12_w6beg[6] ;
wire \tile_x3y12_w6beg[7] ;
wire \tile_x3y12_w6beg[8] ;
wire \tile_x3y12_w6beg[9] ;
wire \tile_x3y12_ww4beg[0] ;
wire \tile_x3y12_ww4beg[10] ;
wire \tile_x3y12_ww4beg[11] ;
wire \tile_x3y12_ww4beg[12] ;
wire \tile_x3y12_ww4beg[13] ;
wire \tile_x3y12_ww4beg[14] ;
wire \tile_x3y12_ww4beg[15] ;
wire \tile_x3y12_ww4beg[1] ;
wire \tile_x3y12_ww4beg[2] ;
wire \tile_x3y12_ww4beg[3] ;
wire \tile_x3y12_ww4beg[4] ;
wire \tile_x3y12_ww4beg[5] ;
wire \tile_x3y12_ww4beg[6] ;
wire \tile_x3y12_ww4beg[7] ;
wire \tile_x3y12_ww4beg[8] ;
wire \tile_x3y12_ww4beg[9] ;
wire tile_x3y13_co;
wire \tile_x3y13_e1beg[0] ;
wire \tile_x3y13_e1beg[1] ;
wire \tile_x3y13_e1beg[2] ;
wire \tile_x3y13_e1beg[3] ;
wire \tile_x3y13_e2beg[0] ;
wire \tile_x3y13_e2beg[1] ;
wire \tile_x3y13_e2beg[2] ;
wire \tile_x3y13_e2beg[3] ;
wire \tile_x3y13_e2beg[4] ;
wire \tile_x3y13_e2beg[5] ;
wire \tile_x3y13_e2beg[6] ;
wire \tile_x3y13_e2beg[7] ;
wire \tile_x3y13_e2begb[0] ;
wire \tile_x3y13_e2begb[1] ;
wire \tile_x3y13_e2begb[2] ;
wire \tile_x3y13_e2begb[3] ;
wire \tile_x3y13_e2begb[4] ;
wire \tile_x3y13_e2begb[5] ;
wire \tile_x3y13_e2begb[6] ;
wire \tile_x3y13_e2begb[7] ;
wire \tile_x3y13_e6beg[0] ;
wire \tile_x3y13_e6beg[10] ;
wire \tile_x3y13_e6beg[11] ;
wire \tile_x3y13_e6beg[1] ;
wire \tile_x3y13_e6beg[2] ;
wire \tile_x3y13_e6beg[3] ;
wire \tile_x3y13_e6beg[4] ;
wire \tile_x3y13_e6beg[5] ;
wire \tile_x3y13_e6beg[6] ;
wire \tile_x3y13_e6beg[7] ;
wire \tile_x3y13_e6beg[8] ;
wire \tile_x3y13_e6beg[9] ;
wire \tile_x3y13_ee4beg[0] ;
wire \tile_x3y13_ee4beg[10] ;
wire \tile_x3y13_ee4beg[11] ;
wire \tile_x3y13_ee4beg[12] ;
wire \tile_x3y13_ee4beg[13] ;
wire \tile_x3y13_ee4beg[14] ;
wire \tile_x3y13_ee4beg[15] ;
wire \tile_x3y13_ee4beg[1] ;
wire \tile_x3y13_ee4beg[2] ;
wire \tile_x3y13_ee4beg[3] ;
wire \tile_x3y13_ee4beg[4] ;
wire \tile_x3y13_ee4beg[5] ;
wire \tile_x3y13_ee4beg[6] ;
wire \tile_x3y13_ee4beg[7] ;
wire \tile_x3y13_ee4beg[8] ;
wire \tile_x3y13_ee4beg[9] ;
wire \tile_x3y13_framedata_o[0] ;
wire \tile_x3y13_framedata_o[10] ;
wire \tile_x3y13_framedata_o[11] ;
wire \tile_x3y13_framedata_o[12] ;
wire \tile_x3y13_framedata_o[13] ;
wire \tile_x3y13_framedata_o[14] ;
wire \tile_x3y13_framedata_o[15] ;
wire \tile_x3y13_framedata_o[16] ;
wire \tile_x3y13_framedata_o[17] ;
wire \tile_x3y13_framedata_o[18] ;
wire \tile_x3y13_framedata_o[19] ;
wire \tile_x3y13_framedata_o[1] ;
wire \tile_x3y13_framedata_o[20] ;
wire \tile_x3y13_framedata_o[21] ;
wire \tile_x3y13_framedata_o[22] ;
wire \tile_x3y13_framedata_o[23] ;
wire \tile_x3y13_framedata_o[24] ;
wire \tile_x3y13_framedata_o[25] ;
wire \tile_x3y13_framedata_o[26] ;
wire \tile_x3y13_framedata_o[27] ;
wire \tile_x3y13_framedata_o[28] ;
wire \tile_x3y13_framedata_o[29] ;
wire \tile_x3y13_framedata_o[2] ;
wire \tile_x3y13_framedata_o[30] ;
wire \tile_x3y13_framedata_o[31] ;
wire \tile_x3y13_framedata_o[3] ;
wire \tile_x3y13_framedata_o[4] ;
wire \tile_x3y13_framedata_o[5] ;
wire \tile_x3y13_framedata_o[6] ;
wire \tile_x3y13_framedata_o[7] ;
wire \tile_x3y13_framedata_o[8] ;
wire \tile_x3y13_framedata_o[9] ;
wire \tile_x3y13_framestrobe_o[0] ;
wire \tile_x3y13_framestrobe_o[10] ;
wire \tile_x3y13_framestrobe_o[11] ;
wire \tile_x3y13_framestrobe_o[12] ;
wire \tile_x3y13_framestrobe_o[13] ;
wire \tile_x3y13_framestrobe_o[14] ;
wire \tile_x3y13_framestrobe_o[15] ;
wire \tile_x3y13_framestrobe_o[16] ;
wire \tile_x3y13_framestrobe_o[17] ;
wire \tile_x3y13_framestrobe_o[18] ;
wire \tile_x3y13_framestrobe_o[19] ;
wire \tile_x3y13_framestrobe_o[1] ;
wire \tile_x3y13_framestrobe_o[2] ;
wire \tile_x3y13_framestrobe_o[3] ;
wire \tile_x3y13_framestrobe_o[4] ;
wire \tile_x3y13_framestrobe_o[5] ;
wire \tile_x3y13_framestrobe_o[6] ;
wire \tile_x3y13_framestrobe_o[7] ;
wire \tile_x3y13_framestrobe_o[8] ;
wire \tile_x3y13_framestrobe_o[9] ;
wire \tile_x3y13_n1beg[0] ;
wire \tile_x3y13_n1beg[1] ;
wire \tile_x3y13_n1beg[2] ;
wire \tile_x3y13_n1beg[3] ;
wire \tile_x3y13_n2beg[0] ;
wire \tile_x3y13_n2beg[1] ;
wire \tile_x3y13_n2beg[2] ;
wire \tile_x3y13_n2beg[3] ;
wire \tile_x3y13_n2beg[4] ;
wire \tile_x3y13_n2beg[5] ;
wire \tile_x3y13_n2beg[6] ;
wire \tile_x3y13_n2beg[7] ;
wire \tile_x3y13_n2begb[0] ;
wire \tile_x3y13_n2begb[1] ;
wire \tile_x3y13_n2begb[2] ;
wire \tile_x3y13_n2begb[3] ;
wire \tile_x3y13_n2begb[4] ;
wire \tile_x3y13_n2begb[5] ;
wire \tile_x3y13_n2begb[6] ;
wire \tile_x3y13_n2begb[7] ;
wire \tile_x3y13_n4beg[0] ;
wire \tile_x3y13_n4beg[10] ;
wire \tile_x3y13_n4beg[11] ;
wire \tile_x3y13_n4beg[12] ;
wire \tile_x3y13_n4beg[13] ;
wire \tile_x3y13_n4beg[14] ;
wire \tile_x3y13_n4beg[15] ;
wire \tile_x3y13_n4beg[1] ;
wire \tile_x3y13_n4beg[2] ;
wire \tile_x3y13_n4beg[3] ;
wire \tile_x3y13_n4beg[4] ;
wire \tile_x3y13_n4beg[5] ;
wire \tile_x3y13_n4beg[6] ;
wire \tile_x3y13_n4beg[7] ;
wire \tile_x3y13_n4beg[8] ;
wire \tile_x3y13_n4beg[9] ;
wire \tile_x3y13_nn4beg[0] ;
wire \tile_x3y13_nn4beg[10] ;
wire \tile_x3y13_nn4beg[11] ;
wire \tile_x3y13_nn4beg[12] ;
wire \tile_x3y13_nn4beg[13] ;
wire \tile_x3y13_nn4beg[14] ;
wire \tile_x3y13_nn4beg[15] ;
wire \tile_x3y13_nn4beg[1] ;
wire \tile_x3y13_nn4beg[2] ;
wire \tile_x3y13_nn4beg[3] ;
wire \tile_x3y13_nn4beg[4] ;
wire \tile_x3y13_nn4beg[5] ;
wire \tile_x3y13_nn4beg[6] ;
wire \tile_x3y13_nn4beg[7] ;
wire \tile_x3y13_nn4beg[8] ;
wire \tile_x3y13_nn4beg[9] ;
wire \tile_x3y13_s1beg[0] ;
wire \tile_x3y13_s1beg[1] ;
wire \tile_x3y13_s1beg[2] ;
wire \tile_x3y13_s1beg[3] ;
wire \tile_x3y13_s2beg[0] ;
wire \tile_x3y13_s2beg[1] ;
wire \tile_x3y13_s2beg[2] ;
wire \tile_x3y13_s2beg[3] ;
wire \tile_x3y13_s2beg[4] ;
wire \tile_x3y13_s2beg[5] ;
wire \tile_x3y13_s2beg[6] ;
wire \tile_x3y13_s2beg[7] ;
wire \tile_x3y13_s2begb[0] ;
wire \tile_x3y13_s2begb[1] ;
wire \tile_x3y13_s2begb[2] ;
wire \tile_x3y13_s2begb[3] ;
wire \tile_x3y13_s2begb[4] ;
wire \tile_x3y13_s2begb[5] ;
wire \tile_x3y13_s2begb[6] ;
wire \tile_x3y13_s2begb[7] ;
wire \tile_x3y13_s4beg[0] ;
wire \tile_x3y13_s4beg[10] ;
wire \tile_x3y13_s4beg[11] ;
wire \tile_x3y13_s4beg[12] ;
wire \tile_x3y13_s4beg[13] ;
wire \tile_x3y13_s4beg[14] ;
wire \tile_x3y13_s4beg[15] ;
wire \tile_x3y13_s4beg[1] ;
wire \tile_x3y13_s4beg[2] ;
wire \tile_x3y13_s4beg[3] ;
wire \tile_x3y13_s4beg[4] ;
wire \tile_x3y13_s4beg[5] ;
wire \tile_x3y13_s4beg[6] ;
wire \tile_x3y13_s4beg[7] ;
wire \tile_x3y13_s4beg[8] ;
wire \tile_x3y13_s4beg[9] ;
wire \tile_x3y13_ss4beg[0] ;
wire \tile_x3y13_ss4beg[10] ;
wire \tile_x3y13_ss4beg[11] ;
wire \tile_x3y13_ss4beg[12] ;
wire \tile_x3y13_ss4beg[13] ;
wire \tile_x3y13_ss4beg[14] ;
wire \tile_x3y13_ss4beg[15] ;
wire \tile_x3y13_ss4beg[1] ;
wire \tile_x3y13_ss4beg[2] ;
wire \tile_x3y13_ss4beg[3] ;
wire \tile_x3y13_ss4beg[4] ;
wire \tile_x3y13_ss4beg[5] ;
wire \tile_x3y13_ss4beg[6] ;
wire \tile_x3y13_ss4beg[7] ;
wire \tile_x3y13_ss4beg[8] ;
wire \tile_x3y13_ss4beg[9] ;
wire tile_x3y13_userclko;
wire \tile_x3y13_w1beg[0] ;
wire \tile_x3y13_w1beg[1] ;
wire \tile_x3y13_w1beg[2] ;
wire \tile_x3y13_w1beg[3] ;
wire \tile_x3y13_w2beg[0] ;
wire \tile_x3y13_w2beg[1] ;
wire \tile_x3y13_w2beg[2] ;
wire \tile_x3y13_w2beg[3] ;
wire \tile_x3y13_w2beg[4] ;
wire \tile_x3y13_w2beg[5] ;
wire \tile_x3y13_w2beg[6] ;
wire \tile_x3y13_w2beg[7] ;
wire \tile_x3y13_w2begb[0] ;
wire \tile_x3y13_w2begb[1] ;
wire \tile_x3y13_w2begb[2] ;
wire \tile_x3y13_w2begb[3] ;
wire \tile_x3y13_w2begb[4] ;
wire \tile_x3y13_w2begb[5] ;
wire \tile_x3y13_w2begb[6] ;
wire \tile_x3y13_w2begb[7] ;
wire \tile_x3y13_w6beg[0] ;
wire \tile_x3y13_w6beg[10] ;
wire \tile_x3y13_w6beg[11] ;
wire \tile_x3y13_w6beg[1] ;
wire \tile_x3y13_w6beg[2] ;
wire \tile_x3y13_w6beg[3] ;
wire \tile_x3y13_w6beg[4] ;
wire \tile_x3y13_w6beg[5] ;
wire \tile_x3y13_w6beg[6] ;
wire \tile_x3y13_w6beg[7] ;
wire \tile_x3y13_w6beg[8] ;
wire \tile_x3y13_w6beg[9] ;
wire \tile_x3y13_ww4beg[0] ;
wire \tile_x3y13_ww4beg[10] ;
wire \tile_x3y13_ww4beg[11] ;
wire \tile_x3y13_ww4beg[12] ;
wire \tile_x3y13_ww4beg[13] ;
wire \tile_x3y13_ww4beg[14] ;
wire \tile_x3y13_ww4beg[15] ;
wire \tile_x3y13_ww4beg[1] ;
wire \tile_x3y13_ww4beg[2] ;
wire \tile_x3y13_ww4beg[3] ;
wire \tile_x3y13_ww4beg[4] ;
wire \tile_x3y13_ww4beg[5] ;
wire \tile_x3y13_ww4beg[6] ;
wire \tile_x3y13_ww4beg[7] ;
wire \tile_x3y13_ww4beg[8] ;
wire \tile_x3y13_ww4beg[9] ;
wire tile_x3y14_co;
wire \tile_x3y14_e1beg[0] ;
wire \tile_x3y14_e1beg[1] ;
wire \tile_x3y14_e1beg[2] ;
wire \tile_x3y14_e1beg[3] ;
wire \tile_x3y14_e2beg[0] ;
wire \tile_x3y14_e2beg[1] ;
wire \tile_x3y14_e2beg[2] ;
wire \tile_x3y14_e2beg[3] ;
wire \tile_x3y14_e2beg[4] ;
wire \tile_x3y14_e2beg[5] ;
wire \tile_x3y14_e2beg[6] ;
wire \tile_x3y14_e2beg[7] ;
wire \tile_x3y14_e2begb[0] ;
wire \tile_x3y14_e2begb[1] ;
wire \tile_x3y14_e2begb[2] ;
wire \tile_x3y14_e2begb[3] ;
wire \tile_x3y14_e2begb[4] ;
wire \tile_x3y14_e2begb[5] ;
wire \tile_x3y14_e2begb[6] ;
wire \tile_x3y14_e2begb[7] ;
wire \tile_x3y14_e6beg[0] ;
wire \tile_x3y14_e6beg[10] ;
wire \tile_x3y14_e6beg[11] ;
wire \tile_x3y14_e6beg[1] ;
wire \tile_x3y14_e6beg[2] ;
wire \tile_x3y14_e6beg[3] ;
wire \tile_x3y14_e6beg[4] ;
wire \tile_x3y14_e6beg[5] ;
wire \tile_x3y14_e6beg[6] ;
wire \tile_x3y14_e6beg[7] ;
wire \tile_x3y14_e6beg[8] ;
wire \tile_x3y14_e6beg[9] ;
wire \tile_x3y14_ee4beg[0] ;
wire \tile_x3y14_ee4beg[10] ;
wire \tile_x3y14_ee4beg[11] ;
wire \tile_x3y14_ee4beg[12] ;
wire \tile_x3y14_ee4beg[13] ;
wire \tile_x3y14_ee4beg[14] ;
wire \tile_x3y14_ee4beg[15] ;
wire \tile_x3y14_ee4beg[1] ;
wire \tile_x3y14_ee4beg[2] ;
wire \tile_x3y14_ee4beg[3] ;
wire \tile_x3y14_ee4beg[4] ;
wire \tile_x3y14_ee4beg[5] ;
wire \tile_x3y14_ee4beg[6] ;
wire \tile_x3y14_ee4beg[7] ;
wire \tile_x3y14_ee4beg[8] ;
wire \tile_x3y14_ee4beg[9] ;
wire \tile_x3y14_framedata_o[0] ;
wire \tile_x3y14_framedata_o[10] ;
wire \tile_x3y14_framedata_o[11] ;
wire \tile_x3y14_framedata_o[12] ;
wire \tile_x3y14_framedata_o[13] ;
wire \tile_x3y14_framedata_o[14] ;
wire \tile_x3y14_framedata_o[15] ;
wire \tile_x3y14_framedata_o[16] ;
wire \tile_x3y14_framedata_o[17] ;
wire \tile_x3y14_framedata_o[18] ;
wire \tile_x3y14_framedata_o[19] ;
wire \tile_x3y14_framedata_o[1] ;
wire \tile_x3y14_framedata_o[20] ;
wire \tile_x3y14_framedata_o[21] ;
wire \tile_x3y14_framedata_o[22] ;
wire \tile_x3y14_framedata_o[23] ;
wire \tile_x3y14_framedata_o[24] ;
wire \tile_x3y14_framedata_o[25] ;
wire \tile_x3y14_framedata_o[26] ;
wire \tile_x3y14_framedata_o[27] ;
wire \tile_x3y14_framedata_o[28] ;
wire \tile_x3y14_framedata_o[29] ;
wire \tile_x3y14_framedata_o[2] ;
wire \tile_x3y14_framedata_o[30] ;
wire \tile_x3y14_framedata_o[31] ;
wire \tile_x3y14_framedata_o[3] ;
wire \tile_x3y14_framedata_o[4] ;
wire \tile_x3y14_framedata_o[5] ;
wire \tile_x3y14_framedata_o[6] ;
wire \tile_x3y14_framedata_o[7] ;
wire \tile_x3y14_framedata_o[8] ;
wire \tile_x3y14_framedata_o[9] ;
wire \tile_x3y14_framestrobe_o[0] ;
wire \tile_x3y14_framestrobe_o[10] ;
wire \tile_x3y14_framestrobe_o[11] ;
wire \tile_x3y14_framestrobe_o[12] ;
wire \tile_x3y14_framestrobe_o[13] ;
wire \tile_x3y14_framestrobe_o[14] ;
wire \tile_x3y14_framestrobe_o[15] ;
wire \tile_x3y14_framestrobe_o[16] ;
wire \tile_x3y14_framestrobe_o[17] ;
wire \tile_x3y14_framestrobe_o[18] ;
wire \tile_x3y14_framestrobe_o[19] ;
wire \tile_x3y14_framestrobe_o[1] ;
wire \tile_x3y14_framestrobe_o[2] ;
wire \tile_x3y14_framestrobe_o[3] ;
wire \tile_x3y14_framestrobe_o[4] ;
wire \tile_x3y14_framestrobe_o[5] ;
wire \tile_x3y14_framestrobe_o[6] ;
wire \tile_x3y14_framestrobe_o[7] ;
wire \tile_x3y14_framestrobe_o[8] ;
wire \tile_x3y14_framestrobe_o[9] ;
wire \tile_x3y14_n1beg[0] ;
wire \tile_x3y14_n1beg[1] ;
wire \tile_x3y14_n1beg[2] ;
wire \tile_x3y14_n1beg[3] ;
wire \tile_x3y14_n2beg[0] ;
wire \tile_x3y14_n2beg[1] ;
wire \tile_x3y14_n2beg[2] ;
wire \tile_x3y14_n2beg[3] ;
wire \tile_x3y14_n2beg[4] ;
wire \tile_x3y14_n2beg[5] ;
wire \tile_x3y14_n2beg[6] ;
wire \tile_x3y14_n2beg[7] ;
wire \tile_x3y14_n2begb[0] ;
wire \tile_x3y14_n2begb[1] ;
wire \tile_x3y14_n2begb[2] ;
wire \tile_x3y14_n2begb[3] ;
wire \tile_x3y14_n2begb[4] ;
wire \tile_x3y14_n2begb[5] ;
wire \tile_x3y14_n2begb[6] ;
wire \tile_x3y14_n2begb[7] ;
wire \tile_x3y14_n4beg[0] ;
wire \tile_x3y14_n4beg[10] ;
wire \tile_x3y14_n4beg[11] ;
wire \tile_x3y14_n4beg[12] ;
wire \tile_x3y14_n4beg[13] ;
wire \tile_x3y14_n4beg[14] ;
wire \tile_x3y14_n4beg[15] ;
wire \tile_x3y14_n4beg[1] ;
wire \tile_x3y14_n4beg[2] ;
wire \tile_x3y14_n4beg[3] ;
wire \tile_x3y14_n4beg[4] ;
wire \tile_x3y14_n4beg[5] ;
wire \tile_x3y14_n4beg[6] ;
wire \tile_x3y14_n4beg[7] ;
wire \tile_x3y14_n4beg[8] ;
wire \tile_x3y14_n4beg[9] ;
wire \tile_x3y14_nn4beg[0] ;
wire \tile_x3y14_nn4beg[10] ;
wire \tile_x3y14_nn4beg[11] ;
wire \tile_x3y14_nn4beg[12] ;
wire \tile_x3y14_nn4beg[13] ;
wire \tile_x3y14_nn4beg[14] ;
wire \tile_x3y14_nn4beg[15] ;
wire \tile_x3y14_nn4beg[1] ;
wire \tile_x3y14_nn4beg[2] ;
wire \tile_x3y14_nn4beg[3] ;
wire \tile_x3y14_nn4beg[4] ;
wire \tile_x3y14_nn4beg[5] ;
wire \tile_x3y14_nn4beg[6] ;
wire \tile_x3y14_nn4beg[7] ;
wire \tile_x3y14_nn4beg[8] ;
wire \tile_x3y14_nn4beg[9] ;
wire \tile_x3y14_s1beg[0] ;
wire \tile_x3y14_s1beg[1] ;
wire \tile_x3y14_s1beg[2] ;
wire \tile_x3y14_s1beg[3] ;
wire \tile_x3y14_s2beg[0] ;
wire \tile_x3y14_s2beg[1] ;
wire \tile_x3y14_s2beg[2] ;
wire \tile_x3y14_s2beg[3] ;
wire \tile_x3y14_s2beg[4] ;
wire \tile_x3y14_s2beg[5] ;
wire \tile_x3y14_s2beg[6] ;
wire \tile_x3y14_s2beg[7] ;
wire \tile_x3y14_s2begb[0] ;
wire \tile_x3y14_s2begb[1] ;
wire \tile_x3y14_s2begb[2] ;
wire \tile_x3y14_s2begb[3] ;
wire \tile_x3y14_s2begb[4] ;
wire \tile_x3y14_s2begb[5] ;
wire \tile_x3y14_s2begb[6] ;
wire \tile_x3y14_s2begb[7] ;
wire \tile_x3y14_s4beg[0] ;
wire \tile_x3y14_s4beg[10] ;
wire \tile_x3y14_s4beg[11] ;
wire \tile_x3y14_s4beg[12] ;
wire \tile_x3y14_s4beg[13] ;
wire \tile_x3y14_s4beg[14] ;
wire \tile_x3y14_s4beg[15] ;
wire \tile_x3y14_s4beg[1] ;
wire \tile_x3y14_s4beg[2] ;
wire \tile_x3y14_s4beg[3] ;
wire \tile_x3y14_s4beg[4] ;
wire \tile_x3y14_s4beg[5] ;
wire \tile_x3y14_s4beg[6] ;
wire \tile_x3y14_s4beg[7] ;
wire \tile_x3y14_s4beg[8] ;
wire \tile_x3y14_s4beg[9] ;
wire \tile_x3y14_ss4beg[0] ;
wire \tile_x3y14_ss4beg[10] ;
wire \tile_x3y14_ss4beg[11] ;
wire \tile_x3y14_ss4beg[12] ;
wire \tile_x3y14_ss4beg[13] ;
wire \tile_x3y14_ss4beg[14] ;
wire \tile_x3y14_ss4beg[15] ;
wire \tile_x3y14_ss4beg[1] ;
wire \tile_x3y14_ss4beg[2] ;
wire \tile_x3y14_ss4beg[3] ;
wire \tile_x3y14_ss4beg[4] ;
wire \tile_x3y14_ss4beg[5] ;
wire \tile_x3y14_ss4beg[6] ;
wire \tile_x3y14_ss4beg[7] ;
wire \tile_x3y14_ss4beg[8] ;
wire \tile_x3y14_ss4beg[9] ;
wire tile_x3y14_userclko;
wire \tile_x3y14_w1beg[0] ;
wire \tile_x3y14_w1beg[1] ;
wire \tile_x3y14_w1beg[2] ;
wire \tile_x3y14_w1beg[3] ;
wire \tile_x3y14_w2beg[0] ;
wire \tile_x3y14_w2beg[1] ;
wire \tile_x3y14_w2beg[2] ;
wire \tile_x3y14_w2beg[3] ;
wire \tile_x3y14_w2beg[4] ;
wire \tile_x3y14_w2beg[5] ;
wire \tile_x3y14_w2beg[6] ;
wire \tile_x3y14_w2beg[7] ;
wire \tile_x3y14_w2begb[0] ;
wire \tile_x3y14_w2begb[1] ;
wire \tile_x3y14_w2begb[2] ;
wire \tile_x3y14_w2begb[3] ;
wire \tile_x3y14_w2begb[4] ;
wire \tile_x3y14_w2begb[5] ;
wire \tile_x3y14_w2begb[6] ;
wire \tile_x3y14_w2begb[7] ;
wire \tile_x3y14_w6beg[0] ;
wire \tile_x3y14_w6beg[10] ;
wire \tile_x3y14_w6beg[11] ;
wire \tile_x3y14_w6beg[1] ;
wire \tile_x3y14_w6beg[2] ;
wire \tile_x3y14_w6beg[3] ;
wire \tile_x3y14_w6beg[4] ;
wire \tile_x3y14_w6beg[5] ;
wire \tile_x3y14_w6beg[6] ;
wire \tile_x3y14_w6beg[7] ;
wire \tile_x3y14_w6beg[8] ;
wire \tile_x3y14_w6beg[9] ;
wire \tile_x3y14_ww4beg[0] ;
wire \tile_x3y14_ww4beg[10] ;
wire \tile_x3y14_ww4beg[11] ;
wire \tile_x3y14_ww4beg[12] ;
wire \tile_x3y14_ww4beg[13] ;
wire \tile_x3y14_ww4beg[14] ;
wire \tile_x3y14_ww4beg[15] ;
wire \tile_x3y14_ww4beg[1] ;
wire \tile_x3y14_ww4beg[2] ;
wire \tile_x3y14_ww4beg[3] ;
wire \tile_x3y14_ww4beg[4] ;
wire \tile_x3y14_ww4beg[5] ;
wire \tile_x3y14_ww4beg[6] ;
wire \tile_x3y14_ww4beg[7] ;
wire \tile_x3y14_ww4beg[8] ;
wire \tile_x3y14_ww4beg[9] ;
wire tile_x3y15_co;
wire \tile_x3y15_framestrobe_o[0] ;
wire \tile_x3y15_framestrobe_o[10] ;
wire \tile_x3y15_framestrobe_o[11] ;
wire \tile_x3y15_framestrobe_o[12] ;
wire \tile_x3y15_framestrobe_o[13] ;
wire \tile_x3y15_framestrobe_o[14] ;
wire \tile_x3y15_framestrobe_o[15] ;
wire \tile_x3y15_framestrobe_o[16] ;
wire \tile_x3y15_framestrobe_o[17] ;
wire \tile_x3y15_framestrobe_o[18] ;
wire \tile_x3y15_framestrobe_o[19] ;
wire \tile_x3y15_framestrobe_o[1] ;
wire \tile_x3y15_framestrobe_o[2] ;
wire \tile_x3y15_framestrobe_o[3] ;
wire \tile_x3y15_framestrobe_o[4] ;
wire \tile_x3y15_framestrobe_o[5] ;
wire \tile_x3y15_framestrobe_o[6] ;
wire \tile_x3y15_framestrobe_o[7] ;
wire \tile_x3y15_framestrobe_o[8] ;
wire \tile_x3y15_framestrobe_o[9] ;
wire \tile_x3y15_n1beg[0] ;
wire \tile_x3y15_n1beg[1] ;
wire \tile_x3y15_n1beg[2] ;
wire \tile_x3y15_n1beg[3] ;
wire \tile_x3y15_n2beg[0] ;
wire \tile_x3y15_n2beg[1] ;
wire \tile_x3y15_n2beg[2] ;
wire \tile_x3y15_n2beg[3] ;
wire \tile_x3y15_n2beg[4] ;
wire \tile_x3y15_n2beg[5] ;
wire \tile_x3y15_n2beg[6] ;
wire \tile_x3y15_n2beg[7] ;
wire \tile_x3y15_n2begb[0] ;
wire \tile_x3y15_n2begb[1] ;
wire \tile_x3y15_n2begb[2] ;
wire \tile_x3y15_n2begb[3] ;
wire \tile_x3y15_n2begb[4] ;
wire \tile_x3y15_n2begb[5] ;
wire \tile_x3y15_n2begb[6] ;
wire \tile_x3y15_n2begb[7] ;
wire \tile_x3y15_n4beg[0] ;
wire \tile_x3y15_n4beg[10] ;
wire \tile_x3y15_n4beg[11] ;
wire \tile_x3y15_n4beg[12] ;
wire \tile_x3y15_n4beg[13] ;
wire \tile_x3y15_n4beg[14] ;
wire \tile_x3y15_n4beg[15] ;
wire \tile_x3y15_n4beg[1] ;
wire \tile_x3y15_n4beg[2] ;
wire \tile_x3y15_n4beg[3] ;
wire \tile_x3y15_n4beg[4] ;
wire \tile_x3y15_n4beg[5] ;
wire \tile_x3y15_n4beg[6] ;
wire \tile_x3y15_n4beg[7] ;
wire \tile_x3y15_n4beg[8] ;
wire \tile_x3y15_n4beg[9] ;
wire \tile_x3y15_nn4beg[0] ;
wire \tile_x3y15_nn4beg[10] ;
wire \tile_x3y15_nn4beg[11] ;
wire \tile_x3y15_nn4beg[12] ;
wire \tile_x3y15_nn4beg[13] ;
wire \tile_x3y15_nn4beg[14] ;
wire \tile_x3y15_nn4beg[15] ;
wire \tile_x3y15_nn4beg[1] ;
wire \tile_x3y15_nn4beg[2] ;
wire \tile_x3y15_nn4beg[3] ;
wire \tile_x3y15_nn4beg[4] ;
wire \tile_x3y15_nn4beg[5] ;
wire \tile_x3y15_nn4beg[6] ;
wire \tile_x3y15_nn4beg[7] ;
wire \tile_x3y15_nn4beg[8] ;
wire \tile_x3y15_nn4beg[9] ;
wire tile_x3y15_userclko;
wire \tile_x3y1_e1beg[0] ;
wire \tile_x3y1_e1beg[1] ;
wire \tile_x3y1_e1beg[2] ;
wire \tile_x3y1_e1beg[3] ;
wire \tile_x3y1_e2beg[0] ;
wire \tile_x3y1_e2beg[1] ;
wire \tile_x3y1_e2beg[2] ;
wire \tile_x3y1_e2beg[3] ;
wire \tile_x3y1_e2beg[4] ;
wire \tile_x3y1_e2beg[5] ;
wire \tile_x3y1_e2beg[6] ;
wire \tile_x3y1_e2beg[7] ;
wire \tile_x3y1_e2begb[0] ;
wire \tile_x3y1_e2begb[1] ;
wire \tile_x3y1_e2begb[2] ;
wire \tile_x3y1_e2begb[3] ;
wire \tile_x3y1_e2begb[4] ;
wire \tile_x3y1_e2begb[5] ;
wire \tile_x3y1_e2begb[6] ;
wire \tile_x3y1_e2begb[7] ;
wire \tile_x3y1_e6beg[0] ;
wire \tile_x3y1_e6beg[10] ;
wire \tile_x3y1_e6beg[11] ;
wire \tile_x3y1_e6beg[1] ;
wire \tile_x3y1_e6beg[2] ;
wire \tile_x3y1_e6beg[3] ;
wire \tile_x3y1_e6beg[4] ;
wire \tile_x3y1_e6beg[5] ;
wire \tile_x3y1_e6beg[6] ;
wire \tile_x3y1_e6beg[7] ;
wire \tile_x3y1_e6beg[8] ;
wire \tile_x3y1_e6beg[9] ;
wire \tile_x3y1_ee4beg[0] ;
wire \tile_x3y1_ee4beg[10] ;
wire \tile_x3y1_ee4beg[11] ;
wire \tile_x3y1_ee4beg[12] ;
wire \tile_x3y1_ee4beg[13] ;
wire \tile_x3y1_ee4beg[14] ;
wire \tile_x3y1_ee4beg[15] ;
wire \tile_x3y1_ee4beg[1] ;
wire \tile_x3y1_ee4beg[2] ;
wire \tile_x3y1_ee4beg[3] ;
wire \tile_x3y1_ee4beg[4] ;
wire \tile_x3y1_ee4beg[5] ;
wire \tile_x3y1_ee4beg[6] ;
wire \tile_x3y1_ee4beg[7] ;
wire \tile_x3y1_ee4beg[8] ;
wire \tile_x3y1_ee4beg[9] ;
wire \tile_x3y1_framedata_o[0] ;
wire \tile_x3y1_framedata_o[10] ;
wire \tile_x3y1_framedata_o[11] ;
wire \tile_x3y1_framedata_o[12] ;
wire \tile_x3y1_framedata_o[13] ;
wire \tile_x3y1_framedata_o[14] ;
wire \tile_x3y1_framedata_o[15] ;
wire \tile_x3y1_framedata_o[16] ;
wire \tile_x3y1_framedata_o[17] ;
wire \tile_x3y1_framedata_o[18] ;
wire \tile_x3y1_framedata_o[19] ;
wire \tile_x3y1_framedata_o[1] ;
wire \tile_x3y1_framedata_o[20] ;
wire \tile_x3y1_framedata_o[21] ;
wire \tile_x3y1_framedata_o[22] ;
wire \tile_x3y1_framedata_o[23] ;
wire \tile_x3y1_framedata_o[24] ;
wire \tile_x3y1_framedata_o[25] ;
wire \tile_x3y1_framedata_o[26] ;
wire \tile_x3y1_framedata_o[27] ;
wire \tile_x3y1_framedata_o[28] ;
wire \tile_x3y1_framedata_o[29] ;
wire \tile_x3y1_framedata_o[2] ;
wire \tile_x3y1_framedata_o[30] ;
wire \tile_x3y1_framedata_o[31] ;
wire \tile_x3y1_framedata_o[3] ;
wire \tile_x3y1_framedata_o[4] ;
wire \tile_x3y1_framedata_o[5] ;
wire \tile_x3y1_framedata_o[6] ;
wire \tile_x3y1_framedata_o[7] ;
wire \tile_x3y1_framedata_o[8] ;
wire \tile_x3y1_framedata_o[9] ;
wire \tile_x3y1_framestrobe_o[0] ;
wire \tile_x3y1_framestrobe_o[10] ;
wire \tile_x3y1_framestrobe_o[11] ;
wire \tile_x3y1_framestrobe_o[12] ;
wire \tile_x3y1_framestrobe_o[13] ;
wire \tile_x3y1_framestrobe_o[14] ;
wire \tile_x3y1_framestrobe_o[15] ;
wire \tile_x3y1_framestrobe_o[16] ;
wire \tile_x3y1_framestrobe_o[17] ;
wire \tile_x3y1_framestrobe_o[18] ;
wire \tile_x3y1_framestrobe_o[19] ;
wire \tile_x3y1_framestrobe_o[1] ;
wire \tile_x3y1_framestrobe_o[2] ;
wire \tile_x3y1_framestrobe_o[3] ;
wire \tile_x3y1_framestrobe_o[4] ;
wire \tile_x3y1_framestrobe_o[5] ;
wire \tile_x3y1_framestrobe_o[6] ;
wire \tile_x3y1_framestrobe_o[7] ;
wire \tile_x3y1_framestrobe_o[8] ;
wire \tile_x3y1_framestrobe_o[9] ;
input tile_x3y1_opa_i0;
input tile_x3y1_opa_i1;
input tile_x3y1_opa_i2;
input tile_x3y1_opa_i3;
input tile_x3y1_opb_i0;
input tile_x3y1_opb_i1;
input tile_x3y1_opb_i2;
input tile_x3y1_opb_i3;
output tile_x3y1_res0_o0;
output tile_x3y1_res0_o1;
output tile_x3y1_res0_o2;
output tile_x3y1_res0_o3;
output tile_x3y1_res1_o0;
output tile_x3y1_res1_o1;
output tile_x3y1_res1_o2;
output tile_x3y1_res1_o3;
output tile_x3y1_res2_o0;
output tile_x3y1_res2_o1;
output tile_x3y1_res2_o2;
output tile_x3y1_res2_o3;
wire tile_x3y1_userclko;
wire \tile_x3y2_e1beg[0] ;
wire \tile_x3y2_e1beg[1] ;
wire \tile_x3y2_e1beg[2] ;
wire \tile_x3y2_e1beg[3] ;
wire \tile_x3y2_e2beg[0] ;
wire \tile_x3y2_e2beg[1] ;
wire \tile_x3y2_e2beg[2] ;
wire \tile_x3y2_e2beg[3] ;
wire \tile_x3y2_e2beg[4] ;
wire \tile_x3y2_e2beg[5] ;
wire \tile_x3y2_e2beg[6] ;
wire \tile_x3y2_e2beg[7] ;
wire \tile_x3y2_e2begb[0] ;
wire \tile_x3y2_e2begb[1] ;
wire \tile_x3y2_e2begb[2] ;
wire \tile_x3y2_e2begb[3] ;
wire \tile_x3y2_e2begb[4] ;
wire \tile_x3y2_e2begb[5] ;
wire \tile_x3y2_e2begb[6] ;
wire \tile_x3y2_e2begb[7] ;
wire \tile_x3y2_e6beg[0] ;
wire \tile_x3y2_e6beg[10] ;
wire \tile_x3y2_e6beg[11] ;
wire \tile_x3y2_e6beg[1] ;
wire \tile_x3y2_e6beg[2] ;
wire \tile_x3y2_e6beg[3] ;
wire \tile_x3y2_e6beg[4] ;
wire \tile_x3y2_e6beg[5] ;
wire \tile_x3y2_e6beg[6] ;
wire \tile_x3y2_e6beg[7] ;
wire \tile_x3y2_e6beg[8] ;
wire \tile_x3y2_e6beg[9] ;
wire \tile_x3y2_ee4beg[0] ;
wire \tile_x3y2_ee4beg[10] ;
wire \tile_x3y2_ee4beg[11] ;
wire \tile_x3y2_ee4beg[12] ;
wire \tile_x3y2_ee4beg[13] ;
wire \tile_x3y2_ee4beg[14] ;
wire \tile_x3y2_ee4beg[15] ;
wire \tile_x3y2_ee4beg[1] ;
wire \tile_x3y2_ee4beg[2] ;
wire \tile_x3y2_ee4beg[3] ;
wire \tile_x3y2_ee4beg[4] ;
wire \tile_x3y2_ee4beg[5] ;
wire \tile_x3y2_ee4beg[6] ;
wire \tile_x3y2_ee4beg[7] ;
wire \tile_x3y2_ee4beg[8] ;
wire \tile_x3y2_ee4beg[9] ;
wire \tile_x3y2_framedata_o[0] ;
wire \tile_x3y2_framedata_o[10] ;
wire \tile_x3y2_framedata_o[11] ;
wire \tile_x3y2_framedata_o[12] ;
wire \tile_x3y2_framedata_o[13] ;
wire \tile_x3y2_framedata_o[14] ;
wire \tile_x3y2_framedata_o[15] ;
wire \tile_x3y2_framedata_o[16] ;
wire \tile_x3y2_framedata_o[17] ;
wire \tile_x3y2_framedata_o[18] ;
wire \tile_x3y2_framedata_o[19] ;
wire \tile_x3y2_framedata_o[1] ;
wire \tile_x3y2_framedata_o[20] ;
wire \tile_x3y2_framedata_o[21] ;
wire \tile_x3y2_framedata_o[22] ;
wire \tile_x3y2_framedata_o[23] ;
wire \tile_x3y2_framedata_o[24] ;
wire \tile_x3y2_framedata_o[25] ;
wire \tile_x3y2_framedata_o[26] ;
wire \tile_x3y2_framedata_o[27] ;
wire \tile_x3y2_framedata_o[28] ;
wire \tile_x3y2_framedata_o[29] ;
wire \tile_x3y2_framedata_o[2] ;
wire \tile_x3y2_framedata_o[30] ;
wire \tile_x3y2_framedata_o[31] ;
wire \tile_x3y2_framedata_o[3] ;
wire \tile_x3y2_framedata_o[4] ;
wire \tile_x3y2_framedata_o[5] ;
wire \tile_x3y2_framedata_o[6] ;
wire \tile_x3y2_framedata_o[7] ;
wire \tile_x3y2_framedata_o[8] ;
wire \tile_x3y2_framedata_o[9] ;
wire \tile_x3y2_framestrobe_o[0] ;
wire \tile_x3y2_framestrobe_o[10] ;
wire \tile_x3y2_framestrobe_o[11] ;
wire \tile_x3y2_framestrobe_o[12] ;
wire \tile_x3y2_framestrobe_o[13] ;
wire \tile_x3y2_framestrobe_o[14] ;
wire \tile_x3y2_framestrobe_o[15] ;
wire \tile_x3y2_framestrobe_o[16] ;
wire \tile_x3y2_framestrobe_o[17] ;
wire \tile_x3y2_framestrobe_o[18] ;
wire \tile_x3y2_framestrobe_o[19] ;
wire \tile_x3y2_framestrobe_o[1] ;
wire \tile_x3y2_framestrobe_o[2] ;
wire \tile_x3y2_framestrobe_o[3] ;
wire \tile_x3y2_framestrobe_o[4] ;
wire \tile_x3y2_framestrobe_o[5] ;
wire \tile_x3y2_framestrobe_o[6] ;
wire \tile_x3y2_framestrobe_o[7] ;
wire \tile_x3y2_framestrobe_o[8] ;
wire \tile_x3y2_framestrobe_o[9] ;
input tile_x3y2_opa_i0;
input tile_x3y2_opa_i1;
input tile_x3y2_opa_i2;
input tile_x3y2_opa_i3;
input tile_x3y2_opb_i0;
input tile_x3y2_opb_i1;
input tile_x3y2_opb_i2;
input tile_x3y2_opb_i3;
output tile_x3y2_res0_o0;
output tile_x3y2_res0_o1;
output tile_x3y2_res0_o2;
output tile_x3y2_res0_o3;
output tile_x3y2_res1_o0;
output tile_x3y2_res1_o1;
output tile_x3y2_res1_o2;
output tile_x3y2_res1_o3;
output tile_x3y2_res2_o0;
output tile_x3y2_res2_o1;
output tile_x3y2_res2_o2;
output tile_x3y2_res2_o3;
wire tile_x3y2_userclko;
wire \tile_x3y3_e1beg[0] ;
wire \tile_x3y3_e1beg[1] ;
wire \tile_x3y3_e1beg[2] ;
wire \tile_x3y3_e1beg[3] ;
wire \tile_x3y3_e2beg[0] ;
wire \tile_x3y3_e2beg[1] ;
wire \tile_x3y3_e2beg[2] ;
wire \tile_x3y3_e2beg[3] ;
wire \tile_x3y3_e2beg[4] ;
wire \tile_x3y3_e2beg[5] ;
wire \tile_x3y3_e2beg[6] ;
wire \tile_x3y3_e2beg[7] ;
wire \tile_x3y3_e2begb[0] ;
wire \tile_x3y3_e2begb[1] ;
wire \tile_x3y3_e2begb[2] ;
wire \tile_x3y3_e2begb[3] ;
wire \tile_x3y3_e2begb[4] ;
wire \tile_x3y3_e2begb[5] ;
wire \tile_x3y3_e2begb[6] ;
wire \tile_x3y3_e2begb[7] ;
wire \tile_x3y3_e6beg[0] ;
wire \tile_x3y3_e6beg[10] ;
wire \tile_x3y3_e6beg[11] ;
wire \tile_x3y3_e6beg[1] ;
wire \tile_x3y3_e6beg[2] ;
wire \tile_x3y3_e6beg[3] ;
wire \tile_x3y3_e6beg[4] ;
wire \tile_x3y3_e6beg[5] ;
wire \tile_x3y3_e6beg[6] ;
wire \tile_x3y3_e6beg[7] ;
wire \tile_x3y3_e6beg[8] ;
wire \tile_x3y3_e6beg[9] ;
wire \tile_x3y3_ee4beg[0] ;
wire \tile_x3y3_ee4beg[10] ;
wire \tile_x3y3_ee4beg[11] ;
wire \tile_x3y3_ee4beg[12] ;
wire \tile_x3y3_ee4beg[13] ;
wire \tile_x3y3_ee4beg[14] ;
wire \tile_x3y3_ee4beg[15] ;
wire \tile_x3y3_ee4beg[1] ;
wire \tile_x3y3_ee4beg[2] ;
wire \tile_x3y3_ee4beg[3] ;
wire \tile_x3y3_ee4beg[4] ;
wire \tile_x3y3_ee4beg[5] ;
wire \tile_x3y3_ee4beg[6] ;
wire \tile_x3y3_ee4beg[7] ;
wire \tile_x3y3_ee4beg[8] ;
wire \tile_x3y3_ee4beg[9] ;
wire \tile_x3y3_framedata_o[0] ;
wire \tile_x3y3_framedata_o[10] ;
wire \tile_x3y3_framedata_o[11] ;
wire \tile_x3y3_framedata_o[12] ;
wire \tile_x3y3_framedata_o[13] ;
wire \tile_x3y3_framedata_o[14] ;
wire \tile_x3y3_framedata_o[15] ;
wire \tile_x3y3_framedata_o[16] ;
wire \tile_x3y3_framedata_o[17] ;
wire \tile_x3y3_framedata_o[18] ;
wire \tile_x3y3_framedata_o[19] ;
wire \tile_x3y3_framedata_o[1] ;
wire \tile_x3y3_framedata_o[20] ;
wire \tile_x3y3_framedata_o[21] ;
wire \tile_x3y3_framedata_o[22] ;
wire \tile_x3y3_framedata_o[23] ;
wire \tile_x3y3_framedata_o[24] ;
wire \tile_x3y3_framedata_o[25] ;
wire \tile_x3y3_framedata_o[26] ;
wire \tile_x3y3_framedata_o[27] ;
wire \tile_x3y3_framedata_o[28] ;
wire \tile_x3y3_framedata_o[29] ;
wire \tile_x3y3_framedata_o[2] ;
wire \tile_x3y3_framedata_o[30] ;
wire \tile_x3y3_framedata_o[31] ;
wire \tile_x3y3_framedata_o[3] ;
wire \tile_x3y3_framedata_o[4] ;
wire \tile_x3y3_framedata_o[5] ;
wire \tile_x3y3_framedata_o[6] ;
wire \tile_x3y3_framedata_o[7] ;
wire \tile_x3y3_framedata_o[8] ;
wire \tile_x3y3_framedata_o[9] ;
wire \tile_x3y3_framestrobe_o[0] ;
wire \tile_x3y3_framestrobe_o[10] ;
wire \tile_x3y3_framestrobe_o[11] ;
wire \tile_x3y3_framestrobe_o[12] ;
wire \tile_x3y3_framestrobe_o[13] ;
wire \tile_x3y3_framestrobe_o[14] ;
wire \tile_x3y3_framestrobe_o[15] ;
wire \tile_x3y3_framestrobe_o[16] ;
wire \tile_x3y3_framestrobe_o[17] ;
wire \tile_x3y3_framestrobe_o[18] ;
wire \tile_x3y3_framestrobe_o[19] ;
wire \tile_x3y3_framestrobe_o[1] ;
wire \tile_x3y3_framestrobe_o[2] ;
wire \tile_x3y3_framestrobe_o[3] ;
wire \tile_x3y3_framestrobe_o[4] ;
wire \tile_x3y3_framestrobe_o[5] ;
wire \tile_x3y3_framestrobe_o[6] ;
wire \tile_x3y3_framestrobe_o[7] ;
wire \tile_x3y3_framestrobe_o[8] ;
wire \tile_x3y3_framestrobe_o[9] ;
input tile_x3y3_opa_i0;
input tile_x3y3_opa_i1;
input tile_x3y3_opa_i2;
input tile_x3y3_opa_i3;
input tile_x3y3_opb_i0;
input tile_x3y3_opb_i1;
input tile_x3y3_opb_i2;
input tile_x3y3_opb_i3;
output tile_x3y3_res0_o0;
output tile_x3y3_res0_o1;
output tile_x3y3_res0_o2;
output tile_x3y3_res0_o3;
output tile_x3y3_res1_o0;
output tile_x3y3_res1_o1;
output tile_x3y3_res1_o2;
output tile_x3y3_res1_o3;
output tile_x3y3_res2_o0;
output tile_x3y3_res2_o1;
output tile_x3y3_res2_o2;
output tile_x3y3_res2_o3;
wire tile_x3y3_userclko;
wire \tile_x3y4_e1beg[0] ;
wire \tile_x3y4_e1beg[1] ;
wire \tile_x3y4_e1beg[2] ;
wire \tile_x3y4_e1beg[3] ;
wire \tile_x3y4_e2beg[0] ;
wire \tile_x3y4_e2beg[1] ;
wire \tile_x3y4_e2beg[2] ;
wire \tile_x3y4_e2beg[3] ;
wire \tile_x3y4_e2beg[4] ;
wire \tile_x3y4_e2beg[5] ;
wire \tile_x3y4_e2beg[6] ;
wire \tile_x3y4_e2beg[7] ;
wire \tile_x3y4_e2begb[0] ;
wire \tile_x3y4_e2begb[1] ;
wire \tile_x3y4_e2begb[2] ;
wire \tile_x3y4_e2begb[3] ;
wire \tile_x3y4_e2begb[4] ;
wire \tile_x3y4_e2begb[5] ;
wire \tile_x3y4_e2begb[6] ;
wire \tile_x3y4_e2begb[7] ;
wire \tile_x3y4_e6beg[0] ;
wire \tile_x3y4_e6beg[10] ;
wire \tile_x3y4_e6beg[11] ;
wire \tile_x3y4_e6beg[1] ;
wire \tile_x3y4_e6beg[2] ;
wire \tile_x3y4_e6beg[3] ;
wire \tile_x3y4_e6beg[4] ;
wire \tile_x3y4_e6beg[5] ;
wire \tile_x3y4_e6beg[6] ;
wire \tile_x3y4_e6beg[7] ;
wire \tile_x3y4_e6beg[8] ;
wire \tile_x3y4_e6beg[9] ;
wire \tile_x3y4_ee4beg[0] ;
wire \tile_x3y4_ee4beg[10] ;
wire \tile_x3y4_ee4beg[11] ;
wire \tile_x3y4_ee4beg[12] ;
wire \tile_x3y4_ee4beg[13] ;
wire \tile_x3y4_ee4beg[14] ;
wire \tile_x3y4_ee4beg[15] ;
wire \tile_x3y4_ee4beg[1] ;
wire \tile_x3y4_ee4beg[2] ;
wire \tile_x3y4_ee4beg[3] ;
wire \tile_x3y4_ee4beg[4] ;
wire \tile_x3y4_ee4beg[5] ;
wire \tile_x3y4_ee4beg[6] ;
wire \tile_x3y4_ee4beg[7] ;
wire \tile_x3y4_ee4beg[8] ;
wire \tile_x3y4_ee4beg[9] ;
wire \tile_x3y4_framedata_o[0] ;
wire \tile_x3y4_framedata_o[10] ;
wire \tile_x3y4_framedata_o[11] ;
wire \tile_x3y4_framedata_o[12] ;
wire \tile_x3y4_framedata_o[13] ;
wire \tile_x3y4_framedata_o[14] ;
wire \tile_x3y4_framedata_o[15] ;
wire \tile_x3y4_framedata_o[16] ;
wire \tile_x3y4_framedata_o[17] ;
wire \tile_x3y4_framedata_o[18] ;
wire \tile_x3y4_framedata_o[19] ;
wire \tile_x3y4_framedata_o[1] ;
wire \tile_x3y4_framedata_o[20] ;
wire \tile_x3y4_framedata_o[21] ;
wire \tile_x3y4_framedata_o[22] ;
wire \tile_x3y4_framedata_o[23] ;
wire \tile_x3y4_framedata_o[24] ;
wire \tile_x3y4_framedata_o[25] ;
wire \tile_x3y4_framedata_o[26] ;
wire \tile_x3y4_framedata_o[27] ;
wire \tile_x3y4_framedata_o[28] ;
wire \tile_x3y4_framedata_o[29] ;
wire \tile_x3y4_framedata_o[2] ;
wire \tile_x3y4_framedata_o[30] ;
wire \tile_x3y4_framedata_o[31] ;
wire \tile_x3y4_framedata_o[3] ;
wire \tile_x3y4_framedata_o[4] ;
wire \tile_x3y4_framedata_o[5] ;
wire \tile_x3y4_framedata_o[6] ;
wire \tile_x3y4_framedata_o[7] ;
wire \tile_x3y4_framedata_o[8] ;
wire \tile_x3y4_framedata_o[9] ;
wire \tile_x3y4_framestrobe_o[0] ;
wire \tile_x3y4_framestrobe_o[10] ;
wire \tile_x3y4_framestrobe_o[11] ;
wire \tile_x3y4_framestrobe_o[12] ;
wire \tile_x3y4_framestrobe_o[13] ;
wire \tile_x3y4_framestrobe_o[14] ;
wire \tile_x3y4_framestrobe_o[15] ;
wire \tile_x3y4_framestrobe_o[16] ;
wire \tile_x3y4_framestrobe_o[17] ;
wire \tile_x3y4_framestrobe_o[18] ;
wire \tile_x3y4_framestrobe_o[19] ;
wire \tile_x3y4_framestrobe_o[1] ;
wire \tile_x3y4_framestrobe_o[2] ;
wire \tile_x3y4_framestrobe_o[3] ;
wire \tile_x3y4_framestrobe_o[4] ;
wire \tile_x3y4_framestrobe_o[5] ;
wire \tile_x3y4_framestrobe_o[6] ;
wire \tile_x3y4_framestrobe_o[7] ;
wire \tile_x3y4_framestrobe_o[8] ;
wire \tile_x3y4_framestrobe_o[9] ;
input tile_x3y4_opa_i0;
input tile_x3y4_opa_i1;
input tile_x3y4_opa_i2;
input tile_x3y4_opa_i3;
input tile_x3y4_opb_i0;
input tile_x3y4_opb_i1;
input tile_x3y4_opb_i2;
input tile_x3y4_opb_i3;
output tile_x3y4_res0_o0;
output tile_x3y4_res0_o1;
output tile_x3y4_res0_o2;
output tile_x3y4_res0_o3;
output tile_x3y4_res1_o0;
output tile_x3y4_res1_o1;
output tile_x3y4_res1_o2;
output tile_x3y4_res1_o3;
output tile_x3y4_res2_o0;
output tile_x3y4_res2_o1;
output tile_x3y4_res2_o2;
output tile_x3y4_res2_o3;
wire tile_x3y4_userclko;
wire \tile_x3y5_e1beg[0] ;
wire \tile_x3y5_e1beg[1] ;
wire \tile_x3y5_e1beg[2] ;
wire \tile_x3y5_e1beg[3] ;
wire \tile_x3y5_e2beg[0] ;
wire \tile_x3y5_e2beg[1] ;
wire \tile_x3y5_e2beg[2] ;
wire \tile_x3y5_e2beg[3] ;
wire \tile_x3y5_e2beg[4] ;
wire \tile_x3y5_e2beg[5] ;
wire \tile_x3y5_e2beg[6] ;
wire \tile_x3y5_e2beg[7] ;
wire \tile_x3y5_e2begb[0] ;
wire \tile_x3y5_e2begb[1] ;
wire \tile_x3y5_e2begb[2] ;
wire \tile_x3y5_e2begb[3] ;
wire \tile_x3y5_e2begb[4] ;
wire \tile_x3y5_e2begb[5] ;
wire \tile_x3y5_e2begb[6] ;
wire \tile_x3y5_e2begb[7] ;
wire \tile_x3y5_e6beg[0] ;
wire \tile_x3y5_e6beg[10] ;
wire \tile_x3y5_e6beg[11] ;
wire \tile_x3y5_e6beg[1] ;
wire \tile_x3y5_e6beg[2] ;
wire \tile_x3y5_e6beg[3] ;
wire \tile_x3y5_e6beg[4] ;
wire \tile_x3y5_e6beg[5] ;
wire \tile_x3y5_e6beg[6] ;
wire \tile_x3y5_e6beg[7] ;
wire \tile_x3y5_e6beg[8] ;
wire \tile_x3y5_e6beg[9] ;
wire \tile_x3y5_ee4beg[0] ;
wire \tile_x3y5_ee4beg[10] ;
wire \tile_x3y5_ee4beg[11] ;
wire \tile_x3y5_ee4beg[12] ;
wire \tile_x3y5_ee4beg[13] ;
wire \tile_x3y5_ee4beg[14] ;
wire \tile_x3y5_ee4beg[15] ;
wire \tile_x3y5_ee4beg[1] ;
wire \tile_x3y5_ee4beg[2] ;
wire \tile_x3y5_ee4beg[3] ;
wire \tile_x3y5_ee4beg[4] ;
wire \tile_x3y5_ee4beg[5] ;
wire \tile_x3y5_ee4beg[6] ;
wire \tile_x3y5_ee4beg[7] ;
wire \tile_x3y5_ee4beg[8] ;
wire \tile_x3y5_ee4beg[9] ;
wire \tile_x3y5_framedata_o[0] ;
wire \tile_x3y5_framedata_o[10] ;
wire \tile_x3y5_framedata_o[11] ;
wire \tile_x3y5_framedata_o[12] ;
wire \tile_x3y5_framedata_o[13] ;
wire \tile_x3y5_framedata_o[14] ;
wire \tile_x3y5_framedata_o[15] ;
wire \tile_x3y5_framedata_o[16] ;
wire \tile_x3y5_framedata_o[17] ;
wire \tile_x3y5_framedata_o[18] ;
wire \tile_x3y5_framedata_o[19] ;
wire \tile_x3y5_framedata_o[1] ;
wire \tile_x3y5_framedata_o[20] ;
wire \tile_x3y5_framedata_o[21] ;
wire \tile_x3y5_framedata_o[22] ;
wire \tile_x3y5_framedata_o[23] ;
wire \tile_x3y5_framedata_o[24] ;
wire \tile_x3y5_framedata_o[25] ;
wire \tile_x3y5_framedata_o[26] ;
wire \tile_x3y5_framedata_o[27] ;
wire \tile_x3y5_framedata_o[28] ;
wire \tile_x3y5_framedata_o[29] ;
wire \tile_x3y5_framedata_o[2] ;
wire \tile_x3y5_framedata_o[30] ;
wire \tile_x3y5_framedata_o[31] ;
wire \tile_x3y5_framedata_o[3] ;
wire \tile_x3y5_framedata_o[4] ;
wire \tile_x3y5_framedata_o[5] ;
wire \tile_x3y5_framedata_o[6] ;
wire \tile_x3y5_framedata_o[7] ;
wire \tile_x3y5_framedata_o[8] ;
wire \tile_x3y5_framedata_o[9] ;
wire \tile_x3y5_framestrobe_o[0] ;
wire \tile_x3y5_framestrobe_o[10] ;
wire \tile_x3y5_framestrobe_o[11] ;
wire \tile_x3y5_framestrobe_o[12] ;
wire \tile_x3y5_framestrobe_o[13] ;
wire \tile_x3y5_framestrobe_o[14] ;
wire \tile_x3y5_framestrobe_o[15] ;
wire \tile_x3y5_framestrobe_o[16] ;
wire \tile_x3y5_framestrobe_o[17] ;
wire \tile_x3y5_framestrobe_o[18] ;
wire \tile_x3y5_framestrobe_o[19] ;
wire \tile_x3y5_framestrobe_o[1] ;
wire \tile_x3y5_framestrobe_o[2] ;
wire \tile_x3y5_framestrobe_o[3] ;
wire \tile_x3y5_framestrobe_o[4] ;
wire \tile_x3y5_framestrobe_o[5] ;
wire \tile_x3y5_framestrobe_o[6] ;
wire \tile_x3y5_framestrobe_o[7] ;
wire \tile_x3y5_framestrobe_o[8] ;
wire \tile_x3y5_framestrobe_o[9] ;
input tile_x3y5_opa_i0;
input tile_x3y5_opa_i1;
input tile_x3y5_opa_i2;
input tile_x3y5_opa_i3;
input tile_x3y5_opb_i0;
input tile_x3y5_opb_i1;
input tile_x3y5_opb_i2;
input tile_x3y5_opb_i3;
output tile_x3y5_res0_o0;
output tile_x3y5_res0_o1;
output tile_x3y5_res0_o2;
output tile_x3y5_res0_o3;
output tile_x3y5_res1_o0;
output tile_x3y5_res1_o1;
output tile_x3y5_res1_o2;
output tile_x3y5_res1_o3;
output tile_x3y5_res2_o0;
output tile_x3y5_res2_o1;
output tile_x3y5_res2_o2;
output tile_x3y5_res2_o3;
wire tile_x3y5_userclko;
wire \tile_x3y6_e1beg[0] ;
wire \tile_x3y6_e1beg[1] ;
wire \tile_x3y6_e1beg[2] ;
wire \tile_x3y6_e1beg[3] ;
wire \tile_x3y6_e2beg[0] ;
wire \tile_x3y6_e2beg[1] ;
wire \tile_x3y6_e2beg[2] ;
wire \tile_x3y6_e2beg[3] ;
wire \tile_x3y6_e2beg[4] ;
wire \tile_x3y6_e2beg[5] ;
wire \tile_x3y6_e2beg[6] ;
wire \tile_x3y6_e2beg[7] ;
wire \tile_x3y6_e2begb[0] ;
wire \tile_x3y6_e2begb[1] ;
wire \tile_x3y6_e2begb[2] ;
wire \tile_x3y6_e2begb[3] ;
wire \tile_x3y6_e2begb[4] ;
wire \tile_x3y6_e2begb[5] ;
wire \tile_x3y6_e2begb[6] ;
wire \tile_x3y6_e2begb[7] ;
wire \tile_x3y6_e6beg[0] ;
wire \tile_x3y6_e6beg[10] ;
wire \tile_x3y6_e6beg[11] ;
wire \tile_x3y6_e6beg[1] ;
wire \tile_x3y6_e6beg[2] ;
wire \tile_x3y6_e6beg[3] ;
wire \tile_x3y6_e6beg[4] ;
wire \tile_x3y6_e6beg[5] ;
wire \tile_x3y6_e6beg[6] ;
wire \tile_x3y6_e6beg[7] ;
wire \tile_x3y6_e6beg[8] ;
wire \tile_x3y6_e6beg[9] ;
wire \tile_x3y6_ee4beg[0] ;
wire \tile_x3y6_ee4beg[10] ;
wire \tile_x3y6_ee4beg[11] ;
wire \tile_x3y6_ee4beg[12] ;
wire \tile_x3y6_ee4beg[13] ;
wire \tile_x3y6_ee4beg[14] ;
wire \tile_x3y6_ee4beg[15] ;
wire \tile_x3y6_ee4beg[1] ;
wire \tile_x3y6_ee4beg[2] ;
wire \tile_x3y6_ee4beg[3] ;
wire \tile_x3y6_ee4beg[4] ;
wire \tile_x3y6_ee4beg[5] ;
wire \tile_x3y6_ee4beg[6] ;
wire \tile_x3y6_ee4beg[7] ;
wire \tile_x3y6_ee4beg[8] ;
wire \tile_x3y6_ee4beg[9] ;
wire \tile_x3y6_framedata_o[0] ;
wire \tile_x3y6_framedata_o[10] ;
wire \tile_x3y6_framedata_o[11] ;
wire \tile_x3y6_framedata_o[12] ;
wire \tile_x3y6_framedata_o[13] ;
wire \tile_x3y6_framedata_o[14] ;
wire \tile_x3y6_framedata_o[15] ;
wire \tile_x3y6_framedata_o[16] ;
wire \tile_x3y6_framedata_o[17] ;
wire \tile_x3y6_framedata_o[18] ;
wire \tile_x3y6_framedata_o[19] ;
wire \tile_x3y6_framedata_o[1] ;
wire \tile_x3y6_framedata_o[20] ;
wire \tile_x3y6_framedata_o[21] ;
wire \tile_x3y6_framedata_o[22] ;
wire \tile_x3y6_framedata_o[23] ;
wire \tile_x3y6_framedata_o[24] ;
wire \tile_x3y6_framedata_o[25] ;
wire \tile_x3y6_framedata_o[26] ;
wire \tile_x3y6_framedata_o[27] ;
wire \tile_x3y6_framedata_o[28] ;
wire \tile_x3y6_framedata_o[29] ;
wire \tile_x3y6_framedata_o[2] ;
wire \tile_x3y6_framedata_o[30] ;
wire \tile_x3y6_framedata_o[31] ;
wire \tile_x3y6_framedata_o[3] ;
wire \tile_x3y6_framedata_o[4] ;
wire \tile_x3y6_framedata_o[5] ;
wire \tile_x3y6_framedata_o[6] ;
wire \tile_x3y6_framedata_o[7] ;
wire \tile_x3y6_framedata_o[8] ;
wire \tile_x3y6_framedata_o[9] ;
wire \tile_x3y6_framestrobe_o[0] ;
wire \tile_x3y6_framestrobe_o[10] ;
wire \tile_x3y6_framestrobe_o[11] ;
wire \tile_x3y6_framestrobe_o[12] ;
wire \tile_x3y6_framestrobe_o[13] ;
wire \tile_x3y6_framestrobe_o[14] ;
wire \tile_x3y6_framestrobe_o[15] ;
wire \tile_x3y6_framestrobe_o[16] ;
wire \tile_x3y6_framestrobe_o[17] ;
wire \tile_x3y6_framestrobe_o[18] ;
wire \tile_x3y6_framestrobe_o[19] ;
wire \tile_x3y6_framestrobe_o[1] ;
wire \tile_x3y6_framestrobe_o[2] ;
wire \tile_x3y6_framestrobe_o[3] ;
wire \tile_x3y6_framestrobe_o[4] ;
wire \tile_x3y6_framestrobe_o[5] ;
wire \tile_x3y6_framestrobe_o[6] ;
wire \tile_x3y6_framestrobe_o[7] ;
wire \tile_x3y6_framestrobe_o[8] ;
wire \tile_x3y6_framestrobe_o[9] ;
input tile_x3y6_opa_i0;
input tile_x3y6_opa_i1;
input tile_x3y6_opa_i2;
input tile_x3y6_opa_i3;
input tile_x3y6_opb_i0;
input tile_x3y6_opb_i1;
input tile_x3y6_opb_i2;
input tile_x3y6_opb_i3;
output tile_x3y6_res0_o0;
output tile_x3y6_res0_o1;
output tile_x3y6_res0_o2;
output tile_x3y6_res0_o3;
output tile_x3y6_res1_o0;
output tile_x3y6_res1_o1;
output tile_x3y6_res1_o2;
output tile_x3y6_res1_o3;
output tile_x3y6_res2_o0;
output tile_x3y6_res2_o1;
output tile_x3y6_res2_o2;
output tile_x3y6_res2_o3;
wire tile_x3y6_userclko;
wire \tile_x3y7_e1beg[0] ;
wire \tile_x3y7_e1beg[1] ;
wire \tile_x3y7_e1beg[2] ;
wire \tile_x3y7_e1beg[3] ;
wire \tile_x3y7_e2beg[0] ;
wire \tile_x3y7_e2beg[1] ;
wire \tile_x3y7_e2beg[2] ;
wire \tile_x3y7_e2beg[3] ;
wire \tile_x3y7_e2beg[4] ;
wire \tile_x3y7_e2beg[5] ;
wire \tile_x3y7_e2beg[6] ;
wire \tile_x3y7_e2beg[7] ;
wire \tile_x3y7_e2begb[0] ;
wire \tile_x3y7_e2begb[1] ;
wire \tile_x3y7_e2begb[2] ;
wire \tile_x3y7_e2begb[3] ;
wire \tile_x3y7_e2begb[4] ;
wire \tile_x3y7_e2begb[5] ;
wire \tile_x3y7_e2begb[6] ;
wire \tile_x3y7_e2begb[7] ;
wire \tile_x3y7_e6beg[0] ;
wire \tile_x3y7_e6beg[10] ;
wire \tile_x3y7_e6beg[11] ;
wire \tile_x3y7_e6beg[1] ;
wire \tile_x3y7_e6beg[2] ;
wire \tile_x3y7_e6beg[3] ;
wire \tile_x3y7_e6beg[4] ;
wire \tile_x3y7_e6beg[5] ;
wire \tile_x3y7_e6beg[6] ;
wire \tile_x3y7_e6beg[7] ;
wire \tile_x3y7_e6beg[8] ;
wire \tile_x3y7_e6beg[9] ;
wire \tile_x3y7_ee4beg[0] ;
wire \tile_x3y7_ee4beg[10] ;
wire \tile_x3y7_ee4beg[11] ;
wire \tile_x3y7_ee4beg[12] ;
wire \tile_x3y7_ee4beg[13] ;
wire \tile_x3y7_ee4beg[14] ;
wire \tile_x3y7_ee4beg[15] ;
wire \tile_x3y7_ee4beg[1] ;
wire \tile_x3y7_ee4beg[2] ;
wire \tile_x3y7_ee4beg[3] ;
wire \tile_x3y7_ee4beg[4] ;
wire \tile_x3y7_ee4beg[5] ;
wire \tile_x3y7_ee4beg[6] ;
wire \tile_x3y7_ee4beg[7] ;
wire \tile_x3y7_ee4beg[8] ;
wire \tile_x3y7_ee4beg[9] ;
wire \tile_x3y7_framedata_o[0] ;
wire \tile_x3y7_framedata_o[10] ;
wire \tile_x3y7_framedata_o[11] ;
wire \tile_x3y7_framedata_o[12] ;
wire \tile_x3y7_framedata_o[13] ;
wire \tile_x3y7_framedata_o[14] ;
wire \tile_x3y7_framedata_o[15] ;
wire \tile_x3y7_framedata_o[16] ;
wire \tile_x3y7_framedata_o[17] ;
wire \tile_x3y7_framedata_o[18] ;
wire \tile_x3y7_framedata_o[19] ;
wire \tile_x3y7_framedata_o[1] ;
wire \tile_x3y7_framedata_o[20] ;
wire \tile_x3y7_framedata_o[21] ;
wire \tile_x3y7_framedata_o[22] ;
wire \tile_x3y7_framedata_o[23] ;
wire \tile_x3y7_framedata_o[24] ;
wire \tile_x3y7_framedata_o[25] ;
wire \tile_x3y7_framedata_o[26] ;
wire \tile_x3y7_framedata_o[27] ;
wire \tile_x3y7_framedata_o[28] ;
wire \tile_x3y7_framedata_o[29] ;
wire \tile_x3y7_framedata_o[2] ;
wire \tile_x3y7_framedata_o[30] ;
wire \tile_x3y7_framedata_o[31] ;
wire \tile_x3y7_framedata_o[3] ;
wire \tile_x3y7_framedata_o[4] ;
wire \tile_x3y7_framedata_o[5] ;
wire \tile_x3y7_framedata_o[6] ;
wire \tile_x3y7_framedata_o[7] ;
wire \tile_x3y7_framedata_o[8] ;
wire \tile_x3y7_framedata_o[9] ;
wire \tile_x3y7_framestrobe_o[0] ;
wire \tile_x3y7_framestrobe_o[10] ;
wire \tile_x3y7_framestrobe_o[11] ;
wire \tile_x3y7_framestrobe_o[12] ;
wire \tile_x3y7_framestrobe_o[13] ;
wire \tile_x3y7_framestrobe_o[14] ;
wire \tile_x3y7_framestrobe_o[15] ;
wire \tile_x3y7_framestrobe_o[16] ;
wire \tile_x3y7_framestrobe_o[17] ;
wire \tile_x3y7_framestrobe_o[18] ;
wire \tile_x3y7_framestrobe_o[19] ;
wire \tile_x3y7_framestrobe_o[1] ;
wire \tile_x3y7_framestrobe_o[2] ;
wire \tile_x3y7_framestrobe_o[3] ;
wire \tile_x3y7_framestrobe_o[4] ;
wire \tile_x3y7_framestrobe_o[5] ;
wire \tile_x3y7_framestrobe_o[6] ;
wire \tile_x3y7_framestrobe_o[7] ;
wire \tile_x3y7_framestrobe_o[8] ;
wire \tile_x3y7_framestrobe_o[9] ;
input tile_x3y7_opa_i0;
input tile_x3y7_opa_i1;
input tile_x3y7_opa_i2;
input tile_x3y7_opa_i3;
input tile_x3y7_opb_i0;
input tile_x3y7_opb_i1;
input tile_x3y7_opb_i2;
input tile_x3y7_opb_i3;
output tile_x3y7_res0_o0;
output tile_x3y7_res0_o1;
output tile_x3y7_res0_o2;
output tile_x3y7_res0_o3;
output tile_x3y7_res1_o0;
output tile_x3y7_res1_o1;
output tile_x3y7_res1_o2;
output tile_x3y7_res1_o3;
output tile_x3y7_res2_o0;
output tile_x3y7_res2_o1;
output tile_x3y7_res2_o2;
output tile_x3y7_res2_o3;
wire tile_x3y7_userclko;
wire \tile_x3y8_e1beg[0] ;
wire \tile_x3y8_e1beg[1] ;
wire \tile_x3y8_e1beg[2] ;
wire \tile_x3y8_e1beg[3] ;
wire \tile_x3y8_e2beg[0] ;
wire \tile_x3y8_e2beg[1] ;
wire \tile_x3y8_e2beg[2] ;
wire \tile_x3y8_e2beg[3] ;
wire \tile_x3y8_e2beg[4] ;
wire \tile_x3y8_e2beg[5] ;
wire \tile_x3y8_e2beg[6] ;
wire \tile_x3y8_e2beg[7] ;
wire \tile_x3y8_e2begb[0] ;
wire \tile_x3y8_e2begb[1] ;
wire \tile_x3y8_e2begb[2] ;
wire \tile_x3y8_e2begb[3] ;
wire \tile_x3y8_e2begb[4] ;
wire \tile_x3y8_e2begb[5] ;
wire \tile_x3y8_e2begb[6] ;
wire \tile_x3y8_e2begb[7] ;
wire \tile_x3y8_e6beg[0] ;
wire \tile_x3y8_e6beg[10] ;
wire \tile_x3y8_e6beg[11] ;
wire \tile_x3y8_e6beg[1] ;
wire \tile_x3y8_e6beg[2] ;
wire \tile_x3y8_e6beg[3] ;
wire \tile_x3y8_e6beg[4] ;
wire \tile_x3y8_e6beg[5] ;
wire \tile_x3y8_e6beg[6] ;
wire \tile_x3y8_e6beg[7] ;
wire \tile_x3y8_e6beg[8] ;
wire \tile_x3y8_e6beg[9] ;
wire \tile_x3y8_ee4beg[0] ;
wire \tile_x3y8_ee4beg[10] ;
wire \tile_x3y8_ee4beg[11] ;
wire \tile_x3y8_ee4beg[12] ;
wire \tile_x3y8_ee4beg[13] ;
wire \tile_x3y8_ee4beg[14] ;
wire \tile_x3y8_ee4beg[15] ;
wire \tile_x3y8_ee4beg[1] ;
wire \tile_x3y8_ee4beg[2] ;
wire \tile_x3y8_ee4beg[3] ;
wire \tile_x3y8_ee4beg[4] ;
wire \tile_x3y8_ee4beg[5] ;
wire \tile_x3y8_ee4beg[6] ;
wire \tile_x3y8_ee4beg[7] ;
wire \tile_x3y8_ee4beg[8] ;
wire \tile_x3y8_ee4beg[9] ;
wire \tile_x3y8_framedata_o[0] ;
wire \tile_x3y8_framedata_o[10] ;
wire \tile_x3y8_framedata_o[11] ;
wire \tile_x3y8_framedata_o[12] ;
wire \tile_x3y8_framedata_o[13] ;
wire \tile_x3y8_framedata_o[14] ;
wire \tile_x3y8_framedata_o[15] ;
wire \tile_x3y8_framedata_o[16] ;
wire \tile_x3y8_framedata_o[17] ;
wire \tile_x3y8_framedata_o[18] ;
wire \tile_x3y8_framedata_o[19] ;
wire \tile_x3y8_framedata_o[1] ;
wire \tile_x3y8_framedata_o[20] ;
wire \tile_x3y8_framedata_o[21] ;
wire \tile_x3y8_framedata_o[22] ;
wire \tile_x3y8_framedata_o[23] ;
wire \tile_x3y8_framedata_o[24] ;
wire \tile_x3y8_framedata_o[25] ;
wire \tile_x3y8_framedata_o[26] ;
wire \tile_x3y8_framedata_o[27] ;
wire \tile_x3y8_framedata_o[28] ;
wire \tile_x3y8_framedata_o[29] ;
wire \tile_x3y8_framedata_o[2] ;
wire \tile_x3y8_framedata_o[30] ;
wire \tile_x3y8_framedata_o[31] ;
wire \tile_x3y8_framedata_o[3] ;
wire \tile_x3y8_framedata_o[4] ;
wire \tile_x3y8_framedata_o[5] ;
wire \tile_x3y8_framedata_o[6] ;
wire \tile_x3y8_framedata_o[7] ;
wire \tile_x3y8_framedata_o[8] ;
wire \tile_x3y8_framedata_o[9] ;
wire \tile_x3y8_framestrobe_o[0] ;
wire \tile_x3y8_framestrobe_o[10] ;
wire \tile_x3y8_framestrobe_o[11] ;
wire \tile_x3y8_framestrobe_o[12] ;
wire \tile_x3y8_framestrobe_o[13] ;
wire \tile_x3y8_framestrobe_o[14] ;
wire \tile_x3y8_framestrobe_o[15] ;
wire \tile_x3y8_framestrobe_o[16] ;
wire \tile_x3y8_framestrobe_o[17] ;
wire \tile_x3y8_framestrobe_o[18] ;
wire \tile_x3y8_framestrobe_o[19] ;
wire \tile_x3y8_framestrobe_o[1] ;
wire \tile_x3y8_framestrobe_o[2] ;
wire \tile_x3y8_framestrobe_o[3] ;
wire \tile_x3y8_framestrobe_o[4] ;
wire \tile_x3y8_framestrobe_o[5] ;
wire \tile_x3y8_framestrobe_o[6] ;
wire \tile_x3y8_framestrobe_o[7] ;
wire \tile_x3y8_framestrobe_o[8] ;
wire \tile_x3y8_framestrobe_o[9] ;
input tile_x3y8_opa_i0;
input tile_x3y8_opa_i1;
input tile_x3y8_opa_i2;
input tile_x3y8_opa_i3;
input tile_x3y8_opb_i0;
input tile_x3y8_opb_i1;
input tile_x3y8_opb_i2;
input tile_x3y8_opb_i3;
output tile_x3y8_res0_o0;
output tile_x3y8_res0_o1;
output tile_x3y8_res0_o2;
output tile_x3y8_res0_o3;
output tile_x3y8_res1_o0;
output tile_x3y8_res1_o1;
output tile_x3y8_res1_o2;
output tile_x3y8_res1_o3;
output tile_x3y8_res2_o0;
output tile_x3y8_res2_o1;
output tile_x3y8_res2_o2;
output tile_x3y8_res2_o3;
wire tile_x3y8_userclko;
wire \tile_x3y9_e1beg[0] ;
wire \tile_x3y9_e1beg[1] ;
wire \tile_x3y9_e1beg[2] ;
wire \tile_x3y9_e1beg[3] ;
wire \tile_x3y9_e2beg[0] ;
wire \tile_x3y9_e2beg[1] ;
wire \tile_x3y9_e2beg[2] ;
wire \tile_x3y9_e2beg[3] ;
wire \tile_x3y9_e2beg[4] ;
wire \tile_x3y9_e2beg[5] ;
wire \tile_x3y9_e2beg[6] ;
wire \tile_x3y9_e2beg[7] ;
wire \tile_x3y9_e2begb[0] ;
wire \tile_x3y9_e2begb[1] ;
wire \tile_x3y9_e2begb[2] ;
wire \tile_x3y9_e2begb[3] ;
wire \tile_x3y9_e2begb[4] ;
wire \tile_x3y9_e2begb[5] ;
wire \tile_x3y9_e2begb[6] ;
wire \tile_x3y9_e2begb[7] ;
wire \tile_x3y9_e6beg[0] ;
wire \tile_x3y9_e6beg[10] ;
wire \tile_x3y9_e6beg[11] ;
wire \tile_x3y9_e6beg[1] ;
wire \tile_x3y9_e6beg[2] ;
wire \tile_x3y9_e6beg[3] ;
wire \tile_x3y9_e6beg[4] ;
wire \tile_x3y9_e6beg[5] ;
wire \tile_x3y9_e6beg[6] ;
wire \tile_x3y9_e6beg[7] ;
wire \tile_x3y9_e6beg[8] ;
wire \tile_x3y9_e6beg[9] ;
wire \tile_x3y9_ee4beg[0] ;
wire \tile_x3y9_ee4beg[10] ;
wire \tile_x3y9_ee4beg[11] ;
wire \tile_x3y9_ee4beg[12] ;
wire \tile_x3y9_ee4beg[13] ;
wire \tile_x3y9_ee4beg[14] ;
wire \tile_x3y9_ee4beg[15] ;
wire \tile_x3y9_ee4beg[1] ;
wire \tile_x3y9_ee4beg[2] ;
wire \tile_x3y9_ee4beg[3] ;
wire \tile_x3y9_ee4beg[4] ;
wire \tile_x3y9_ee4beg[5] ;
wire \tile_x3y9_ee4beg[6] ;
wire \tile_x3y9_ee4beg[7] ;
wire \tile_x3y9_ee4beg[8] ;
wire \tile_x3y9_ee4beg[9] ;
wire \tile_x3y9_framedata_o[0] ;
wire \tile_x3y9_framedata_o[10] ;
wire \tile_x3y9_framedata_o[11] ;
wire \tile_x3y9_framedata_o[12] ;
wire \tile_x3y9_framedata_o[13] ;
wire \tile_x3y9_framedata_o[14] ;
wire \tile_x3y9_framedata_o[15] ;
wire \tile_x3y9_framedata_o[16] ;
wire \tile_x3y9_framedata_o[17] ;
wire \tile_x3y9_framedata_o[18] ;
wire \tile_x3y9_framedata_o[19] ;
wire \tile_x3y9_framedata_o[1] ;
wire \tile_x3y9_framedata_o[20] ;
wire \tile_x3y9_framedata_o[21] ;
wire \tile_x3y9_framedata_o[22] ;
wire \tile_x3y9_framedata_o[23] ;
wire \tile_x3y9_framedata_o[24] ;
wire \tile_x3y9_framedata_o[25] ;
wire \tile_x3y9_framedata_o[26] ;
wire \tile_x3y9_framedata_o[27] ;
wire \tile_x3y9_framedata_o[28] ;
wire \tile_x3y9_framedata_o[29] ;
wire \tile_x3y9_framedata_o[2] ;
wire \tile_x3y9_framedata_o[30] ;
wire \tile_x3y9_framedata_o[31] ;
wire \tile_x3y9_framedata_o[3] ;
wire \tile_x3y9_framedata_o[4] ;
wire \tile_x3y9_framedata_o[5] ;
wire \tile_x3y9_framedata_o[6] ;
wire \tile_x3y9_framedata_o[7] ;
wire \tile_x3y9_framedata_o[8] ;
wire \tile_x3y9_framedata_o[9] ;
wire \tile_x3y9_framestrobe_o[0] ;
wire \tile_x3y9_framestrobe_o[10] ;
wire \tile_x3y9_framestrobe_o[11] ;
wire \tile_x3y9_framestrobe_o[12] ;
wire \tile_x3y9_framestrobe_o[13] ;
wire \tile_x3y9_framestrobe_o[14] ;
wire \tile_x3y9_framestrobe_o[15] ;
wire \tile_x3y9_framestrobe_o[16] ;
wire \tile_x3y9_framestrobe_o[17] ;
wire \tile_x3y9_framestrobe_o[18] ;
wire \tile_x3y9_framestrobe_o[19] ;
wire \tile_x3y9_framestrobe_o[1] ;
wire \tile_x3y9_framestrobe_o[2] ;
wire \tile_x3y9_framestrobe_o[3] ;
wire \tile_x3y9_framestrobe_o[4] ;
wire \tile_x3y9_framestrobe_o[5] ;
wire \tile_x3y9_framestrobe_o[6] ;
wire \tile_x3y9_framestrobe_o[7] ;
wire \tile_x3y9_framestrobe_o[8] ;
wire \tile_x3y9_framestrobe_o[9] ;
input tile_x3y9_opa_i0;
input tile_x3y9_opa_i1;
input tile_x3y9_opa_i2;
input tile_x3y9_opa_i3;
input tile_x3y9_opb_i0;
input tile_x3y9_opb_i1;
input tile_x3y9_opb_i2;
input tile_x3y9_opb_i3;
output tile_x3y9_res0_o0;
output tile_x3y9_res0_o1;
output tile_x3y9_res0_o2;
output tile_x3y9_res0_o3;
output tile_x3y9_res1_o0;
output tile_x3y9_res1_o1;
output tile_x3y9_res1_o2;
output tile_x3y9_res1_o3;
output tile_x3y9_res2_o0;
output tile_x3y9_res2_o1;
output tile_x3y9_res2_o2;
output tile_x3y9_res2_o3;
wire \tile_x3y9_s1beg[0] ;
wire \tile_x3y9_s1beg[1] ;
wire \tile_x3y9_s1beg[2] ;
wire \tile_x3y9_s1beg[3] ;
wire \tile_x3y9_s2beg[0] ;
wire \tile_x3y9_s2beg[1] ;
wire \tile_x3y9_s2beg[2] ;
wire \tile_x3y9_s2beg[3] ;
wire \tile_x3y9_s2beg[4] ;
wire \tile_x3y9_s2beg[5] ;
wire \tile_x3y9_s2beg[6] ;
wire \tile_x3y9_s2beg[7] ;
wire \tile_x3y9_s2begb[0] ;
wire \tile_x3y9_s2begb[1] ;
wire \tile_x3y9_s2begb[2] ;
wire \tile_x3y9_s2begb[3] ;
wire \tile_x3y9_s2begb[4] ;
wire \tile_x3y9_s2begb[5] ;
wire \tile_x3y9_s2begb[6] ;
wire \tile_x3y9_s2begb[7] ;
wire \tile_x3y9_s4beg[0] ;
wire \tile_x3y9_s4beg[10] ;
wire \tile_x3y9_s4beg[11] ;
wire \tile_x3y9_s4beg[12] ;
wire \tile_x3y9_s4beg[13] ;
wire \tile_x3y9_s4beg[14] ;
wire \tile_x3y9_s4beg[15] ;
wire \tile_x3y9_s4beg[1] ;
wire \tile_x3y9_s4beg[2] ;
wire \tile_x3y9_s4beg[3] ;
wire \tile_x3y9_s4beg[4] ;
wire \tile_x3y9_s4beg[5] ;
wire \tile_x3y9_s4beg[6] ;
wire \tile_x3y9_s4beg[7] ;
wire \tile_x3y9_s4beg[8] ;
wire \tile_x3y9_s4beg[9] ;
wire \tile_x3y9_ss4beg[0] ;
wire \tile_x3y9_ss4beg[10] ;
wire \tile_x3y9_ss4beg[11] ;
wire \tile_x3y9_ss4beg[12] ;
wire \tile_x3y9_ss4beg[13] ;
wire \tile_x3y9_ss4beg[14] ;
wire \tile_x3y9_ss4beg[15] ;
wire \tile_x3y9_ss4beg[1] ;
wire \tile_x3y9_ss4beg[2] ;
wire \tile_x3y9_ss4beg[3] ;
wire \tile_x3y9_ss4beg[4] ;
wire \tile_x3y9_ss4beg[5] ;
wire \tile_x3y9_ss4beg[6] ;
wire \tile_x3y9_ss4beg[7] ;
wire \tile_x3y9_ss4beg[8] ;
wire \tile_x3y9_ss4beg[9] ;
wire tile_x3y9_userclko;
wire \tile_x4y0_framestrobe_o[0] ;
wire \tile_x4y0_framestrobe_o[10] ;
wire \tile_x4y0_framestrobe_o[11] ;
wire \tile_x4y0_framestrobe_o[12] ;
wire \tile_x4y0_framestrobe_o[13] ;
wire \tile_x4y0_framestrobe_o[14] ;
wire \tile_x4y0_framestrobe_o[15] ;
wire \tile_x4y0_framestrobe_o[16] ;
wire \tile_x4y0_framestrobe_o[17] ;
wire \tile_x4y0_framestrobe_o[18] ;
wire \tile_x4y0_framestrobe_o[19] ;
wire \tile_x4y0_framestrobe_o[1] ;
wire \tile_x4y0_framestrobe_o[2] ;
wire \tile_x4y0_framestrobe_o[3] ;
wire \tile_x4y0_framestrobe_o[4] ;
wire \tile_x4y0_framestrobe_o[5] ;
wire \tile_x4y0_framestrobe_o[6] ;
wire \tile_x4y0_framestrobe_o[7] ;
wire \tile_x4y0_framestrobe_o[8] ;
wire \tile_x4y0_framestrobe_o[9] ;
wire \tile_x4y0_s1beg[0] ;
wire \tile_x4y0_s1beg[1] ;
wire \tile_x4y0_s1beg[2] ;
wire \tile_x4y0_s1beg[3] ;
wire \tile_x4y0_s2beg[0] ;
wire \tile_x4y0_s2beg[1] ;
wire \tile_x4y0_s2beg[2] ;
wire \tile_x4y0_s2beg[3] ;
wire \tile_x4y0_s2beg[4] ;
wire \tile_x4y0_s2beg[5] ;
wire \tile_x4y0_s2beg[6] ;
wire \tile_x4y0_s2beg[7] ;
wire \tile_x4y0_s2begb[0] ;
wire \tile_x4y0_s2begb[1] ;
wire \tile_x4y0_s2begb[2] ;
wire \tile_x4y0_s2begb[3] ;
wire \tile_x4y0_s2begb[4] ;
wire \tile_x4y0_s2begb[5] ;
wire \tile_x4y0_s2begb[6] ;
wire \tile_x4y0_s2begb[7] ;
wire \tile_x4y0_s4beg[0] ;
wire \tile_x4y0_s4beg[10] ;
wire \tile_x4y0_s4beg[11] ;
wire \tile_x4y0_s4beg[12] ;
wire \tile_x4y0_s4beg[13] ;
wire \tile_x4y0_s4beg[14] ;
wire \tile_x4y0_s4beg[15] ;
wire \tile_x4y0_s4beg[1] ;
wire \tile_x4y0_s4beg[2] ;
wire \tile_x4y0_s4beg[3] ;
wire \tile_x4y0_s4beg[4] ;
wire \tile_x4y0_s4beg[5] ;
wire \tile_x4y0_s4beg[6] ;
wire \tile_x4y0_s4beg[7] ;
wire \tile_x4y0_s4beg[8] ;
wire \tile_x4y0_s4beg[9] ;
wire \tile_x4y0_ss4beg[0] ;
wire \tile_x4y0_ss4beg[10] ;
wire \tile_x4y0_ss4beg[11] ;
wire \tile_x4y0_ss4beg[12] ;
wire \tile_x4y0_ss4beg[13] ;
wire \tile_x4y0_ss4beg[14] ;
wire \tile_x4y0_ss4beg[15] ;
wire \tile_x4y0_ss4beg[1] ;
wire \tile_x4y0_ss4beg[2] ;
wire \tile_x4y0_ss4beg[3] ;
wire \tile_x4y0_ss4beg[4] ;
wire \tile_x4y0_ss4beg[5] ;
wire \tile_x4y0_ss4beg[6] ;
wire \tile_x4y0_ss4beg[7] ;
wire \tile_x4y0_ss4beg[8] ;
wire \tile_x4y0_ss4beg[9] ;
wire tile_x4y0_userclko;
wire \tile_x4y10_e1beg[0] ;
wire \tile_x4y10_e1beg[1] ;
wire \tile_x4y10_e1beg[2] ;
wire \tile_x4y10_e1beg[3] ;
wire \tile_x4y10_e2beg[0] ;
wire \tile_x4y10_e2beg[1] ;
wire \tile_x4y10_e2beg[2] ;
wire \tile_x4y10_e2beg[3] ;
wire \tile_x4y10_e2beg[4] ;
wire \tile_x4y10_e2beg[5] ;
wire \tile_x4y10_e2beg[6] ;
wire \tile_x4y10_e2beg[7] ;
wire \tile_x4y10_e2begb[0] ;
wire \tile_x4y10_e2begb[1] ;
wire \tile_x4y10_e2begb[2] ;
wire \tile_x4y10_e2begb[3] ;
wire \tile_x4y10_e2begb[4] ;
wire \tile_x4y10_e2begb[5] ;
wire \tile_x4y10_e2begb[6] ;
wire \tile_x4y10_e2begb[7] ;
wire \tile_x4y10_e6beg[0] ;
wire \tile_x4y10_e6beg[10] ;
wire \tile_x4y10_e6beg[11] ;
wire \tile_x4y10_e6beg[1] ;
wire \tile_x4y10_e6beg[2] ;
wire \tile_x4y10_e6beg[3] ;
wire \tile_x4y10_e6beg[4] ;
wire \tile_x4y10_e6beg[5] ;
wire \tile_x4y10_e6beg[6] ;
wire \tile_x4y10_e6beg[7] ;
wire \tile_x4y10_e6beg[8] ;
wire \tile_x4y10_e6beg[9] ;
wire \tile_x4y10_ee4beg[0] ;
wire \tile_x4y10_ee4beg[10] ;
wire \tile_x4y10_ee4beg[11] ;
wire \tile_x4y10_ee4beg[12] ;
wire \tile_x4y10_ee4beg[13] ;
wire \tile_x4y10_ee4beg[14] ;
wire \tile_x4y10_ee4beg[15] ;
wire \tile_x4y10_ee4beg[1] ;
wire \tile_x4y10_ee4beg[2] ;
wire \tile_x4y10_ee4beg[3] ;
wire \tile_x4y10_ee4beg[4] ;
wire \tile_x4y10_ee4beg[5] ;
wire \tile_x4y10_ee4beg[6] ;
wire \tile_x4y10_ee4beg[7] ;
wire \tile_x4y10_ee4beg[8] ;
wire \tile_x4y10_ee4beg[9] ;
wire \tile_x4y10_framedata_o[0] ;
wire \tile_x4y10_framedata_o[10] ;
wire \tile_x4y10_framedata_o[11] ;
wire \tile_x4y10_framedata_o[12] ;
wire \tile_x4y10_framedata_o[13] ;
wire \tile_x4y10_framedata_o[14] ;
wire \tile_x4y10_framedata_o[15] ;
wire \tile_x4y10_framedata_o[16] ;
wire \tile_x4y10_framedata_o[17] ;
wire \tile_x4y10_framedata_o[18] ;
wire \tile_x4y10_framedata_o[19] ;
wire \tile_x4y10_framedata_o[1] ;
wire \tile_x4y10_framedata_o[20] ;
wire \tile_x4y10_framedata_o[21] ;
wire \tile_x4y10_framedata_o[22] ;
wire \tile_x4y10_framedata_o[23] ;
wire \tile_x4y10_framedata_o[24] ;
wire \tile_x4y10_framedata_o[25] ;
wire \tile_x4y10_framedata_o[26] ;
wire \tile_x4y10_framedata_o[27] ;
wire \tile_x4y10_framedata_o[28] ;
wire \tile_x4y10_framedata_o[29] ;
wire \tile_x4y10_framedata_o[2] ;
wire \tile_x4y10_framedata_o[30] ;
wire \tile_x4y10_framedata_o[31] ;
wire \tile_x4y10_framedata_o[3] ;
wire \tile_x4y10_framedata_o[4] ;
wire \tile_x4y10_framedata_o[5] ;
wire \tile_x4y10_framedata_o[6] ;
wire \tile_x4y10_framedata_o[7] ;
wire \tile_x4y10_framedata_o[8] ;
wire \tile_x4y10_framedata_o[9] ;
wire \tile_x4y10_s1beg[0] ;
wire \tile_x4y10_s1beg[1] ;
wire \tile_x4y10_s1beg[2] ;
wire \tile_x4y10_s1beg[3] ;
wire \tile_x4y10_s2beg[0] ;
wire \tile_x4y10_s2beg[1] ;
wire \tile_x4y10_s2beg[2] ;
wire \tile_x4y10_s2beg[3] ;
wire \tile_x4y10_s2beg[4] ;
wire \tile_x4y10_s2beg[5] ;
wire \tile_x4y10_s2beg[6] ;
wire \tile_x4y10_s2beg[7] ;
wire \tile_x4y10_s2begb[0] ;
wire \tile_x4y10_s2begb[1] ;
wire \tile_x4y10_s2begb[2] ;
wire \tile_x4y10_s2begb[3] ;
wire \tile_x4y10_s2begb[4] ;
wire \tile_x4y10_s2begb[5] ;
wire \tile_x4y10_s2begb[6] ;
wire \tile_x4y10_s2begb[7] ;
wire \tile_x4y10_s4beg[0] ;
wire \tile_x4y10_s4beg[10] ;
wire \tile_x4y10_s4beg[11] ;
wire \tile_x4y10_s4beg[12] ;
wire \tile_x4y10_s4beg[13] ;
wire \tile_x4y10_s4beg[14] ;
wire \tile_x4y10_s4beg[15] ;
wire \tile_x4y10_s4beg[1] ;
wire \tile_x4y10_s4beg[2] ;
wire \tile_x4y10_s4beg[3] ;
wire \tile_x4y10_s4beg[4] ;
wire \tile_x4y10_s4beg[5] ;
wire \tile_x4y10_s4beg[6] ;
wire \tile_x4y10_s4beg[7] ;
wire \tile_x4y10_s4beg[8] ;
wire \tile_x4y10_s4beg[9] ;
wire \tile_x4y10_ss4beg[0] ;
wire \tile_x4y10_ss4beg[10] ;
wire \tile_x4y10_ss4beg[11] ;
wire \tile_x4y10_ss4beg[12] ;
wire \tile_x4y10_ss4beg[13] ;
wire \tile_x4y10_ss4beg[14] ;
wire \tile_x4y10_ss4beg[15] ;
wire \tile_x4y10_ss4beg[1] ;
wire \tile_x4y10_ss4beg[2] ;
wire \tile_x4y10_ss4beg[3] ;
wire \tile_x4y10_ss4beg[4] ;
wire \tile_x4y10_ss4beg[5] ;
wire \tile_x4y10_ss4beg[6] ;
wire \tile_x4y10_ss4beg[7] ;
wire \tile_x4y10_ss4beg[8] ;
wire \tile_x4y10_ss4beg[9] ;
wire \tile_x4y10_w1beg[0] ;
wire \tile_x4y10_w1beg[1] ;
wire \tile_x4y10_w1beg[2] ;
wire \tile_x4y10_w1beg[3] ;
wire \tile_x4y10_w2beg[0] ;
wire \tile_x4y10_w2beg[1] ;
wire \tile_x4y10_w2beg[2] ;
wire \tile_x4y10_w2beg[3] ;
wire \tile_x4y10_w2beg[4] ;
wire \tile_x4y10_w2beg[5] ;
wire \tile_x4y10_w2beg[6] ;
wire \tile_x4y10_w2beg[7] ;
wire \tile_x4y10_w2begb[0] ;
wire \tile_x4y10_w2begb[1] ;
wire \tile_x4y10_w2begb[2] ;
wire \tile_x4y10_w2begb[3] ;
wire \tile_x4y10_w2begb[4] ;
wire \tile_x4y10_w2begb[5] ;
wire \tile_x4y10_w2begb[6] ;
wire \tile_x4y10_w2begb[7] ;
wire \tile_x4y10_w6beg[0] ;
wire \tile_x4y10_w6beg[10] ;
wire \tile_x4y10_w6beg[11] ;
wire \tile_x4y10_w6beg[1] ;
wire \tile_x4y10_w6beg[2] ;
wire \tile_x4y10_w6beg[3] ;
wire \tile_x4y10_w6beg[4] ;
wire \tile_x4y10_w6beg[5] ;
wire \tile_x4y10_w6beg[6] ;
wire \tile_x4y10_w6beg[7] ;
wire \tile_x4y10_w6beg[8] ;
wire \tile_x4y10_w6beg[9] ;
wire \tile_x4y10_ww4beg[0] ;
wire \tile_x4y10_ww4beg[10] ;
wire \tile_x4y10_ww4beg[11] ;
wire \tile_x4y10_ww4beg[12] ;
wire \tile_x4y10_ww4beg[13] ;
wire \tile_x4y10_ww4beg[14] ;
wire \tile_x4y10_ww4beg[15] ;
wire \tile_x4y10_ww4beg[1] ;
wire \tile_x4y10_ww4beg[2] ;
wire \tile_x4y10_ww4beg[3] ;
wire \tile_x4y10_ww4beg[4] ;
wire \tile_x4y10_ww4beg[5] ;
wire \tile_x4y10_ww4beg[6] ;
wire \tile_x4y10_ww4beg[7] ;
wire \tile_x4y10_ww4beg[8] ;
wire \tile_x4y10_ww4beg[9] ;
wire \tile_x4y11_e1beg[0] ;
wire \tile_x4y11_e1beg[1] ;
wire \tile_x4y11_e1beg[2] ;
wire \tile_x4y11_e1beg[3] ;
wire \tile_x4y11_e2beg[0] ;
wire \tile_x4y11_e2beg[1] ;
wire \tile_x4y11_e2beg[2] ;
wire \tile_x4y11_e2beg[3] ;
wire \tile_x4y11_e2beg[4] ;
wire \tile_x4y11_e2beg[5] ;
wire \tile_x4y11_e2beg[6] ;
wire \tile_x4y11_e2beg[7] ;
wire \tile_x4y11_e2begb[0] ;
wire \tile_x4y11_e2begb[1] ;
wire \tile_x4y11_e2begb[2] ;
wire \tile_x4y11_e2begb[3] ;
wire \tile_x4y11_e2begb[4] ;
wire \tile_x4y11_e2begb[5] ;
wire \tile_x4y11_e2begb[6] ;
wire \tile_x4y11_e2begb[7] ;
wire \tile_x4y11_e6beg[0] ;
wire \tile_x4y11_e6beg[10] ;
wire \tile_x4y11_e6beg[11] ;
wire \tile_x4y11_e6beg[1] ;
wire \tile_x4y11_e6beg[2] ;
wire \tile_x4y11_e6beg[3] ;
wire \tile_x4y11_e6beg[4] ;
wire \tile_x4y11_e6beg[5] ;
wire \tile_x4y11_e6beg[6] ;
wire \tile_x4y11_e6beg[7] ;
wire \tile_x4y11_e6beg[8] ;
wire \tile_x4y11_e6beg[9] ;
wire \tile_x4y11_ee4beg[0] ;
wire \tile_x4y11_ee4beg[10] ;
wire \tile_x4y11_ee4beg[11] ;
wire \tile_x4y11_ee4beg[12] ;
wire \tile_x4y11_ee4beg[13] ;
wire \tile_x4y11_ee4beg[14] ;
wire \tile_x4y11_ee4beg[15] ;
wire \tile_x4y11_ee4beg[1] ;
wire \tile_x4y11_ee4beg[2] ;
wire \tile_x4y11_ee4beg[3] ;
wire \tile_x4y11_ee4beg[4] ;
wire \tile_x4y11_ee4beg[5] ;
wire \tile_x4y11_ee4beg[6] ;
wire \tile_x4y11_ee4beg[7] ;
wire \tile_x4y11_ee4beg[8] ;
wire \tile_x4y11_ee4beg[9] ;
wire \tile_x4y11_framedata_o[0] ;
wire \tile_x4y11_framedata_o[10] ;
wire \tile_x4y11_framedata_o[11] ;
wire \tile_x4y11_framedata_o[12] ;
wire \tile_x4y11_framedata_o[13] ;
wire \tile_x4y11_framedata_o[14] ;
wire \tile_x4y11_framedata_o[15] ;
wire \tile_x4y11_framedata_o[16] ;
wire \tile_x4y11_framedata_o[17] ;
wire \tile_x4y11_framedata_o[18] ;
wire \tile_x4y11_framedata_o[19] ;
wire \tile_x4y11_framedata_o[1] ;
wire \tile_x4y11_framedata_o[20] ;
wire \tile_x4y11_framedata_o[21] ;
wire \tile_x4y11_framedata_o[22] ;
wire \tile_x4y11_framedata_o[23] ;
wire \tile_x4y11_framedata_o[24] ;
wire \tile_x4y11_framedata_o[25] ;
wire \tile_x4y11_framedata_o[26] ;
wire \tile_x4y11_framedata_o[27] ;
wire \tile_x4y11_framedata_o[28] ;
wire \tile_x4y11_framedata_o[29] ;
wire \tile_x4y11_framedata_o[2] ;
wire \tile_x4y11_framedata_o[30] ;
wire \tile_x4y11_framedata_o[31] ;
wire \tile_x4y11_framedata_o[3] ;
wire \tile_x4y11_framedata_o[4] ;
wire \tile_x4y11_framedata_o[5] ;
wire \tile_x4y11_framedata_o[6] ;
wire \tile_x4y11_framedata_o[7] ;
wire \tile_x4y11_framedata_o[8] ;
wire \tile_x4y11_framedata_o[9] ;
wire \tile_x4y11_framestrobe_o[0] ;
wire \tile_x4y11_framestrobe_o[10] ;
wire \tile_x4y11_framestrobe_o[11] ;
wire \tile_x4y11_framestrobe_o[12] ;
wire \tile_x4y11_framestrobe_o[13] ;
wire \tile_x4y11_framestrobe_o[14] ;
wire \tile_x4y11_framestrobe_o[15] ;
wire \tile_x4y11_framestrobe_o[16] ;
wire \tile_x4y11_framestrobe_o[17] ;
wire \tile_x4y11_framestrobe_o[18] ;
wire \tile_x4y11_framestrobe_o[19] ;
wire \tile_x4y11_framestrobe_o[1] ;
wire \tile_x4y11_framestrobe_o[2] ;
wire \tile_x4y11_framestrobe_o[3] ;
wire \tile_x4y11_framestrobe_o[4] ;
wire \tile_x4y11_framestrobe_o[5] ;
wire \tile_x4y11_framestrobe_o[6] ;
wire \tile_x4y11_framestrobe_o[7] ;
wire \tile_x4y11_framestrobe_o[8] ;
wire \tile_x4y11_framestrobe_o[9] ;
wire \tile_x4y11_n1beg[0] ;
wire \tile_x4y11_n1beg[1] ;
wire \tile_x4y11_n1beg[2] ;
wire \tile_x4y11_n1beg[3] ;
wire \tile_x4y11_n2beg[0] ;
wire \tile_x4y11_n2beg[1] ;
wire \tile_x4y11_n2beg[2] ;
wire \tile_x4y11_n2beg[3] ;
wire \tile_x4y11_n2beg[4] ;
wire \tile_x4y11_n2beg[5] ;
wire \tile_x4y11_n2beg[6] ;
wire \tile_x4y11_n2beg[7] ;
wire \tile_x4y11_n2begb[0] ;
wire \tile_x4y11_n2begb[1] ;
wire \tile_x4y11_n2begb[2] ;
wire \tile_x4y11_n2begb[3] ;
wire \tile_x4y11_n2begb[4] ;
wire \tile_x4y11_n2begb[5] ;
wire \tile_x4y11_n2begb[6] ;
wire \tile_x4y11_n2begb[7] ;
wire \tile_x4y11_n4beg[0] ;
wire \tile_x4y11_n4beg[10] ;
wire \tile_x4y11_n4beg[11] ;
wire \tile_x4y11_n4beg[12] ;
wire \tile_x4y11_n4beg[13] ;
wire \tile_x4y11_n4beg[14] ;
wire \tile_x4y11_n4beg[15] ;
wire \tile_x4y11_n4beg[1] ;
wire \tile_x4y11_n4beg[2] ;
wire \tile_x4y11_n4beg[3] ;
wire \tile_x4y11_n4beg[4] ;
wire \tile_x4y11_n4beg[5] ;
wire \tile_x4y11_n4beg[6] ;
wire \tile_x4y11_n4beg[7] ;
wire \tile_x4y11_n4beg[8] ;
wire \tile_x4y11_n4beg[9] ;
wire \tile_x4y11_nn4beg[0] ;
wire \tile_x4y11_nn4beg[10] ;
wire \tile_x4y11_nn4beg[11] ;
wire \tile_x4y11_nn4beg[12] ;
wire \tile_x4y11_nn4beg[13] ;
wire \tile_x4y11_nn4beg[14] ;
wire \tile_x4y11_nn4beg[15] ;
wire \tile_x4y11_nn4beg[1] ;
wire \tile_x4y11_nn4beg[2] ;
wire \tile_x4y11_nn4beg[3] ;
wire \tile_x4y11_nn4beg[4] ;
wire \tile_x4y11_nn4beg[5] ;
wire \tile_x4y11_nn4beg[6] ;
wire \tile_x4y11_nn4beg[7] ;
wire \tile_x4y11_nn4beg[8] ;
wire \tile_x4y11_nn4beg[9] ;
wire tile_x4y11_userclko;
wire \tile_x4y11_w1beg[0] ;
wire \tile_x4y11_w1beg[1] ;
wire \tile_x4y11_w1beg[2] ;
wire \tile_x4y11_w1beg[3] ;
wire \tile_x4y11_w2beg[0] ;
wire \tile_x4y11_w2beg[1] ;
wire \tile_x4y11_w2beg[2] ;
wire \tile_x4y11_w2beg[3] ;
wire \tile_x4y11_w2beg[4] ;
wire \tile_x4y11_w2beg[5] ;
wire \tile_x4y11_w2beg[6] ;
wire \tile_x4y11_w2beg[7] ;
wire \tile_x4y11_w2begb[0] ;
wire \tile_x4y11_w2begb[1] ;
wire \tile_x4y11_w2begb[2] ;
wire \tile_x4y11_w2begb[3] ;
wire \tile_x4y11_w2begb[4] ;
wire \tile_x4y11_w2begb[5] ;
wire \tile_x4y11_w2begb[6] ;
wire \tile_x4y11_w2begb[7] ;
wire \tile_x4y11_w6beg[0] ;
wire \tile_x4y11_w6beg[10] ;
wire \tile_x4y11_w6beg[11] ;
wire \tile_x4y11_w6beg[1] ;
wire \tile_x4y11_w6beg[2] ;
wire \tile_x4y11_w6beg[3] ;
wire \tile_x4y11_w6beg[4] ;
wire \tile_x4y11_w6beg[5] ;
wire \tile_x4y11_w6beg[6] ;
wire \tile_x4y11_w6beg[7] ;
wire \tile_x4y11_w6beg[8] ;
wire \tile_x4y11_w6beg[9] ;
wire \tile_x4y11_ww4beg[0] ;
wire \tile_x4y11_ww4beg[10] ;
wire \tile_x4y11_ww4beg[11] ;
wire \tile_x4y11_ww4beg[12] ;
wire \tile_x4y11_ww4beg[13] ;
wire \tile_x4y11_ww4beg[14] ;
wire \tile_x4y11_ww4beg[15] ;
wire \tile_x4y11_ww4beg[1] ;
wire \tile_x4y11_ww4beg[2] ;
wire \tile_x4y11_ww4beg[3] ;
wire \tile_x4y11_ww4beg[4] ;
wire \tile_x4y11_ww4beg[5] ;
wire \tile_x4y11_ww4beg[6] ;
wire \tile_x4y11_ww4beg[7] ;
wire \tile_x4y11_ww4beg[8] ;
wire \tile_x4y11_ww4beg[9] ;
wire \tile_x4y12_e1beg[0] ;
wire \tile_x4y12_e1beg[1] ;
wire \tile_x4y12_e1beg[2] ;
wire \tile_x4y12_e1beg[3] ;
wire \tile_x4y12_e2beg[0] ;
wire \tile_x4y12_e2beg[1] ;
wire \tile_x4y12_e2beg[2] ;
wire \tile_x4y12_e2beg[3] ;
wire \tile_x4y12_e2beg[4] ;
wire \tile_x4y12_e2beg[5] ;
wire \tile_x4y12_e2beg[6] ;
wire \tile_x4y12_e2beg[7] ;
wire \tile_x4y12_e2begb[0] ;
wire \tile_x4y12_e2begb[1] ;
wire \tile_x4y12_e2begb[2] ;
wire \tile_x4y12_e2begb[3] ;
wire \tile_x4y12_e2begb[4] ;
wire \tile_x4y12_e2begb[5] ;
wire \tile_x4y12_e2begb[6] ;
wire \tile_x4y12_e2begb[7] ;
wire \tile_x4y12_e6beg[0] ;
wire \tile_x4y12_e6beg[10] ;
wire \tile_x4y12_e6beg[11] ;
wire \tile_x4y12_e6beg[1] ;
wire \tile_x4y12_e6beg[2] ;
wire \tile_x4y12_e6beg[3] ;
wire \tile_x4y12_e6beg[4] ;
wire \tile_x4y12_e6beg[5] ;
wire \tile_x4y12_e6beg[6] ;
wire \tile_x4y12_e6beg[7] ;
wire \tile_x4y12_e6beg[8] ;
wire \tile_x4y12_e6beg[9] ;
wire \tile_x4y12_ee4beg[0] ;
wire \tile_x4y12_ee4beg[10] ;
wire \tile_x4y12_ee4beg[11] ;
wire \tile_x4y12_ee4beg[12] ;
wire \tile_x4y12_ee4beg[13] ;
wire \tile_x4y12_ee4beg[14] ;
wire \tile_x4y12_ee4beg[15] ;
wire \tile_x4y12_ee4beg[1] ;
wire \tile_x4y12_ee4beg[2] ;
wire \tile_x4y12_ee4beg[3] ;
wire \tile_x4y12_ee4beg[4] ;
wire \tile_x4y12_ee4beg[5] ;
wire \tile_x4y12_ee4beg[6] ;
wire \tile_x4y12_ee4beg[7] ;
wire \tile_x4y12_ee4beg[8] ;
wire \tile_x4y12_ee4beg[9] ;
wire \tile_x4y12_framedata_o[0] ;
wire \tile_x4y12_framedata_o[10] ;
wire \tile_x4y12_framedata_o[11] ;
wire \tile_x4y12_framedata_o[12] ;
wire \tile_x4y12_framedata_o[13] ;
wire \tile_x4y12_framedata_o[14] ;
wire \tile_x4y12_framedata_o[15] ;
wire \tile_x4y12_framedata_o[16] ;
wire \tile_x4y12_framedata_o[17] ;
wire \tile_x4y12_framedata_o[18] ;
wire \tile_x4y12_framedata_o[19] ;
wire \tile_x4y12_framedata_o[1] ;
wire \tile_x4y12_framedata_o[20] ;
wire \tile_x4y12_framedata_o[21] ;
wire \tile_x4y12_framedata_o[22] ;
wire \tile_x4y12_framedata_o[23] ;
wire \tile_x4y12_framedata_o[24] ;
wire \tile_x4y12_framedata_o[25] ;
wire \tile_x4y12_framedata_o[26] ;
wire \tile_x4y12_framedata_o[27] ;
wire \tile_x4y12_framedata_o[28] ;
wire \tile_x4y12_framedata_o[29] ;
wire \tile_x4y12_framedata_o[2] ;
wire \tile_x4y12_framedata_o[30] ;
wire \tile_x4y12_framedata_o[31] ;
wire \tile_x4y12_framedata_o[3] ;
wire \tile_x4y12_framedata_o[4] ;
wire \tile_x4y12_framedata_o[5] ;
wire \tile_x4y12_framedata_o[6] ;
wire \tile_x4y12_framedata_o[7] ;
wire \tile_x4y12_framedata_o[8] ;
wire \tile_x4y12_framedata_o[9] ;
wire \tile_x4y12_s1beg[0] ;
wire \tile_x4y12_s1beg[1] ;
wire \tile_x4y12_s1beg[2] ;
wire \tile_x4y12_s1beg[3] ;
wire \tile_x4y12_s2beg[0] ;
wire \tile_x4y12_s2beg[1] ;
wire \tile_x4y12_s2beg[2] ;
wire \tile_x4y12_s2beg[3] ;
wire \tile_x4y12_s2beg[4] ;
wire \tile_x4y12_s2beg[5] ;
wire \tile_x4y12_s2beg[6] ;
wire \tile_x4y12_s2beg[7] ;
wire \tile_x4y12_s2begb[0] ;
wire \tile_x4y12_s2begb[1] ;
wire \tile_x4y12_s2begb[2] ;
wire \tile_x4y12_s2begb[3] ;
wire \tile_x4y12_s2begb[4] ;
wire \tile_x4y12_s2begb[5] ;
wire \tile_x4y12_s2begb[6] ;
wire \tile_x4y12_s2begb[7] ;
wire \tile_x4y12_s4beg[0] ;
wire \tile_x4y12_s4beg[10] ;
wire \tile_x4y12_s4beg[11] ;
wire \tile_x4y12_s4beg[12] ;
wire \tile_x4y12_s4beg[13] ;
wire \tile_x4y12_s4beg[14] ;
wire \tile_x4y12_s4beg[15] ;
wire \tile_x4y12_s4beg[1] ;
wire \tile_x4y12_s4beg[2] ;
wire \tile_x4y12_s4beg[3] ;
wire \tile_x4y12_s4beg[4] ;
wire \tile_x4y12_s4beg[5] ;
wire \tile_x4y12_s4beg[6] ;
wire \tile_x4y12_s4beg[7] ;
wire \tile_x4y12_s4beg[8] ;
wire \tile_x4y12_s4beg[9] ;
wire \tile_x4y12_ss4beg[0] ;
wire \tile_x4y12_ss4beg[10] ;
wire \tile_x4y12_ss4beg[11] ;
wire \tile_x4y12_ss4beg[12] ;
wire \tile_x4y12_ss4beg[13] ;
wire \tile_x4y12_ss4beg[14] ;
wire \tile_x4y12_ss4beg[15] ;
wire \tile_x4y12_ss4beg[1] ;
wire \tile_x4y12_ss4beg[2] ;
wire \tile_x4y12_ss4beg[3] ;
wire \tile_x4y12_ss4beg[4] ;
wire \tile_x4y12_ss4beg[5] ;
wire \tile_x4y12_ss4beg[6] ;
wire \tile_x4y12_ss4beg[7] ;
wire \tile_x4y12_ss4beg[8] ;
wire \tile_x4y12_ss4beg[9] ;
wire \tile_x4y12_w1beg[0] ;
wire \tile_x4y12_w1beg[1] ;
wire \tile_x4y12_w1beg[2] ;
wire \tile_x4y12_w1beg[3] ;
wire \tile_x4y12_w2beg[0] ;
wire \tile_x4y12_w2beg[1] ;
wire \tile_x4y12_w2beg[2] ;
wire \tile_x4y12_w2beg[3] ;
wire \tile_x4y12_w2beg[4] ;
wire \tile_x4y12_w2beg[5] ;
wire \tile_x4y12_w2beg[6] ;
wire \tile_x4y12_w2beg[7] ;
wire \tile_x4y12_w2begb[0] ;
wire \tile_x4y12_w2begb[1] ;
wire \tile_x4y12_w2begb[2] ;
wire \tile_x4y12_w2begb[3] ;
wire \tile_x4y12_w2begb[4] ;
wire \tile_x4y12_w2begb[5] ;
wire \tile_x4y12_w2begb[6] ;
wire \tile_x4y12_w2begb[7] ;
wire \tile_x4y12_w6beg[0] ;
wire \tile_x4y12_w6beg[10] ;
wire \tile_x4y12_w6beg[11] ;
wire \tile_x4y12_w6beg[1] ;
wire \tile_x4y12_w6beg[2] ;
wire \tile_x4y12_w6beg[3] ;
wire \tile_x4y12_w6beg[4] ;
wire \tile_x4y12_w6beg[5] ;
wire \tile_x4y12_w6beg[6] ;
wire \tile_x4y12_w6beg[7] ;
wire \tile_x4y12_w6beg[8] ;
wire \tile_x4y12_w6beg[9] ;
wire \tile_x4y12_ww4beg[0] ;
wire \tile_x4y12_ww4beg[10] ;
wire \tile_x4y12_ww4beg[11] ;
wire \tile_x4y12_ww4beg[12] ;
wire \tile_x4y12_ww4beg[13] ;
wire \tile_x4y12_ww4beg[14] ;
wire \tile_x4y12_ww4beg[15] ;
wire \tile_x4y12_ww4beg[1] ;
wire \tile_x4y12_ww4beg[2] ;
wire \tile_x4y12_ww4beg[3] ;
wire \tile_x4y12_ww4beg[4] ;
wire \tile_x4y12_ww4beg[5] ;
wire \tile_x4y12_ww4beg[6] ;
wire \tile_x4y12_ww4beg[7] ;
wire \tile_x4y12_ww4beg[8] ;
wire \tile_x4y12_ww4beg[9] ;
wire \tile_x4y13_e1beg[0] ;
wire \tile_x4y13_e1beg[1] ;
wire \tile_x4y13_e1beg[2] ;
wire \tile_x4y13_e1beg[3] ;
wire \tile_x4y13_e2beg[0] ;
wire \tile_x4y13_e2beg[1] ;
wire \tile_x4y13_e2beg[2] ;
wire \tile_x4y13_e2beg[3] ;
wire \tile_x4y13_e2beg[4] ;
wire \tile_x4y13_e2beg[5] ;
wire \tile_x4y13_e2beg[6] ;
wire \tile_x4y13_e2beg[7] ;
wire \tile_x4y13_e2begb[0] ;
wire \tile_x4y13_e2begb[1] ;
wire \tile_x4y13_e2begb[2] ;
wire \tile_x4y13_e2begb[3] ;
wire \tile_x4y13_e2begb[4] ;
wire \tile_x4y13_e2begb[5] ;
wire \tile_x4y13_e2begb[6] ;
wire \tile_x4y13_e2begb[7] ;
wire \tile_x4y13_e6beg[0] ;
wire \tile_x4y13_e6beg[10] ;
wire \tile_x4y13_e6beg[11] ;
wire \tile_x4y13_e6beg[1] ;
wire \tile_x4y13_e6beg[2] ;
wire \tile_x4y13_e6beg[3] ;
wire \tile_x4y13_e6beg[4] ;
wire \tile_x4y13_e6beg[5] ;
wire \tile_x4y13_e6beg[6] ;
wire \tile_x4y13_e6beg[7] ;
wire \tile_x4y13_e6beg[8] ;
wire \tile_x4y13_e6beg[9] ;
wire \tile_x4y13_ee4beg[0] ;
wire \tile_x4y13_ee4beg[10] ;
wire \tile_x4y13_ee4beg[11] ;
wire \tile_x4y13_ee4beg[12] ;
wire \tile_x4y13_ee4beg[13] ;
wire \tile_x4y13_ee4beg[14] ;
wire \tile_x4y13_ee4beg[15] ;
wire \tile_x4y13_ee4beg[1] ;
wire \tile_x4y13_ee4beg[2] ;
wire \tile_x4y13_ee4beg[3] ;
wire \tile_x4y13_ee4beg[4] ;
wire \tile_x4y13_ee4beg[5] ;
wire \tile_x4y13_ee4beg[6] ;
wire \tile_x4y13_ee4beg[7] ;
wire \tile_x4y13_ee4beg[8] ;
wire \tile_x4y13_ee4beg[9] ;
wire \tile_x4y13_framedata_o[0] ;
wire \tile_x4y13_framedata_o[10] ;
wire \tile_x4y13_framedata_o[11] ;
wire \tile_x4y13_framedata_o[12] ;
wire \tile_x4y13_framedata_o[13] ;
wire \tile_x4y13_framedata_o[14] ;
wire \tile_x4y13_framedata_o[15] ;
wire \tile_x4y13_framedata_o[16] ;
wire \tile_x4y13_framedata_o[17] ;
wire \tile_x4y13_framedata_o[18] ;
wire \tile_x4y13_framedata_o[19] ;
wire \tile_x4y13_framedata_o[1] ;
wire \tile_x4y13_framedata_o[20] ;
wire \tile_x4y13_framedata_o[21] ;
wire \tile_x4y13_framedata_o[22] ;
wire \tile_x4y13_framedata_o[23] ;
wire \tile_x4y13_framedata_o[24] ;
wire \tile_x4y13_framedata_o[25] ;
wire \tile_x4y13_framedata_o[26] ;
wire \tile_x4y13_framedata_o[27] ;
wire \tile_x4y13_framedata_o[28] ;
wire \tile_x4y13_framedata_o[29] ;
wire \tile_x4y13_framedata_o[2] ;
wire \tile_x4y13_framedata_o[30] ;
wire \tile_x4y13_framedata_o[31] ;
wire \tile_x4y13_framedata_o[3] ;
wire \tile_x4y13_framedata_o[4] ;
wire \tile_x4y13_framedata_o[5] ;
wire \tile_x4y13_framedata_o[6] ;
wire \tile_x4y13_framedata_o[7] ;
wire \tile_x4y13_framedata_o[8] ;
wire \tile_x4y13_framedata_o[9] ;
wire \tile_x4y13_framestrobe_o[0] ;
wire \tile_x4y13_framestrobe_o[10] ;
wire \tile_x4y13_framestrobe_o[11] ;
wire \tile_x4y13_framestrobe_o[12] ;
wire \tile_x4y13_framestrobe_o[13] ;
wire \tile_x4y13_framestrobe_o[14] ;
wire \tile_x4y13_framestrobe_o[15] ;
wire \tile_x4y13_framestrobe_o[16] ;
wire \tile_x4y13_framestrobe_o[17] ;
wire \tile_x4y13_framestrobe_o[18] ;
wire \tile_x4y13_framestrobe_o[19] ;
wire \tile_x4y13_framestrobe_o[1] ;
wire \tile_x4y13_framestrobe_o[2] ;
wire \tile_x4y13_framestrobe_o[3] ;
wire \tile_x4y13_framestrobe_o[4] ;
wire \tile_x4y13_framestrobe_o[5] ;
wire \tile_x4y13_framestrobe_o[6] ;
wire \tile_x4y13_framestrobe_o[7] ;
wire \tile_x4y13_framestrobe_o[8] ;
wire \tile_x4y13_framestrobe_o[9] ;
wire \tile_x4y13_n1beg[0] ;
wire \tile_x4y13_n1beg[1] ;
wire \tile_x4y13_n1beg[2] ;
wire \tile_x4y13_n1beg[3] ;
wire \tile_x4y13_n2beg[0] ;
wire \tile_x4y13_n2beg[1] ;
wire \tile_x4y13_n2beg[2] ;
wire \tile_x4y13_n2beg[3] ;
wire \tile_x4y13_n2beg[4] ;
wire \tile_x4y13_n2beg[5] ;
wire \tile_x4y13_n2beg[6] ;
wire \tile_x4y13_n2beg[7] ;
wire \tile_x4y13_n2begb[0] ;
wire \tile_x4y13_n2begb[1] ;
wire \tile_x4y13_n2begb[2] ;
wire \tile_x4y13_n2begb[3] ;
wire \tile_x4y13_n2begb[4] ;
wire \tile_x4y13_n2begb[5] ;
wire \tile_x4y13_n2begb[6] ;
wire \tile_x4y13_n2begb[7] ;
wire \tile_x4y13_n4beg[0] ;
wire \tile_x4y13_n4beg[10] ;
wire \tile_x4y13_n4beg[11] ;
wire \tile_x4y13_n4beg[12] ;
wire \tile_x4y13_n4beg[13] ;
wire \tile_x4y13_n4beg[14] ;
wire \tile_x4y13_n4beg[15] ;
wire \tile_x4y13_n4beg[1] ;
wire \tile_x4y13_n4beg[2] ;
wire \tile_x4y13_n4beg[3] ;
wire \tile_x4y13_n4beg[4] ;
wire \tile_x4y13_n4beg[5] ;
wire \tile_x4y13_n4beg[6] ;
wire \tile_x4y13_n4beg[7] ;
wire \tile_x4y13_n4beg[8] ;
wire \tile_x4y13_n4beg[9] ;
wire \tile_x4y13_nn4beg[0] ;
wire \tile_x4y13_nn4beg[10] ;
wire \tile_x4y13_nn4beg[11] ;
wire \tile_x4y13_nn4beg[12] ;
wire \tile_x4y13_nn4beg[13] ;
wire \tile_x4y13_nn4beg[14] ;
wire \tile_x4y13_nn4beg[15] ;
wire \tile_x4y13_nn4beg[1] ;
wire \tile_x4y13_nn4beg[2] ;
wire \tile_x4y13_nn4beg[3] ;
wire \tile_x4y13_nn4beg[4] ;
wire \tile_x4y13_nn4beg[5] ;
wire \tile_x4y13_nn4beg[6] ;
wire \tile_x4y13_nn4beg[7] ;
wire \tile_x4y13_nn4beg[8] ;
wire \tile_x4y13_nn4beg[9] ;
wire tile_x4y13_userclko;
wire \tile_x4y13_w1beg[0] ;
wire \tile_x4y13_w1beg[1] ;
wire \tile_x4y13_w1beg[2] ;
wire \tile_x4y13_w1beg[3] ;
wire \tile_x4y13_w2beg[0] ;
wire \tile_x4y13_w2beg[1] ;
wire \tile_x4y13_w2beg[2] ;
wire \tile_x4y13_w2beg[3] ;
wire \tile_x4y13_w2beg[4] ;
wire \tile_x4y13_w2beg[5] ;
wire \tile_x4y13_w2beg[6] ;
wire \tile_x4y13_w2beg[7] ;
wire \tile_x4y13_w2begb[0] ;
wire \tile_x4y13_w2begb[1] ;
wire \tile_x4y13_w2begb[2] ;
wire \tile_x4y13_w2begb[3] ;
wire \tile_x4y13_w2begb[4] ;
wire \tile_x4y13_w2begb[5] ;
wire \tile_x4y13_w2begb[6] ;
wire \tile_x4y13_w2begb[7] ;
wire \tile_x4y13_w6beg[0] ;
wire \tile_x4y13_w6beg[10] ;
wire \tile_x4y13_w6beg[11] ;
wire \tile_x4y13_w6beg[1] ;
wire \tile_x4y13_w6beg[2] ;
wire \tile_x4y13_w6beg[3] ;
wire \tile_x4y13_w6beg[4] ;
wire \tile_x4y13_w6beg[5] ;
wire \tile_x4y13_w6beg[6] ;
wire \tile_x4y13_w6beg[7] ;
wire \tile_x4y13_w6beg[8] ;
wire \tile_x4y13_w6beg[9] ;
wire \tile_x4y13_ww4beg[0] ;
wire \tile_x4y13_ww4beg[10] ;
wire \tile_x4y13_ww4beg[11] ;
wire \tile_x4y13_ww4beg[12] ;
wire \tile_x4y13_ww4beg[13] ;
wire \tile_x4y13_ww4beg[14] ;
wire \tile_x4y13_ww4beg[15] ;
wire \tile_x4y13_ww4beg[1] ;
wire \tile_x4y13_ww4beg[2] ;
wire \tile_x4y13_ww4beg[3] ;
wire \tile_x4y13_ww4beg[4] ;
wire \tile_x4y13_ww4beg[5] ;
wire \tile_x4y13_ww4beg[6] ;
wire \tile_x4y13_ww4beg[7] ;
wire \tile_x4y13_ww4beg[8] ;
wire \tile_x4y13_ww4beg[9] ;
wire \tile_x4y14_e1beg[0] ;
wire \tile_x4y14_e1beg[1] ;
wire \tile_x4y14_e1beg[2] ;
wire \tile_x4y14_e1beg[3] ;
wire \tile_x4y14_e2beg[0] ;
wire \tile_x4y14_e2beg[1] ;
wire \tile_x4y14_e2beg[2] ;
wire \tile_x4y14_e2beg[3] ;
wire \tile_x4y14_e2beg[4] ;
wire \tile_x4y14_e2beg[5] ;
wire \tile_x4y14_e2beg[6] ;
wire \tile_x4y14_e2beg[7] ;
wire \tile_x4y14_e2begb[0] ;
wire \tile_x4y14_e2begb[1] ;
wire \tile_x4y14_e2begb[2] ;
wire \tile_x4y14_e2begb[3] ;
wire \tile_x4y14_e2begb[4] ;
wire \tile_x4y14_e2begb[5] ;
wire \tile_x4y14_e2begb[6] ;
wire \tile_x4y14_e2begb[7] ;
wire \tile_x4y14_e6beg[0] ;
wire \tile_x4y14_e6beg[10] ;
wire \tile_x4y14_e6beg[11] ;
wire \tile_x4y14_e6beg[1] ;
wire \tile_x4y14_e6beg[2] ;
wire \tile_x4y14_e6beg[3] ;
wire \tile_x4y14_e6beg[4] ;
wire \tile_x4y14_e6beg[5] ;
wire \tile_x4y14_e6beg[6] ;
wire \tile_x4y14_e6beg[7] ;
wire \tile_x4y14_e6beg[8] ;
wire \tile_x4y14_e6beg[9] ;
wire \tile_x4y14_ee4beg[0] ;
wire \tile_x4y14_ee4beg[10] ;
wire \tile_x4y14_ee4beg[11] ;
wire \tile_x4y14_ee4beg[12] ;
wire \tile_x4y14_ee4beg[13] ;
wire \tile_x4y14_ee4beg[14] ;
wire \tile_x4y14_ee4beg[15] ;
wire \tile_x4y14_ee4beg[1] ;
wire \tile_x4y14_ee4beg[2] ;
wire \tile_x4y14_ee4beg[3] ;
wire \tile_x4y14_ee4beg[4] ;
wire \tile_x4y14_ee4beg[5] ;
wire \tile_x4y14_ee4beg[6] ;
wire \tile_x4y14_ee4beg[7] ;
wire \tile_x4y14_ee4beg[8] ;
wire \tile_x4y14_ee4beg[9] ;
wire \tile_x4y14_framedata_o[0] ;
wire \tile_x4y14_framedata_o[10] ;
wire \tile_x4y14_framedata_o[11] ;
wire \tile_x4y14_framedata_o[12] ;
wire \tile_x4y14_framedata_o[13] ;
wire \tile_x4y14_framedata_o[14] ;
wire \tile_x4y14_framedata_o[15] ;
wire \tile_x4y14_framedata_o[16] ;
wire \tile_x4y14_framedata_o[17] ;
wire \tile_x4y14_framedata_o[18] ;
wire \tile_x4y14_framedata_o[19] ;
wire \tile_x4y14_framedata_o[1] ;
wire \tile_x4y14_framedata_o[20] ;
wire \tile_x4y14_framedata_o[21] ;
wire \tile_x4y14_framedata_o[22] ;
wire \tile_x4y14_framedata_o[23] ;
wire \tile_x4y14_framedata_o[24] ;
wire \tile_x4y14_framedata_o[25] ;
wire \tile_x4y14_framedata_o[26] ;
wire \tile_x4y14_framedata_o[27] ;
wire \tile_x4y14_framedata_o[28] ;
wire \tile_x4y14_framedata_o[29] ;
wire \tile_x4y14_framedata_o[2] ;
wire \tile_x4y14_framedata_o[30] ;
wire \tile_x4y14_framedata_o[31] ;
wire \tile_x4y14_framedata_o[3] ;
wire \tile_x4y14_framedata_o[4] ;
wire \tile_x4y14_framedata_o[5] ;
wire \tile_x4y14_framedata_o[6] ;
wire \tile_x4y14_framedata_o[7] ;
wire \tile_x4y14_framedata_o[8] ;
wire \tile_x4y14_framedata_o[9] ;
wire \tile_x4y14_s1beg[0] ;
wire \tile_x4y14_s1beg[1] ;
wire \tile_x4y14_s1beg[2] ;
wire \tile_x4y14_s1beg[3] ;
wire \tile_x4y14_s2beg[0] ;
wire \tile_x4y14_s2beg[1] ;
wire \tile_x4y14_s2beg[2] ;
wire \tile_x4y14_s2beg[3] ;
wire \tile_x4y14_s2beg[4] ;
wire \tile_x4y14_s2beg[5] ;
wire \tile_x4y14_s2beg[6] ;
wire \tile_x4y14_s2beg[7] ;
wire \tile_x4y14_s2begb[0] ;
wire \tile_x4y14_s2begb[1] ;
wire \tile_x4y14_s2begb[2] ;
wire \tile_x4y14_s2begb[3] ;
wire \tile_x4y14_s2begb[4] ;
wire \tile_x4y14_s2begb[5] ;
wire \tile_x4y14_s2begb[6] ;
wire \tile_x4y14_s2begb[7] ;
wire \tile_x4y14_s4beg[0] ;
wire \tile_x4y14_s4beg[10] ;
wire \tile_x4y14_s4beg[11] ;
wire \tile_x4y14_s4beg[12] ;
wire \tile_x4y14_s4beg[13] ;
wire \tile_x4y14_s4beg[14] ;
wire \tile_x4y14_s4beg[15] ;
wire \tile_x4y14_s4beg[1] ;
wire \tile_x4y14_s4beg[2] ;
wire \tile_x4y14_s4beg[3] ;
wire \tile_x4y14_s4beg[4] ;
wire \tile_x4y14_s4beg[5] ;
wire \tile_x4y14_s4beg[6] ;
wire \tile_x4y14_s4beg[7] ;
wire \tile_x4y14_s4beg[8] ;
wire \tile_x4y14_s4beg[9] ;
wire \tile_x4y14_ss4beg[0] ;
wire \tile_x4y14_ss4beg[10] ;
wire \tile_x4y14_ss4beg[11] ;
wire \tile_x4y14_ss4beg[12] ;
wire \tile_x4y14_ss4beg[13] ;
wire \tile_x4y14_ss4beg[14] ;
wire \tile_x4y14_ss4beg[15] ;
wire \tile_x4y14_ss4beg[1] ;
wire \tile_x4y14_ss4beg[2] ;
wire \tile_x4y14_ss4beg[3] ;
wire \tile_x4y14_ss4beg[4] ;
wire \tile_x4y14_ss4beg[5] ;
wire \tile_x4y14_ss4beg[6] ;
wire \tile_x4y14_ss4beg[7] ;
wire \tile_x4y14_ss4beg[8] ;
wire \tile_x4y14_ss4beg[9] ;
wire \tile_x4y14_w1beg[0] ;
wire \tile_x4y14_w1beg[1] ;
wire \tile_x4y14_w1beg[2] ;
wire \tile_x4y14_w1beg[3] ;
wire \tile_x4y14_w2beg[0] ;
wire \tile_x4y14_w2beg[1] ;
wire \tile_x4y14_w2beg[2] ;
wire \tile_x4y14_w2beg[3] ;
wire \tile_x4y14_w2beg[4] ;
wire \tile_x4y14_w2beg[5] ;
wire \tile_x4y14_w2beg[6] ;
wire \tile_x4y14_w2beg[7] ;
wire \tile_x4y14_w2begb[0] ;
wire \tile_x4y14_w2begb[1] ;
wire \tile_x4y14_w2begb[2] ;
wire \tile_x4y14_w2begb[3] ;
wire \tile_x4y14_w2begb[4] ;
wire \tile_x4y14_w2begb[5] ;
wire \tile_x4y14_w2begb[6] ;
wire \tile_x4y14_w2begb[7] ;
wire \tile_x4y14_w6beg[0] ;
wire \tile_x4y14_w6beg[10] ;
wire \tile_x4y14_w6beg[11] ;
wire \tile_x4y14_w6beg[1] ;
wire \tile_x4y14_w6beg[2] ;
wire \tile_x4y14_w6beg[3] ;
wire \tile_x4y14_w6beg[4] ;
wire \tile_x4y14_w6beg[5] ;
wire \tile_x4y14_w6beg[6] ;
wire \tile_x4y14_w6beg[7] ;
wire \tile_x4y14_w6beg[8] ;
wire \tile_x4y14_w6beg[9] ;
wire \tile_x4y14_ww4beg[0] ;
wire \tile_x4y14_ww4beg[10] ;
wire \tile_x4y14_ww4beg[11] ;
wire \tile_x4y14_ww4beg[12] ;
wire \tile_x4y14_ww4beg[13] ;
wire \tile_x4y14_ww4beg[14] ;
wire \tile_x4y14_ww4beg[15] ;
wire \tile_x4y14_ww4beg[1] ;
wire \tile_x4y14_ww4beg[2] ;
wire \tile_x4y14_ww4beg[3] ;
wire \tile_x4y14_ww4beg[4] ;
wire \tile_x4y14_ww4beg[5] ;
wire \tile_x4y14_ww4beg[6] ;
wire \tile_x4y14_ww4beg[7] ;
wire \tile_x4y14_ww4beg[8] ;
wire \tile_x4y14_ww4beg[9] ;
wire \tile_x4y15_framestrobe_o[0] ;
wire \tile_x4y15_framestrobe_o[10] ;
wire \tile_x4y15_framestrobe_o[11] ;
wire \tile_x4y15_framestrobe_o[12] ;
wire \tile_x4y15_framestrobe_o[13] ;
wire \tile_x4y15_framestrobe_o[14] ;
wire \tile_x4y15_framestrobe_o[15] ;
wire \tile_x4y15_framestrobe_o[16] ;
wire \tile_x4y15_framestrobe_o[17] ;
wire \tile_x4y15_framestrobe_o[18] ;
wire \tile_x4y15_framestrobe_o[19] ;
wire \tile_x4y15_framestrobe_o[1] ;
wire \tile_x4y15_framestrobe_o[2] ;
wire \tile_x4y15_framestrobe_o[3] ;
wire \tile_x4y15_framestrobe_o[4] ;
wire \tile_x4y15_framestrobe_o[5] ;
wire \tile_x4y15_framestrobe_o[6] ;
wire \tile_x4y15_framestrobe_o[7] ;
wire \tile_x4y15_framestrobe_o[8] ;
wire \tile_x4y15_framestrobe_o[9] ;
wire \tile_x4y15_n1beg[0] ;
wire \tile_x4y15_n1beg[1] ;
wire \tile_x4y15_n1beg[2] ;
wire \tile_x4y15_n1beg[3] ;
wire \tile_x4y15_n2beg[0] ;
wire \tile_x4y15_n2beg[1] ;
wire \tile_x4y15_n2beg[2] ;
wire \tile_x4y15_n2beg[3] ;
wire \tile_x4y15_n2beg[4] ;
wire \tile_x4y15_n2beg[5] ;
wire \tile_x4y15_n2beg[6] ;
wire \tile_x4y15_n2beg[7] ;
wire \tile_x4y15_n2begb[0] ;
wire \tile_x4y15_n2begb[1] ;
wire \tile_x4y15_n2begb[2] ;
wire \tile_x4y15_n2begb[3] ;
wire \tile_x4y15_n2begb[4] ;
wire \tile_x4y15_n2begb[5] ;
wire \tile_x4y15_n2begb[6] ;
wire \tile_x4y15_n2begb[7] ;
wire \tile_x4y15_n4beg[0] ;
wire \tile_x4y15_n4beg[10] ;
wire \tile_x4y15_n4beg[11] ;
wire \tile_x4y15_n4beg[12] ;
wire \tile_x4y15_n4beg[13] ;
wire \tile_x4y15_n4beg[14] ;
wire \tile_x4y15_n4beg[15] ;
wire \tile_x4y15_n4beg[1] ;
wire \tile_x4y15_n4beg[2] ;
wire \tile_x4y15_n4beg[3] ;
wire \tile_x4y15_n4beg[4] ;
wire \tile_x4y15_n4beg[5] ;
wire \tile_x4y15_n4beg[6] ;
wire \tile_x4y15_n4beg[7] ;
wire \tile_x4y15_n4beg[8] ;
wire \tile_x4y15_n4beg[9] ;
wire \tile_x4y15_nn4beg[0] ;
wire \tile_x4y15_nn4beg[10] ;
wire \tile_x4y15_nn4beg[11] ;
wire \tile_x4y15_nn4beg[12] ;
wire \tile_x4y15_nn4beg[13] ;
wire \tile_x4y15_nn4beg[14] ;
wire \tile_x4y15_nn4beg[15] ;
wire \tile_x4y15_nn4beg[1] ;
wire \tile_x4y15_nn4beg[2] ;
wire \tile_x4y15_nn4beg[3] ;
wire \tile_x4y15_nn4beg[4] ;
wire \tile_x4y15_nn4beg[5] ;
wire \tile_x4y15_nn4beg[6] ;
wire \tile_x4y15_nn4beg[7] ;
wire \tile_x4y15_nn4beg[8] ;
wire \tile_x4y15_nn4beg[9] ;
wire tile_x4y15_userclko;
wire \tile_x4y1_e1beg[0] ;
wire \tile_x4y1_e1beg[1] ;
wire \tile_x4y1_e1beg[2] ;
wire \tile_x4y1_e1beg[3] ;
wire \tile_x4y1_e2beg[0] ;
wire \tile_x4y1_e2beg[1] ;
wire \tile_x4y1_e2beg[2] ;
wire \tile_x4y1_e2beg[3] ;
wire \tile_x4y1_e2beg[4] ;
wire \tile_x4y1_e2beg[5] ;
wire \tile_x4y1_e2beg[6] ;
wire \tile_x4y1_e2beg[7] ;
wire \tile_x4y1_e2begb[0] ;
wire \tile_x4y1_e2begb[1] ;
wire \tile_x4y1_e2begb[2] ;
wire \tile_x4y1_e2begb[3] ;
wire \tile_x4y1_e2begb[4] ;
wire \tile_x4y1_e2begb[5] ;
wire \tile_x4y1_e2begb[6] ;
wire \tile_x4y1_e2begb[7] ;
wire \tile_x4y1_e6beg[0] ;
wire \tile_x4y1_e6beg[10] ;
wire \tile_x4y1_e6beg[11] ;
wire \tile_x4y1_e6beg[1] ;
wire \tile_x4y1_e6beg[2] ;
wire \tile_x4y1_e6beg[3] ;
wire \tile_x4y1_e6beg[4] ;
wire \tile_x4y1_e6beg[5] ;
wire \tile_x4y1_e6beg[6] ;
wire \tile_x4y1_e6beg[7] ;
wire \tile_x4y1_e6beg[8] ;
wire \tile_x4y1_e6beg[9] ;
wire \tile_x4y1_ee4beg[0] ;
wire \tile_x4y1_ee4beg[10] ;
wire \tile_x4y1_ee4beg[11] ;
wire \tile_x4y1_ee4beg[12] ;
wire \tile_x4y1_ee4beg[13] ;
wire \tile_x4y1_ee4beg[14] ;
wire \tile_x4y1_ee4beg[15] ;
wire \tile_x4y1_ee4beg[1] ;
wire \tile_x4y1_ee4beg[2] ;
wire \tile_x4y1_ee4beg[3] ;
wire \tile_x4y1_ee4beg[4] ;
wire \tile_x4y1_ee4beg[5] ;
wire \tile_x4y1_ee4beg[6] ;
wire \tile_x4y1_ee4beg[7] ;
wire \tile_x4y1_ee4beg[8] ;
wire \tile_x4y1_ee4beg[9] ;
wire \tile_x4y1_framedata_o[0] ;
wire \tile_x4y1_framedata_o[10] ;
wire \tile_x4y1_framedata_o[11] ;
wire \tile_x4y1_framedata_o[12] ;
wire \tile_x4y1_framedata_o[13] ;
wire \tile_x4y1_framedata_o[14] ;
wire \tile_x4y1_framedata_o[15] ;
wire \tile_x4y1_framedata_o[16] ;
wire \tile_x4y1_framedata_o[17] ;
wire \tile_x4y1_framedata_o[18] ;
wire \tile_x4y1_framedata_o[19] ;
wire \tile_x4y1_framedata_o[1] ;
wire \tile_x4y1_framedata_o[20] ;
wire \tile_x4y1_framedata_o[21] ;
wire \tile_x4y1_framedata_o[22] ;
wire \tile_x4y1_framedata_o[23] ;
wire \tile_x4y1_framedata_o[24] ;
wire \tile_x4y1_framedata_o[25] ;
wire \tile_x4y1_framedata_o[26] ;
wire \tile_x4y1_framedata_o[27] ;
wire \tile_x4y1_framedata_o[28] ;
wire \tile_x4y1_framedata_o[29] ;
wire \tile_x4y1_framedata_o[2] ;
wire \tile_x4y1_framedata_o[30] ;
wire \tile_x4y1_framedata_o[31] ;
wire \tile_x4y1_framedata_o[3] ;
wire \tile_x4y1_framedata_o[4] ;
wire \tile_x4y1_framedata_o[5] ;
wire \tile_x4y1_framedata_o[6] ;
wire \tile_x4y1_framedata_o[7] ;
wire \tile_x4y1_framedata_o[8] ;
wire \tile_x4y1_framedata_o[9] ;
wire \tile_x4y1_framestrobe_o[0] ;
wire \tile_x4y1_framestrobe_o[10] ;
wire \tile_x4y1_framestrobe_o[11] ;
wire \tile_x4y1_framestrobe_o[12] ;
wire \tile_x4y1_framestrobe_o[13] ;
wire \tile_x4y1_framestrobe_o[14] ;
wire \tile_x4y1_framestrobe_o[15] ;
wire \tile_x4y1_framestrobe_o[16] ;
wire \tile_x4y1_framestrobe_o[17] ;
wire \tile_x4y1_framestrobe_o[18] ;
wire \tile_x4y1_framestrobe_o[19] ;
wire \tile_x4y1_framestrobe_o[1] ;
wire \tile_x4y1_framestrobe_o[2] ;
wire \tile_x4y1_framestrobe_o[3] ;
wire \tile_x4y1_framestrobe_o[4] ;
wire \tile_x4y1_framestrobe_o[5] ;
wire \tile_x4y1_framestrobe_o[6] ;
wire \tile_x4y1_framestrobe_o[7] ;
wire \tile_x4y1_framestrobe_o[8] ;
wire \tile_x4y1_framestrobe_o[9] ;
wire \tile_x4y1_n1beg[0] ;
wire \tile_x4y1_n1beg[1] ;
wire \tile_x4y1_n1beg[2] ;
wire \tile_x4y1_n1beg[3] ;
wire \tile_x4y1_n2beg[0] ;
wire \tile_x4y1_n2beg[1] ;
wire \tile_x4y1_n2beg[2] ;
wire \tile_x4y1_n2beg[3] ;
wire \tile_x4y1_n2beg[4] ;
wire \tile_x4y1_n2beg[5] ;
wire \tile_x4y1_n2beg[6] ;
wire \tile_x4y1_n2beg[7] ;
wire \tile_x4y1_n2begb[0] ;
wire \tile_x4y1_n2begb[1] ;
wire \tile_x4y1_n2begb[2] ;
wire \tile_x4y1_n2begb[3] ;
wire \tile_x4y1_n2begb[4] ;
wire \tile_x4y1_n2begb[5] ;
wire \tile_x4y1_n2begb[6] ;
wire \tile_x4y1_n2begb[7] ;
wire \tile_x4y1_n4beg[0] ;
wire \tile_x4y1_n4beg[10] ;
wire \tile_x4y1_n4beg[11] ;
wire \tile_x4y1_n4beg[12] ;
wire \tile_x4y1_n4beg[13] ;
wire \tile_x4y1_n4beg[14] ;
wire \tile_x4y1_n4beg[15] ;
wire \tile_x4y1_n4beg[1] ;
wire \tile_x4y1_n4beg[2] ;
wire \tile_x4y1_n4beg[3] ;
wire \tile_x4y1_n4beg[4] ;
wire \tile_x4y1_n4beg[5] ;
wire \tile_x4y1_n4beg[6] ;
wire \tile_x4y1_n4beg[7] ;
wire \tile_x4y1_n4beg[8] ;
wire \tile_x4y1_n4beg[9] ;
wire \tile_x4y1_nn4beg[0] ;
wire \tile_x4y1_nn4beg[10] ;
wire \tile_x4y1_nn4beg[11] ;
wire \tile_x4y1_nn4beg[12] ;
wire \tile_x4y1_nn4beg[13] ;
wire \tile_x4y1_nn4beg[14] ;
wire \tile_x4y1_nn4beg[15] ;
wire \tile_x4y1_nn4beg[1] ;
wire \tile_x4y1_nn4beg[2] ;
wire \tile_x4y1_nn4beg[3] ;
wire \tile_x4y1_nn4beg[4] ;
wire \tile_x4y1_nn4beg[5] ;
wire \tile_x4y1_nn4beg[6] ;
wire \tile_x4y1_nn4beg[7] ;
wire \tile_x4y1_nn4beg[8] ;
wire \tile_x4y1_nn4beg[9] ;
wire tile_x4y1_userclko;
wire \tile_x4y1_w1beg[0] ;
wire \tile_x4y1_w1beg[1] ;
wire \tile_x4y1_w1beg[2] ;
wire \tile_x4y1_w1beg[3] ;
wire \tile_x4y1_w2beg[0] ;
wire \tile_x4y1_w2beg[1] ;
wire \tile_x4y1_w2beg[2] ;
wire \tile_x4y1_w2beg[3] ;
wire \tile_x4y1_w2beg[4] ;
wire \tile_x4y1_w2beg[5] ;
wire \tile_x4y1_w2beg[6] ;
wire \tile_x4y1_w2beg[7] ;
wire \tile_x4y1_w2begb[0] ;
wire \tile_x4y1_w2begb[1] ;
wire \tile_x4y1_w2begb[2] ;
wire \tile_x4y1_w2begb[3] ;
wire \tile_x4y1_w2begb[4] ;
wire \tile_x4y1_w2begb[5] ;
wire \tile_x4y1_w2begb[6] ;
wire \tile_x4y1_w2begb[7] ;
wire \tile_x4y1_w6beg[0] ;
wire \tile_x4y1_w6beg[10] ;
wire \tile_x4y1_w6beg[11] ;
wire \tile_x4y1_w6beg[1] ;
wire \tile_x4y1_w6beg[2] ;
wire \tile_x4y1_w6beg[3] ;
wire \tile_x4y1_w6beg[4] ;
wire \tile_x4y1_w6beg[5] ;
wire \tile_x4y1_w6beg[6] ;
wire \tile_x4y1_w6beg[7] ;
wire \tile_x4y1_w6beg[8] ;
wire \tile_x4y1_w6beg[9] ;
wire \tile_x4y1_ww4beg[0] ;
wire \tile_x4y1_ww4beg[10] ;
wire \tile_x4y1_ww4beg[11] ;
wire \tile_x4y1_ww4beg[12] ;
wire \tile_x4y1_ww4beg[13] ;
wire \tile_x4y1_ww4beg[14] ;
wire \tile_x4y1_ww4beg[15] ;
wire \tile_x4y1_ww4beg[1] ;
wire \tile_x4y1_ww4beg[2] ;
wire \tile_x4y1_ww4beg[3] ;
wire \tile_x4y1_ww4beg[4] ;
wire \tile_x4y1_ww4beg[5] ;
wire \tile_x4y1_ww4beg[6] ;
wire \tile_x4y1_ww4beg[7] ;
wire \tile_x4y1_ww4beg[8] ;
wire \tile_x4y1_ww4beg[9] ;
wire \tile_x4y2_e1beg[0] ;
wire \tile_x4y2_e1beg[1] ;
wire \tile_x4y2_e1beg[2] ;
wire \tile_x4y2_e1beg[3] ;
wire \tile_x4y2_e2beg[0] ;
wire \tile_x4y2_e2beg[1] ;
wire \tile_x4y2_e2beg[2] ;
wire \tile_x4y2_e2beg[3] ;
wire \tile_x4y2_e2beg[4] ;
wire \tile_x4y2_e2beg[5] ;
wire \tile_x4y2_e2beg[6] ;
wire \tile_x4y2_e2beg[7] ;
wire \tile_x4y2_e2begb[0] ;
wire \tile_x4y2_e2begb[1] ;
wire \tile_x4y2_e2begb[2] ;
wire \tile_x4y2_e2begb[3] ;
wire \tile_x4y2_e2begb[4] ;
wire \tile_x4y2_e2begb[5] ;
wire \tile_x4y2_e2begb[6] ;
wire \tile_x4y2_e2begb[7] ;
wire \tile_x4y2_e6beg[0] ;
wire \tile_x4y2_e6beg[10] ;
wire \tile_x4y2_e6beg[11] ;
wire \tile_x4y2_e6beg[1] ;
wire \tile_x4y2_e6beg[2] ;
wire \tile_x4y2_e6beg[3] ;
wire \tile_x4y2_e6beg[4] ;
wire \tile_x4y2_e6beg[5] ;
wire \tile_x4y2_e6beg[6] ;
wire \tile_x4y2_e6beg[7] ;
wire \tile_x4y2_e6beg[8] ;
wire \tile_x4y2_e6beg[9] ;
wire \tile_x4y2_ee4beg[0] ;
wire \tile_x4y2_ee4beg[10] ;
wire \tile_x4y2_ee4beg[11] ;
wire \tile_x4y2_ee4beg[12] ;
wire \tile_x4y2_ee4beg[13] ;
wire \tile_x4y2_ee4beg[14] ;
wire \tile_x4y2_ee4beg[15] ;
wire \tile_x4y2_ee4beg[1] ;
wire \tile_x4y2_ee4beg[2] ;
wire \tile_x4y2_ee4beg[3] ;
wire \tile_x4y2_ee4beg[4] ;
wire \tile_x4y2_ee4beg[5] ;
wire \tile_x4y2_ee4beg[6] ;
wire \tile_x4y2_ee4beg[7] ;
wire \tile_x4y2_ee4beg[8] ;
wire \tile_x4y2_ee4beg[9] ;
wire \tile_x4y2_framedata_o[0] ;
wire \tile_x4y2_framedata_o[10] ;
wire \tile_x4y2_framedata_o[11] ;
wire \tile_x4y2_framedata_o[12] ;
wire \tile_x4y2_framedata_o[13] ;
wire \tile_x4y2_framedata_o[14] ;
wire \tile_x4y2_framedata_o[15] ;
wire \tile_x4y2_framedata_o[16] ;
wire \tile_x4y2_framedata_o[17] ;
wire \tile_x4y2_framedata_o[18] ;
wire \tile_x4y2_framedata_o[19] ;
wire \tile_x4y2_framedata_o[1] ;
wire \tile_x4y2_framedata_o[20] ;
wire \tile_x4y2_framedata_o[21] ;
wire \tile_x4y2_framedata_o[22] ;
wire \tile_x4y2_framedata_o[23] ;
wire \tile_x4y2_framedata_o[24] ;
wire \tile_x4y2_framedata_o[25] ;
wire \tile_x4y2_framedata_o[26] ;
wire \tile_x4y2_framedata_o[27] ;
wire \tile_x4y2_framedata_o[28] ;
wire \tile_x4y2_framedata_o[29] ;
wire \tile_x4y2_framedata_o[2] ;
wire \tile_x4y2_framedata_o[30] ;
wire \tile_x4y2_framedata_o[31] ;
wire \tile_x4y2_framedata_o[3] ;
wire \tile_x4y2_framedata_o[4] ;
wire \tile_x4y2_framedata_o[5] ;
wire \tile_x4y2_framedata_o[6] ;
wire \tile_x4y2_framedata_o[7] ;
wire \tile_x4y2_framedata_o[8] ;
wire \tile_x4y2_framedata_o[9] ;
wire \tile_x4y2_s1beg[0] ;
wire \tile_x4y2_s1beg[1] ;
wire \tile_x4y2_s1beg[2] ;
wire \tile_x4y2_s1beg[3] ;
wire \tile_x4y2_s2beg[0] ;
wire \tile_x4y2_s2beg[1] ;
wire \tile_x4y2_s2beg[2] ;
wire \tile_x4y2_s2beg[3] ;
wire \tile_x4y2_s2beg[4] ;
wire \tile_x4y2_s2beg[5] ;
wire \tile_x4y2_s2beg[6] ;
wire \tile_x4y2_s2beg[7] ;
wire \tile_x4y2_s2begb[0] ;
wire \tile_x4y2_s2begb[1] ;
wire \tile_x4y2_s2begb[2] ;
wire \tile_x4y2_s2begb[3] ;
wire \tile_x4y2_s2begb[4] ;
wire \tile_x4y2_s2begb[5] ;
wire \tile_x4y2_s2begb[6] ;
wire \tile_x4y2_s2begb[7] ;
wire \tile_x4y2_s4beg[0] ;
wire \tile_x4y2_s4beg[10] ;
wire \tile_x4y2_s4beg[11] ;
wire \tile_x4y2_s4beg[12] ;
wire \tile_x4y2_s4beg[13] ;
wire \tile_x4y2_s4beg[14] ;
wire \tile_x4y2_s4beg[15] ;
wire \tile_x4y2_s4beg[1] ;
wire \tile_x4y2_s4beg[2] ;
wire \tile_x4y2_s4beg[3] ;
wire \tile_x4y2_s4beg[4] ;
wire \tile_x4y2_s4beg[5] ;
wire \tile_x4y2_s4beg[6] ;
wire \tile_x4y2_s4beg[7] ;
wire \tile_x4y2_s4beg[8] ;
wire \tile_x4y2_s4beg[9] ;
wire \tile_x4y2_ss4beg[0] ;
wire \tile_x4y2_ss4beg[10] ;
wire \tile_x4y2_ss4beg[11] ;
wire \tile_x4y2_ss4beg[12] ;
wire \tile_x4y2_ss4beg[13] ;
wire \tile_x4y2_ss4beg[14] ;
wire \tile_x4y2_ss4beg[15] ;
wire \tile_x4y2_ss4beg[1] ;
wire \tile_x4y2_ss4beg[2] ;
wire \tile_x4y2_ss4beg[3] ;
wire \tile_x4y2_ss4beg[4] ;
wire \tile_x4y2_ss4beg[5] ;
wire \tile_x4y2_ss4beg[6] ;
wire \tile_x4y2_ss4beg[7] ;
wire \tile_x4y2_ss4beg[8] ;
wire \tile_x4y2_ss4beg[9] ;
wire \tile_x4y2_w1beg[0] ;
wire \tile_x4y2_w1beg[1] ;
wire \tile_x4y2_w1beg[2] ;
wire \tile_x4y2_w1beg[3] ;
wire \tile_x4y2_w2beg[0] ;
wire \tile_x4y2_w2beg[1] ;
wire \tile_x4y2_w2beg[2] ;
wire \tile_x4y2_w2beg[3] ;
wire \tile_x4y2_w2beg[4] ;
wire \tile_x4y2_w2beg[5] ;
wire \tile_x4y2_w2beg[6] ;
wire \tile_x4y2_w2beg[7] ;
wire \tile_x4y2_w2begb[0] ;
wire \tile_x4y2_w2begb[1] ;
wire \tile_x4y2_w2begb[2] ;
wire \tile_x4y2_w2begb[3] ;
wire \tile_x4y2_w2begb[4] ;
wire \tile_x4y2_w2begb[5] ;
wire \tile_x4y2_w2begb[6] ;
wire \tile_x4y2_w2begb[7] ;
wire \tile_x4y2_w6beg[0] ;
wire \tile_x4y2_w6beg[10] ;
wire \tile_x4y2_w6beg[11] ;
wire \tile_x4y2_w6beg[1] ;
wire \tile_x4y2_w6beg[2] ;
wire \tile_x4y2_w6beg[3] ;
wire \tile_x4y2_w6beg[4] ;
wire \tile_x4y2_w6beg[5] ;
wire \tile_x4y2_w6beg[6] ;
wire \tile_x4y2_w6beg[7] ;
wire \tile_x4y2_w6beg[8] ;
wire \tile_x4y2_w6beg[9] ;
wire \tile_x4y2_ww4beg[0] ;
wire \tile_x4y2_ww4beg[10] ;
wire \tile_x4y2_ww4beg[11] ;
wire \tile_x4y2_ww4beg[12] ;
wire \tile_x4y2_ww4beg[13] ;
wire \tile_x4y2_ww4beg[14] ;
wire \tile_x4y2_ww4beg[15] ;
wire \tile_x4y2_ww4beg[1] ;
wire \tile_x4y2_ww4beg[2] ;
wire \tile_x4y2_ww4beg[3] ;
wire \tile_x4y2_ww4beg[4] ;
wire \tile_x4y2_ww4beg[5] ;
wire \tile_x4y2_ww4beg[6] ;
wire \tile_x4y2_ww4beg[7] ;
wire \tile_x4y2_ww4beg[8] ;
wire \tile_x4y2_ww4beg[9] ;
wire \tile_x4y3_e1beg[0] ;
wire \tile_x4y3_e1beg[1] ;
wire \tile_x4y3_e1beg[2] ;
wire \tile_x4y3_e1beg[3] ;
wire \tile_x4y3_e2beg[0] ;
wire \tile_x4y3_e2beg[1] ;
wire \tile_x4y3_e2beg[2] ;
wire \tile_x4y3_e2beg[3] ;
wire \tile_x4y3_e2beg[4] ;
wire \tile_x4y3_e2beg[5] ;
wire \tile_x4y3_e2beg[6] ;
wire \tile_x4y3_e2beg[7] ;
wire \tile_x4y3_e2begb[0] ;
wire \tile_x4y3_e2begb[1] ;
wire \tile_x4y3_e2begb[2] ;
wire \tile_x4y3_e2begb[3] ;
wire \tile_x4y3_e2begb[4] ;
wire \tile_x4y3_e2begb[5] ;
wire \tile_x4y3_e2begb[6] ;
wire \tile_x4y3_e2begb[7] ;
wire \tile_x4y3_e6beg[0] ;
wire \tile_x4y3_e6beg[10] ;
wire \tile_x4y3_e6beg[11] ;
wire \tile_x4y3_e6beg[1] ;
wire \tile_x4y3_e6beg[2] ;
wire \tile_x4y3_e6beg[3] ;
wire \tile_x4y3_e6beg[4] ;
wire \tile_x4y3_e6beg[5] ;
wire \tile_x4y3_e6beg[6] ;
wire \tile_x4y3_e6beg[7] ;
wire \tile_x4y3_e6beg[8] ;
wire \tile_x4y3_e6beg[9] ;
wire \tile_x4y3_ee4beg[0] ;
wire \tile_x4y3_ee4beg[10] ;
wire \tile_x4y3_ee4beg[11] ;
wire \tile_x4y3_ee4beg[12] ;
wire \tile_x4y3_ee4beg[13] ;
wire \tile_x4y3_ee4beg[14] ;
wire \tile_x4y3_ee4beg[15] ;
wire \tile_x4y3_ee4beg[1] ;
wire \tile_x4y3_ee4beg[2] ;
wire \tile_x4y3_ee4beg[3] ;
wire \tile_x4y3_ee4beg[4] ;
wire \tile_x4y3_ee4beg[5] ;
wire \tile_x4y3_ee4beg[6] ;
wire \tile_x4y3_ee4beg[7] ;
wire \tile_x4y3_ee4beg[8] ;
wire \tile_x4y3_ee4beg[9] ;
wire \tile_x4y3_framedata_o[0] ;
wire \tile_x4y3_framedata_o[10] ;
wire \tile_x4y3_framedata_o[11] ;
wire \tile_x4y3_framedata_o[12] ;
wire \tile_x4y3_framedata_o[13] ;
wire \tile_x4y3_framedata_o[14] ;
wire \tile_x4y3_framedata_o[15] ;
wire \tile_x4y3_framedata_o[16] ;
wire \tile_x4y3_framedata_o[17] ;
wire \tile_x4y3_framedata_o[18] ;
wire \tile_x4y3_framedata_o[19] ;
wire \tile_x4y3_framedata_o[1] ;
wire \tile_x4y3_framedata_o[20] ;
wire \tile_x4y3_framedata_o[21] ;
wire \tile_x4y3_framedata_o[22] ;
wire \tile_x4y3_framedata_o[23] ;
wire \tile_x4y3_framedata_o[24] ;
wire \tile_x4y3_framedata_o[25] ;
wire \tile_x4y3_framedata_o[26] ;
wire \tile_x4y3_framedata_o[27] ;
wire \tile_x4y3_framedata_o[28] ;
wire \tile_x4y3_framedata_o[29] ;
wire \tile_x4y3_framedata_o[2] ;
wire \tile_x4y3_framedata_o[30] ;
wire \tile_x4y3_framedata_o[31] ;
wire \tile_x4y3_framedata_o[3] ;
wire \tile_x4y3_framedata_o[4] ;
wire \tile_x4y3_framedata_o[5] ;
wire \tile_x4y3_framedata_o[6] ;
wire \tile_x4y3_framedata_o[7] ;
wire \tile_x4y3_framedata_o[8] ;
wire \tile_x4y3_framedata_o[9] ;
wire \tile_x4y3_framestrobe_o[0] ;
wire \tile_x4y3_framestrobe_o[10] ;
wire \tile_x4y3_framestrobe_o[11] ;
wire \tile_x4y3_framestrobe_o[12] ;
wire \tile_x4y3_framestrobe_o[13] ;
wire \tile_x4y3_framestrobe_o[14] ;
wire \tile_x4y3_framestrobe_o[15] ;
wire \tile_x4y3_framestrobe_o[16] ;
wire \tile_x4y3_framestrobe_o[17] ;
wire \tile_x4y3_framestrobe_o[18] ;
wire \tile_x4y3_framestrobe_o[19] ;
wire \tile_x4y3_framestrobe_o[1] ;
wire \tile_x4y3_framestrobe_o[2] ;
wire \tile_x4y3_framestrobe_o[3] ;
wire \tile_x4y3_framestrobe_o[4] ;
wire \tile_x4y3_framestrobe_o[5] ;
wire \tile_x4y3_framestrobe_o[6] ;
wire \tile_x4y3_framestrobe_o[7] ;
wire \tile_x4y3_framestrobe_o[8] ;
wire \tile_x4y3_framestrobe_o[9] ;
wire \tile_x4y3_n1beg[0] ;
wire \tile_x4y3_n1beg[1] ;
wire \tile_x4y3_n1beg[2] ;
wire \tile_x4y3_n1beg[3] ;
wire \tile_x4y3_n2beg[0] ;
wire \tile_x4y3_n2beg[1] ;
wire \tile_x4y3_n2beg[2] ;
wire \tile_x4y3_n2beg[3] ;
wire \tile_x4y3_n2beg[4] ;
wire \tile_x4y3_n2beg[5] ;
wire \tile_x4y3_n2beg[6] ;
wire \tile_x4y3_n2beg[7] ;
wire \tile_x4y3_n2begb[0] ;
wire \tile_x4y3_n2begb[1] ;
wire \tile_x4y3_n2begb[2] ;
wire \tile_x4y3_n2begb[3] ;
wire \tile_x4y3_n2begb[4] ;
wire \tile_x4y3_n2begb[5] ;
wire \tile_x4y3_n2begb[6] ;
wire \tile_x4y3_n2begb[7] ;
wire \tile_x4y3_n4beg[0] ;
wire \tile_x4y3_n4beg[10] ;
wire \tile_x4y3_n4beg[11] ;
wire \tile_x4y3_n4beg[12] ;
wire \tile_x4y3_n4beg[13] ;
wire \tile_x4y3_n4beg[14] ;
wire \tile_x4y3_n4beg[15] ;
wire \tile_x4y3_n4beg[1] ;
wire \tile_x4y3_n4beg[2] ;
wire \tile_x4y3_n4beg[3] ;
wire \tile_x4y3_n4beg[4] ;
wire \tile_x4y3_n4beg[5] ;
wire \tile_x4y3_n4beg[6] ;
wire \tile_x4y3_n4beg[7] ;
wire \tile_x4y3_n4beg[8] ;
wire \tile_x4y3_n4beg[9] ;
wire \tile_x4y3_nn4beg[0] ;
wire \tile_x4y3_nn4beg[10] ;
wire \tile_x4y3_nn4beg[11] ;
wire \tile_x4y3_nn4beg[12] ;
wire \tile_x4y3_nn4beg[13] ;
wire \tile_x4y3_nn4beg[14] ;
wire \tile_x4y3_nn4beg[15] ;
wire \tile_x4y3_nn4beg[1] ;
wire \tile_x4y3_nn4beg[2] ;
wire \tile_x4y3_nn4beg[3] ;
wire \tile_x4y3_nn4beg[4] ;
wire \tile_x4y3_nn4beg[5] ;
wire \tile_x4y3_nn4beg[6] ;
wire \tile_x4y3_nn4beg[7] ;
wire \tile_x4y3_nn4beg[8] ;
wire \tile_x4y3_nn4beg[9] ;
wire tile_x4y3_userclko;
wire \tile_x4y3_w1beg[0] ;
wire \tile_x4y3_w1beg[1] ;
wire \tile_x4y3_w1beg[2] ;
wire \tile_x4y3_w1beg[3] ;
wire \tile_x4y3_w2beg[0] ;
wire \tile_x4y3_w2beg[1] ;
wire \tile_x4y3_w2beg[2] ;
wire \tile_x4y3_w2beg[3] ;
wire \tile_x4y3_w2beg[4] ;
wire \tile_x4y3_w2beg[5] ;
wire \tile_x4y3_w2beg[6] ;
wire \tile_x4y3_w2beg[7] ;
wire \tile_x4y3_w2begb[0] ;
wire \tile_x4y3_w2begb[1] ;
wire \tile_x4y3_w2begb[2] ;
wire \tile_x4y3_w2begb[3] ;
wire \tile_x4y3_w2begb[4] ;
wire \tile_x4y3_w2begb[5] ;
wire \tile_x4y3_w2begb[6] ;
wire \tile_x4y3_w2begb[7] ;
wire \tile_x4y3_w6beg[0] ;
wire \tile_x4y3_w6beg[10] ;
wire \tile_x4y3_w6beg[11] ;
wire \tile_x4y3_w6beg[1] ;
wire \tile_x4y3_w6beg[2] ;
wire \tile_x4y3_w6beg[3] ;
wire \tile_x4y3_w6beg[4] ;
wire \tile_x4y3_w6beg[5] ;
wire \tile_x4y3_w6beg[6] ;
wire \tile_x4y3_w6beg[7] ;
wire \tile_x4y3_w6beg[8] ;
wire \tile_x4y3_w6beg[9] ;
wire \tile_x4y3_ww4beg[0] ;
wire \tile_x4y3_ww4beg[10] ;
wire \tile_x4y3_ww4beg[11] ;
wire \tile_x4y3_ww4beg[12] ;
wire \tile_x4y3_ww4beg[13] ;
wire \tile_x4y3_ww4beg[14] ;
wire \tile_x4y3_ww4beg[15] ;
wire \tile_x4y3_ww4beg[1] ;
wire \tile_x4y3_ww4beg[2] ;
wire \tile_x4y3_ww4beg[3] ;
wire \tile_x4y3_ww4beg[4] ;
wire \tile_x4y3_ww4beg[5] ;
wire \tile_x4y3_ww4beg[6] ;
wire \tile_x4y3_ww4beg[7] ;
wire \tile_x4y3_ww4beg[8] ;
wire \tile_x4y3_ww4beg[9] ;
wire \tile_x4y4_e1beg[0] ;
wire \tile_x4y4_e1beg[1] ;
wire \tile_x4y4_e1beg[2] ;
wire \tile_x4y4_e1beg[3] ;
wire \tile_x4y4_e2beg[0] ;
wire \tile_x4y4_e2beg[1] ;
wire \tile_x4y4_e2beg[2] ;
wire \tile_x4y4_e2beg[3] ;
wire \tile_x4y4_e2beg[4] ;
wire \tile_x4y4_e2beg[5] ;
wire \tile_x4y4_e2beg[6] ;
wire \tile_x4y4_e2beg[7] ;
wire \tile_x4y4_e2begb[0] ;
wire \tile_x4y4_e2begb[1] ;
wire \tile_x4y4_e2begb[2] ;
wire \tile_x4y4_e2begb[3] ;
wire \tile_x4y4_e2begb[4] ;
wire \tile_x4y4_e2begb[5] ;
wire \tile_x4y4_e2begb[6] ;
wire \tile_x4y4_e2begb[7] ;
wire \tile_x4y4_e6beg[0] ;
wire \tile_x4y4_e6beg[10] ;
wire \tile_x4y4_e6beg[11] ;
wire \tile_x4y4_e6beg[1] ;
wire \tile_x4y4_e6beg[2] ;
wire \tile_x4y4_e6beg[3] ;
wire \tile_x4y4_e6beg[4] ;
wire \tile_x4y4_e6beg[5] ;
wire \tile_x4y4_e6beg[6] ;
wire \tile_x4y4_e6beg[7] ;
wire \tile_x4y4_e6beg[8] ;
wire \tile_x4y4_e6beg[9] ;
wire \tile_x4y4_ee4beg[0] ;
wire \tile_x4y4_ee4beg[10] ;
wire \tile_x4y4_ee4beg[11] ;
wire \tile_x4y4_ee4beg[12] ;
wire \tile_x4y4_ee4beg[13] ;
wire \tile_x4y4_ee4beg[14] ;
wire \tile_x4y4_ee4beg[15] ;
wire \tile_x4y4_ee4beg[1] ;
wire \tile_x4y4_ee4beg[2] ;
wire \tile_x4y4_ee4beg[3] ;
wire \tile_x4y4_ee4beg[4] ;
wire \tile_x4y4_ee4beg[5] ;
wire \tile_x4y4_ee4beg[6] ;
wire \tile_x4y4_ee4beg[7] ;
wire \tile_x4y4_ee4beg[8] ;
wire \tile_x4y4_ee4beg[9] ;
wire \tile_x4y4_framedata_o[0] ;
wire \tile_x4y4_framedata_o[10] ;
wire \tile_x4y4_framedata_o[11] ;
wire \tile_x4y4_framedata_o[12] ;
wire \tile_x4y4_framedata_o[13] ;
wire \tile_x4y4_framedata_o[14] ;
wire \tile_x4y4_framedata_o[15] ;
wire \tile_x4y4_framedata_o[16] ;
wire \tile_x4y4_framedata_o[17] ;
wire \tile_x4y4_framedata_o[18] ;
wire \tile_x4y4_framedata_o[19] ;
wire \tile_x4y4_framedata_o[1] ;
wire \tile_x4y4_framedata_o[20] ;
wire \tile_x4y4_framedata_o[21] ;
wire \tile_x4y4_framedata_o[22] ;
wire \tile_x4y4_framedata_o[23] ;
wire \tile_x4y4_framedata_o[24] ;
wire \tile_x4y4_framedata_o[25] ;
wire \tile_x4y4_framedata_o[26] ;
wire \tile_x4y4_framedata_o[27] ;
wire \tile_x4y4_framedata_o[28] ;
wire \tile_x4y4_framedata_o[29] ;
wire \tile_x4y4_framedata_o[2] ;
wire \tile_x4y4_framedata_o[30] ;
wire \tile_x4y4_framedata_o[31] ;
wire \tile_x4y4_framedata_o[3] ;
wire \tile_x4y4_framedata_o[4] ;
wire \tile_x4y4_framedata_o[5] ;
wire \tile_x4y4_framedata_o[6] ;
wire \tile_x4y4_framedata_o[7] ;
wire \tile_x4y4_framedata_o[8] ;
wire \tile_x4y4_framedata_o[9] ;
wire \tile_x4y4_s1beg[0] ;
wire \tile_x4y4_s1beg[1] ;
wire \tile_x4y4_s1beg[2] ;
wire \tile_x4y4_s1beg[3] ;
wire \tile_x4y4_s2beg[0] ;
wire \tile_x4y4_s2beg[1] ;
wire \tile_x4y4_s2beg[2] ;
wire \tile_x4y4_s2beg[3] ;
wire \tile_x4y4_s2beg[4] ;
wire \tile_x4y4_s2beg[5] ;
wire \tile_x4y4_s2beg[6] ;
wire \tile_x4y4_s2beg[7] ;
wire \tile_x4y4_s2begb[0] ;
wire \tile_x4y4_s2begb[1] ;
wire \tile_x4y4_s2begb[2] ;
wire \tile_x4y4_s2begb[3] ;
wire \tile_x4y4_s2begb[4] ;
wire \tile_x4y4_s2begb[5] ;
wire \tile_x4y4_s2begb[6] ;
wire \tile_x4y4_s2begb[7] ;
wire \tile_x4y4_s4beg[0] ;
wire \tile_x4y4_s4beg[10] ;
wire \tile_x4y4_s4beg[11] ;
wire \tile_x4y4_s4beg[12] ;
wire \tile_x4y4_s4beg[13] ;
wire \tile_x4y4_s4beg[14] ;
wire \tile_x4y4_s4beg[15] ;
wire \tile_x4y4_s4beg[1] ;
wire \tile_x4y4_s4beg[2] ;
wire \tile_x4y4_s4beg[3] ;
wire \tile_x4y4_s4beg[4] ;
wire \tile_x4y4_s4beg[5] ;
wire \tile_x4y4_s4beg[6] ;
wire \tile_x4y4_s4beg[7] ;
wire \tile_x4y4_s4beg[8] ;
wire \tile_x4y4_s4beg[9] ;
wire \tile_x4y4_ss4beg[0] ;
wire \tile_x4y4_ss4beg[10] ;
wire \tile_x4y4_ss4beg[11] ;
wire \tile_x4y4_ss4beg[12] ;
wire \tile_x4y4_ss4beg[13] ;
wire \tile_x4y4_ss4beg[14] ;
wire \tile_x4y4_ss4beg[15] ;
wire \tile_x4y4_ss4beg[1] ;
wire \tile_x4y4_ss4beg[2] ;
wire \tile_x4y4_ss4beg[3] ;
wire \tile_x4y4_ss4beg[4] ;
wire \tile_x4y4_ss4beg[5] ;
wire \tile_x4y4_ss4beg[6] ;
wire \tile_x4y4_ss4beg[7] ;
wire \tile_x4y4_ss4beg[8] ;
wire \tile_x4y4_ss4beg[9] ;
wire \tile_x4y4_w1beg[0] ;
wire \tile_x4y4_w1beg[1] ;
wire \tile_x4y4_w1beg[2] ;
wire \tile_x4y4_w1beg[3] ;
wire \tile_x4y4_w2beg[0] ;
wire \tile_x4y4_w2beg[1] ;
wire \tile_x4y4_w2beg[2] ;
wire \tile_x4y4_w2beg[3] ;
wire \tile_x4y4_w2beg[4] ;
wire \tile_x4y4_w2beg[5] ;
wire \tile_x4y4_w2beg[6] ;
wire \tile_x4y4_w2beg[7] ;
wire \tile_x4y4_w2begb[0] ;
wire \tile_x4y4_w2begb[1] ;
wire \tile_x4y4_w2begb[2] ;
wire \tile_x4y4_w2begb[3] ;
wire \tile_x4y4_w2begb[4] ;
wire \tile_x4y4_w2begb[5] ;
wire \tile_x4y4_w2begb[6] ;
wire \tile_x4y4_w2begb[7] ;
wire \tile_x4y4_w6beg[0] ;
wire \tile_x4y4_w6beg[10] ;
wire \tile_x4y4_w6beg[11] ;
wire \tile_x4y4_w6beg[1] ;
wire \tile_x4y4_w6beg[2] ;
wire \tile_x4y4_w6beg[3] ;
wire \tile_x4y4_w6beg[4] ;
wire \tile_x4y4_w6beg[5] ;
wire \tile_x4y4_w6beg[6] ;
wire \tile_x4y4_w6beg[7] ;
wire \tile_x4y4_w6beg[8] ;
wire \tile_x4y4_w6beg[9] ;
wire \tile_x4y4_ww4beg[0] ;
wire \tile_x4y4_ww4beg[10] ;
wire \tile_x4y4_ww4beg[11] ;
wire \tile_x4y4_ww4beg[12] ;
wire \tile_x4y4_ww4beg[13] ;
wire \tile_x4y4_ww4beg[14] ;
wire \tile_x4y4_ww4beg[15] ;
wire \tile_x4y4_ww4beg[1] ;
wire \tile_x4y4_ww4beg[2] ;
wire \tile_x4y4_ww4beg[3] ;
wire \tile_x4y4_ww4beg[4] ;
wire \tile_x4y4_ww4beg[5] ;
wire \tile_x4y4_ww4beg[6] ;
wire \tile_x4y4_ww4beg[7] ;
wire \tile_x4y4_ww4beg[8] ;
wire \tile_x4y4_ww4beg[9] ;
wire \tile_x4y5_e1beg[0] ;
wire \tile_x4y5_e1beg[1] ;
wire \tile_x4y5_e1beg[2] ;
wire \tile_x4y5_e1beg[3] ;
wire \tile_x4y5_e2beg[0] ;
wire \tile_x4y5_e2beg[1] ;
wire \tile_x4y5_e2beg[2] ;
wire \tile_x4y5_e2beg[3] ;
wire \tile_x4y5_e2beg[4] ;
wire \tile_x4y5_e2beg[5] ;
wire \tile_x4y5_e2beg[6] ;
wire \tile_x4y5_e2beg[7] ;
wire \tile_x4y5_e2begb[0] ;
wire \tile_x4y5_e2begb[1] ;
wire \tile_x4y5_e2begb[2] ;
wire \tile_x4y5_e2begb[3] ;
wire \tile_x4y5_e2begb[4] ;
wire \tile_x4y5_e2begb[5] ;
wire \tile_x4y5_e2begb[6] ;
wire \tile_x4y5_e2begb[7] ;
wire \tile_x4y5_e6beg[0] ;
wire \tile_x4y5_e6beg[10] ;
wire \tile_x4y5_e6beg[11] ;
wire \tile_x4y5_e6beg[1] ;
wire \tile_x4y5_e6beg[2] ;
wire \tile_x4y5_e6beg[3] ;
wire \tile_x4y5_e6beg[4] ;
wire \tile_x4y5_e6beg[5] ;
wire \tile_x4y5_e6beg[6] ;
wire \tile_x4y5_e6beg[7] ;
wire \tile_x4y5_e6beg[8] ;
wire \tile_x4y5_e6beg[9] ;
wire \tile_x4y5_ee4beg[0] ;
wire \tile_x4y5_ee4beg[10] ;
wire \tile_x4y5_ee4beg[11] ;
wire \tile_x4y5_ee4beg[12] ;
wire \tile_x4y5_ee4beg[13] ;
wire \tile_x4y5_ee4beg[14] ;
wire \tile_x4y5_ee4beg[15] ;
wire \tile_x4y5_ee4beg[1] ;
wire \tile_x4y5_ee4beg[2] ;
wire \tile_x4y5_ee4beg[3] ;
wire \tile_x4y5_ee4beg[4] ;
wire \tile_x4y5_ee4beg[5] ;
wire \tile_x4y5_ee4beg[6] ;
wire \tile_x4y5_ee4beg[7] ;
wire \tile_x4y5_ee4beg[8] ;
wire \tile_x4y5_ee4beg[9] ;
wire \tile_x4y5_framedata_o[0] ;
wire \tile_x4y5_framedata_o[10] ;
wire \tile_x4y5_framedata_o[11] ;
wire \tile_x4y5_framedata_o[12] ;
wire \tile_x4y5_framedata_o[13] ;
wire \tile_x4y5_framedata_o[14] ;
wire \tile_x4y5_framedata_o[15] ;
wire \tile_x4y5_framedata_o[16] ;
wire \tile_x4y5_framedata_o[17] ;
wire \tile_x4y5_framedata_o[18] ;
wire \tile_x4y5_framedata_o[19] ;
wire \tile_x4y5_framedata_o[1] ;
wire \tile_x4y5_framedata_o[20] ;
wire \tile_x4y5_framedata_o[21] ;
wire \tile_x4y5_framedata_o[22] ;
wire \tile_x4y5_framedata_o[23] ;
wire \tile_x4y5_framedata_o[24] ;
wire \tile_x4y5_framedata_o[25] ;
wire \tile_x4y5_framedata_o[26] ;
wire \tile_x4y5_framedata_o[27] ;
wire \tile_x4y5_framedata_o[28] ;
wire \tile_x4y5_framedata_o[29] ;
wire \tile_x4y5_framedata_o[2] ;
wire \tile_x4y5_framedata_o[30] ;
wire \tile_x4y5_framedata_o[31] ;
wire \tile_x4y5_framedata_o[3] ;
wire \tile_x4y5_framedata_o[4] ;
wire \tile_x4y5_framedata_o[5] ;
wire \tile_x4y5_framedata_o[6] ;
wire \tile_x4y5_framedata_o[7] ;
wire \tile_x4y5_framedata_o[8] ;
wire \tile_x4y5_framedata_o[9] ;
wire \tile_x4y5_framestrobe_o[0] ;
wire \tile_x4y5_framestrobe_o[10] ;
wire \tile_x4y5_framestrobe_o[11] ;
wire \tile_x4y5_framestrobe_o[12] ;
wire \tile_x4y5_framestrobe_o[13] ;
wire \tile_x4y5_framestrobe_o[14] ;
wire \tile_x4y5_framestrobe_o[15] ;
wire \tile_x4y5_framestrobe_o[16] ;
wire \tile_x4y5_framestrobe_o[17] ;
wire \tile_x4y5_framestrobe_o[18] ;
wire \tile_x4y5_framestrobe_o[19] ;
wire \tile_x4y5_framestrobe_o[1] ;
wire \tile_x4y5_framestrobe_o[2] ;
wire \tile_x4y5_framestrobe_o[3] ;
wire \tile_x4y5_framestrobe_o[4] ;
wire \tile_x4y5_framestrobe_o[5] ;
wire \tile_x4y5_framestrobe_o[6] ;
wire \tile_x4y5_framestrobe_o[7] ;
wire \tile_x4y5_framestrobe_o[8] ;
wire \tile_x4y5_framestrobe_o[9] ;
wire \tile_x4y5_n1beg[0] ;
wire \tile_x4y5_n1beg[1] ;
wire \tile_x4y5_n1beg[2] ;
wire \tile_x4y5_n1beg[3] ;
wire \tile_x4y5_n2beg[0] ;
wire \tile_x4y5_n2beg[1] ;
wire \tile_x4y5_n2beg[2] ;
wire \tile_x4y5_n2beg[3] ;
wire \tile_x4y5_n2beg[4] ;
wire \tile_x4y5_n2beg[5] ;
wire \tile_x4y5_n2beg[6] ;
wire \tile_x4y5_n2beg[7] ;
wire \tile_x4y5_n2begb[0] ;
wire \tile_x4y5_n2begb[1] ;
wire \tile_x4y5_n2begb[2] ;
wire \tile_x4y5_n2begb[3] ;
wire \tile_x4y5_n2begb[4] ;
wire \tile_x4y5_n2begb[5] ;
wire \tile_x4y5_n2begb[6] ;
wire \tile_x4y5_n2begb[7] ;
wire \tile_x4y5_n4beg[0] ;
wire \tile_x4y5_n4beg[10] ;
wire \tile_x4y5_n4beg[11] ;
wire \tile_x4y5_n4beg[12] ;
wire \tile_x4y5_n4beg[13] ;
wire \tile_x4y5_n4beg[14] ;
wire \tile_x4y5_n4beg[15] ;
wire \tile_x4y5_n4beg[1] ;
wire \tile_x4y5_n4beg[2] ;
wire \tile_x4y5_n4beg[3] ;
wire \tile_x4y5_n4beg[4] ;
wire \tile_x4y5_n4beg[5] ;
wire \tile_x4y5_n4beg[6] ;
wire \tile_x4y5_n4beg[7] ;
wire \tile_x4y5_n4beg[8] ;
wire \tile_x4y5_n4beg[9] ;
wire \tile_x4y5_nn4beg[0] ;
wire \tile_x4y5_nn4beg[10] ;
wire \tile_x4y5_nn4beg[11] ;
wire \tile_x4y5_nn4beg[12] ;
wire \tile_x4y5_nn4beg[13] ;
wire \tile_x4y5_nn4beg[14] ;
wire \tile_x4y5_nn4beg[15] ;
wire \tile_x4y5_nn4beg[1] ;
wire \tile_x4y5_nn4beg[2] ;
wire \tile_x4y5_nn4beg[3] ;
wire \tile_x4y5_nn4beg[4] ;
wire \tile_x4y5_nn4beg[5] ;
wire \tile_x4y5_nn4beg[6] ;
wire \tile_x4y5_nn4beg[7] ;
wire \tile_x4y5_nn4beg[8] ;
wire \tile_x4y5_nn4beg[9] ;
wire tile_x4y5_userclko;
wire \tile_x4y5_w1beg[0] ;
wire \tile_x4y5_w1beg[1] ;
wire \tile_x4y5_w1beg[2] ;
wire \tile_x4y5_w1beg[3] ;
wire \tile_x4y5_w2beg[0] ;
wire \tile_x4y5_w2beg[1] ;
wire \tile_x4y5_w2beg[2] ;
wire \tile_x4y5_w2beg[3] ;
wire \tile_x4y5_w2beg[4] ;
wire \tile_x4y5_w2beg[5] ;
wire \tile_x4y5_w2beg[6] ;
wire \tile_x4y5_w2beg[7] ;
wire \tile_x4y5_w2begb[0] ;
wire \tile_x4y5_w2begb[1] ;
wire \tile_x4y5_w2begb[2] ;
wire \tile_x4y5_w2begb[3] ;
wire \tile_x4y5_w2begb[4] ;
wire \tile_x4y5_w2begb[5] ;
wire \tile_x4y5_w2begb[6] ;
wire \tile_x4y5_w2begb[7] ;
wire \tile_x4y5_w6beg[0] ;
wire \tile_x4y5_w6beg[10] ;
wire \tile_x4y5_w6beg[11] ;
wire \tile_x4y5_w6beg[1] ;
wire \tile_x4y5_w6beg[2] ;
wire \tile_x4y5_w6beg[3] ;
wire \tile_x4y5_w6beg[4] ;
wire \tile_x4y5_w6beg[5] ;
wire \tile_x4y5_w6beg[6] ;
wire \tile_x4y5_w6beg[7] ;
wire \tile_x4y5_w6beg[8] ;
wire \tile_x4y5_w6beg[9] ;
wire \tile_x4y5_ww4beg[0] ;
wire \tile_x4y5_ww4beg[10] ;
wire \tile_x4y5_ww4beg[11] ;
wire \tile_x4y5_ww4beg[12] ;
wire \tile_x4y5_ww4beg[13] ;
wire \tile_x4y5_ww4beg[14] ;
wire \tile_x4y5_ww4beg[15] ;
wire \tile_x4y5_ww4beg[1] ;
wire \tile_x4y5_ww4beg[2] ;
wire \tile_x4y5_ww4beg[3] ;
wire \tile_x4y5_ww4beg[4] ;
wire \tile_x4y5_ww4beg[5] ;
wire \tile_x4y5_ww4beg[6] ;
wire \tile_x4y5_ww4beg[7] ;
wire \tile_x4y5_ww4beg[8] ;
wire \tile_x4y5_ww4beg[9] ;
wire \tile_x4y6_e1beg[0] ;
wire \tile_x4y6_e1beg[1] ;
wire \tile_x4y6_e1beg[2] ;
wire \tile_x4y6_e1beg[3] ;
wire \tile_x4y6_e2beg[0] ;
wire \tile_x4y6_e2beg[1] ;
wire \tile_x4y6_e2beg[2] ;
wire \tile_x4y6_e2beg[3] ;
wire \tile_x4y6_e2beg[4] ;
wire \tile_x4y6_e2beg[5] ;
wire \tile_x4y6_e2beg[6] ;
wire \tile_x4y6_e2beg[7] ;
wire \tile_x4y6_e2begb[0] ;
wire \tile_x4y6_e2begb[1] ;
wire \tile_x4y6_e2begb[2] ;
wire \tile_x4y6_e2begb[3] ;
wire \tile_x4y6_e2begb[4] ;
wire \tile_x4y6_e2begb[5] ;
wire \tile_x4y6_e2begb[6] ;
wire \tile_x4y6_e2begb[7] ;
wire \tile_x4y6_e6beg[0] ;
wire \tile_x4y6_e6beg[10] ;
wire \tile_x4y6_e6beg[11] ;
wire \tile_x4y6_e6beg[1] ;
wire \tile_x4y6_e6beg[2] ;
wire \tile_x4y6_e6beg[3] ;
wire \tile_x4y6_e6beg[4] ;
wire \tile_x4y6_e6beg[5] ;
wire \tile_x4y6_e6beg[6] ;
wire \tile_x4y6_e6beg[7] ;
wire \tile_x4y6_e6beg[8] ;
wire \tile_x4y6_e6beg[9] ;
wire \tile_x4y6_ee4beg[0] ;
wire \tile_x4y6_ee4beg[10] ;
wire \tile_x4y6_ee4beg[11] ;
wire \tile_x4y6_ee4beg[12] ;
wire \tile_x4y6_ee4beg[13] ;
wire \tile_x4y6_ee4beg[14] ;
wire \tile_x4y6_ee4beg[15] ;
wire \tile_x4y6_ee4beg[1] ;
wire \tile_x4y6_ee4beg[2] ;
wire \tile_x4y6_ee4beg[3] ;
wire \tile_x4y6_ee4beg[4] ;
wire \tile_x4y6_ee4beg[5] ;
wire \tile_x4y6_ee4beg[6] ;
wire \tile_x4y6_ee4beg[7] ;
wire \tile_x4y6_ee4beg[8] ;
wire \tile_x4y6_ee4beg[9] ;
wire \tile_x4y6_framedata_o[0] ;
wire \tile_x4y6_framedata_o[10] ;
wire \tile_x4y6_framedata_o[11] ;
wire \tile_x4y6_framedata_o[12] ;
wire \tile_x4y6_framedata_o[13] ;
wire \tile_x4y6_framedata_o[14] ;
wire \tile_x4y6_framedata_o[15] ;
wire \tile_x4y6_framedata_o[16] ;
wire \tile_x4y6_framedata_o[17] ;
wire \tile_x4y6_framedata_o[18] ;
wire \tile_x4y6_framedata_o[19] ;
wire \tile_x4y6_framedata_o[1] ;
wire \tile_x4y6_framedata_o[20] ;
wire \tile_x4y6_framedata_o[21] ;
wire \tile_x4y6_framedata_o[22] ;
wire \tile_x4y6_framedata_o[23] ;
wire \tile_x4y6_framedata_o[24] ;
wire \tile_x4y6_framedata_o[25] ;
wire \tile_x4y6_framedata_o[26] ;
wire \tile_x4y6_framedata_o[27] ;
wire \tile_x4y6_framedata_o[28] ;
wire \tile_x4y6_framedata_o[29] ;
wire \tile_x4y6_framedata_o[2] ;
wire \tile_x4y6_framedata_o[30] ;
wire \tile_x4y6_framedata_o[31] ;
wire \tile_x4y6_framedata_o[3] ;
wire \tile_x4y6_framedata_o[4] ;
wire \tile_x4y6_framedata_o[5] ;
wire \tile_x4y6_framedata_o[6] ;
wire \tile_x4y6_framedata_o[7] ;
wire \tile_x4y6_framedata_o[8] ;
wire \tile_x4y6_framedata_o[9] ;
wire \tile_x4y6_s1beg[0] ;
wire \tile_x4y6_s1beg[1] ;
wire \tile_x4y6_s1beg[2] ;
wire \tile_x4y6_s1beg[3] ;
wire \tile_x4y6_s2beg[0] ;
wire \tile_x4y6_s2beg[1] ;
wire \tile_x4y6_s2beg[2] ;
wire \tile_x4y6_s2beg[3] ;
wire \tile_x4y6_s2beg[4] ;
wire \tile_x4y6_s2beg[5] ;
wire \tile_x4y6_s2beg[6] ;
wire \tile_x4y6_s2beg[7] ;
wire \tile_x4y6_s2begb[0] ;
wire \tile_x4y6_s2begb[1] ;
wire \tile_x4y6_s2begb[2] ;
wire \tile_x4y6_s2begb[3] ;
wire \tile_x4y6_s2begb[4] ;
wire \tile_x4y6_s2begb[5] ;
wire \tile_x4y6_s2begb[6] ;
wire \tile_x4y6_s2begb[7] ;
wire \tile_x4y6_s4beg[0] ;
wire \tile_x4y6_s4beg[10] ;
wire \tile_x4y6_s4beg[11] ;
wire \tile_x4y6_s4beg[12] ;
wire \tile_x4y6_s4beg[13] ;
wire \tile_x4y6_s4beg[14] ;
wire \tile_x4y6_s4beg[15] ;
wire \tile_x4y6_s4beg[1] ;
wire \tile_x4y6_s4beg[2] ;
wire \tile_x4y6_s4beg[3] ;
wire \tile_x4y6_s4beg[4] ;
wire \tile_x4y6_s4beg[5] ;
wire \tile_x4y6_s4beg[6] ;
wire \tile_x4y6_s4beg[7] ;
wire \tile_x4y6_s4beg[8] ;
wire \tile_x4y6_s4beg[9] ;
wire \tile_x4y6_ss4beg[0] ;
wire \tile_x4y6_ss4beg[10] ;
wire \tile_x4y6_ss4beg[11] ;
wire \tile_x4y6_ss4beg[12] ;
wire \tile_x4y6_ss4beg[13] ;
wire \tile_x4y6_ss4beg[14] ;
wire \tile_x4y6_ss4beg[15] ;
wire \tile_x4y6_ss4beg[1] ;
wire \tile_x4y6_ss4beg[2] ;
wire \tile_x4y6_ss4beg[3] ;
wire \tile_x4y6_ss4beg[4] ;
wire \tile_x4y6_ss4beg[5] ;
wire \tile_x4y6_ss4beg[6] ;
wire \tile_x4y6_ss4beg[7] ;
wire \tile_x4y6_ss4beg[8] ;
wire \tile_x4y6_ss4beg[9] ;
wire \tile_x4y6_w1beg[0] ;
wire \tile_x4y6_w1beg[1] ;
wire \tile_x4y6_w1beg[2] ;
wire \tile_x4y6_w1beg[3] ;
wire \tile_x4y6_w2beg[0] ;
wire \tile_x4y6_w2beg[1] ;
wire \tile_x4y6_w2beg[2] ;
wire \tile_x4y6_w2beg[3] ;
wire \tile_x4y6_w2beg[4] ;
wire \tile_x4y6_w2beg[5] ;
wire \tile_x4y6_w2beg[6] ;
wire \tile_x4y6_w2beg[7] ;
wire \tile_x4y6_w2begb[0] ;
wire \tile_x4y6_w2begb[1] ;
wire \tile_x4y6_w2begb[2] ;
wire \tile_x4y6_w2begb[3] ;
wire \tile_x4y6_w2begb[4] ;
wire \tile_x4y6_w2begb[5] ;
wire \tile_x4y6_w2begb[6] ;
wire \tile_x4y6_w2begb[7] ;
wire \tile_x4y6_w6beg[0] ;
wire \tile_x4y6_w6beg[10] ;
wire \tile_x4y6_w6beg[11] ;
wire \tile_x4y6_w6beg[1] ;
wire \tile_x4y6_w6beg[2] ;
wire \tile_x4y6_w6beg[3] ;
wire \tile_x4y6_w6beg[4] ;
wire \tile_x4y6_w6beg[5] ;
wire \tile_x4y6_w6beg[6] ;
wire \tile_x4y6_w6beg[7] ;
wire \tile_x4y6_w6beg[8] ;
wire \tile_x4y6_w6beg[9] ;
wire \tile_x4y6_ww4beg[0] ;
wire \tile_x4y6_ww4beg[10] ;
wire \tile_x4y6_ww4beg[11] ;
wire \tile_x4y6_ww4beg[12] ;
wire \tile_x4y6_ww4beg[13] ;
wire \tile_x4y6_ww4beg[14] ;
wire \tile_x4y6_ww4beg[15] ;
wire \tile_x4y6_ww4beg[1] ;
wire \tile_x4y6_ww4beg[2] ;
wire \tile_x4y6_ww4beg[3] ;
wire \tile_x4y6_ww4beg[4] ;
wire \tile_x4y6_ww4beg[5] ;
wire \tile_x4y6_ww4beg[6] ;
wire \tile_x4y6_ww4beg[7] ;
wire \tile_x4y6_ww4beg[8] ;
wire \tile_x4y6_ww4beg[9] ;
wire \tile_x4y7_e1beg[0] ;
wire \tile_x4y7_e1beg[1] ;
wire \tile_x4y7_e1beg[2] ;
wire \tile_x4y7_e1beg[3] ;
wire \tile_x4y7_e2beg[0] ;
wire \tile_x4y7_e2beg[1] ;
wire \tile_x4y7_e2beg[2] ;
wire \tile_x4y7_e2beg[3] ;
wire \tile_x4y7_e2beg[4] ;
wire \tile_x4y7_e2beg[5] ;
wire \tile_x4y7_e2beg[6] ;
wire \tile_x4y7_e2beg[7] ;
wire \tile_x4y7_e2begb[0] ;
wire \tile_x4y7_e2begb[1] ;
wire \tile_x4y7_e2begb[2] ;
wire \tile_x4y7_e2begb[3] ;
wire \tile_x4y7_e2begb[4] ;
wire \tile_x4y7_e2begb[5] ;
wire \tile_x4y7_e2begb[6] ;
wire \tile_x4y7_e2begb[7] ;
wire \tile_x4y7_e6beg[0] ;
wire \tile_x4y7_e6beg[10] ;
wire \tile_x4y7_e6beg[11] ;
wire \tile_x4y7_e6beg[1] ;
wire \tile_x4y7_e6beg[2] ;
wire \tile_x4y7_e6beg[3] ;
wire \tile_x4y7_e6beg[4] ;
wire \tile_x4y7_e6beg[5] ;
wire \tile_x4y7_e6beg[6] ;
wire \tile_x4y7_e6beg[7] ;
wire \tile_x4y7_e6beg[8] ;
wire \tile_x4y7_e6beg[9] ;
wire \tile_x4y7_ee4beg[0] ;
wire \tile_x4y7_ee4beg[10] ;
wire \tile_x4y7_ee4beg[11] ;
wire \tile_x4y7_ee4beg[12] ;
wire \tile_x4y7_ee4beg[13] ;
wire \tile_x4y7_ee4beg[14] ;
wire \tile_x4y7_ee4beg[15] ;
wire \tile_x4y7_ee4beg[1] ;
wire \tile_x4y7_ee4beg[2] ;
wire \tile_x4y7_ee4beg[3] ;
wire \tile_x4y7_ee4beg[4] ;
wire \tile_x4y7_ee4beg[5] ;
wire \tile_x4y7_ee4beg[6] ;
wire \tile_x4y7_ee4beg[7] ;
wire \tile_x4y7_ee4beg[8] ;
wire \tile_x4y7_ee4beg[9] ;
wire \tile_x4y7_framedata_o[0] ;
wire \tile_x4y7_framedata_o[10] ;
wire \tile_x4y7_framedata_o[11] ;
wire \tile_x4y7_framedata_o[12] ;
wire \tile_x4y7_framedata_o[13] ;
wire \tile_x4y7_framedata_o[14] ;
wire \tile_x4y7_framedata_o[15] ;
wire \tile_x4y7_framedata_o[16] ;
wire \tile_x4y7_framedata_o[17] ;
wire \tile_x4y7_framedata_o[18] ;
wire \tile_x4y7_framedata_o[19] ;
wire \tile_x4y7_framedata_o[1] ;
wire \tile_x4y7_framedata_o[20] ;
wire \tile_x4y7_framedata_o[21] ;
wire \tile_x4y7_framedata_o[22] ;
wire \tile_x4y7_framedata_o[23] ;
wire \tile_x4y7_framedata_o[24] ;
wire \tile_x4y7_framedata_o[25] ;
wire \tile_x4y7_framedata_o[26] ;
wire \tile_x4y7_framedata_o[27] ;
wire \tile_x4y7_framedata_o[28] ;
wire \tile_x4y7_framedata_o[29] ;
wire \tile_x4y7_framedata_o[2] ;
wire \tile_x4y7_framedata_o[30] ;
wire \tile_x4y7_framedata_o[31] ;
wire \tile_x4y7_framedata_o[3] ;
wire \tile_x4y7_framedata_o[4] ;
wire \tile_x4y7_framedata_o[5] ;
wire \tile_x4y7_framedata_o[6] ;
wire \tile_x4y7_framedata_o[7] ;
wire \tile_x4y7_framedata_o[8] ;
wire \tile_x4y7_framedata_o[9] ;
wire \tile_x4y7_framestrobe_o[0] ;
wire \tile_x4y7_framestrobe_o[10] ;
wire \tile_x4y7_framestrobe_o[11] ;
wire \tile_x4y7_framestrobe_o[12] ;
wire \tile_x4y7_framestrobe_o[13] ;
wire \tile_x4y7_framestrobe_o[14] ;
wire \tile_x4y7_framestrobe_o[15] ;
wire \tile_x4y7_framestrobe_o[16] ;
wire \tile_x4y7_framestrobe_o[17] ;
wire \tile_x4y7_framestrobe_o[18] ;
wire \tile_x4y7_framestrobe_o[19] ;
wire \tile_x4y7_framestrobe_o[1] ;
wire \tile_x4y7_framestrobe_o[2] ;
wire \tile_x4y7_framestrobe_o[3] ;
wire \tile_x4y7_framestrobe_o[4] ;
wire \tile_x4y7_framestrobe_o[5] ;
wire \tile_x4y7_framestrobe_o[6] ;
wire \tile_x4y7_framestrobe_o[7] ;
wire \tile_x4y7_framestrobe_o[8] ;
wire \tile_x4y7_framestrobe_o[9] ;
wire \tile_x4y7_n1beg[0] ;
wire \tile_x4y7_n1beg[1] ;
wire \tile_x4y7_n1beg[2] ;
wire \tile_x4y7_n1beg[3] ;
wire \tile_x4y7_n2beg[0] ;
wire \tile_x4y7_n2beg[1] ;
wire \tile_x4y7_n2beg[2] ;
wire \tile_x4y7_n2beg[3] ;
wire \tile_x4y7_n2beg[4] ;
wire \tile_x4y7_n2beg[5] ;
wire \tile_x4y7_n2beg[6] ;
wire \tile_x4y7_n2beg[7] ;
wire \tile_x4y7_n2begb[0] ;
wire \tile_x4y7_n2begb[1] ;
wire \tile_x4y7_n2begb[2] ;
wire \tile_x4y7_n2begb[3] ;
wire \tile_x4y7_n2begb[4] ;
wire \tile_x4y7_n2begb[5] ;
wire \tile_x4y7_n2begb[6] ;
wire \tile_x4y7_n2begb[7] ;
wire \tile_x4y7_n4beg[0] ;
wire \tile_x4y7_n4beg[10] ;
wire \tile_x4y7_n4beg[11] ;
wire \tile_x4y7_n4beg[12] ;
wire \tile_x4y7_n4beg[13] ;
wire \tile_x4y7_n4beg[14] ;
wire \tile_x4y7_n4beg[15] ;
wire \tile_x4y7_n4beg[1] ;
wire \tile_x4y7_n4beg[2] ;
wire \tile_x4y7_n4beg[3] ;
wire \tile_x4y7_n4beg[4] ;
wire \tile_x4y7_n4beg[5] ;
wire \tile_x4y7_n4beg[6] ;
wire \tile_x4y7_n4beg[7] ;
wire \tile_x4y7_n4beg[8] ;
wire \tile_x4y7_n4beg[9] ;
wire \tile_x4y7_nn4beg[0] ;
wire \tile_x4y7_nn4beg[10] ;
wire \tile_x4y7_nn4beg[11] ;
wire \tile_x4y7_nn4beg[12] ;
wire \tile_x4y7_nn4beg[13] ;
wire \tile_x4y7_nn4beg[14] ;
wire \tile_x4y7_nn4beg[15] ;
wire \tile_x4y7_nn4beg[1] ;
wire \tile_x4y7_nn4beg[2] ;
wire \tile_x4y7_nn4beg[3] ;
wire \tile_x4y7_nn4beg[4] ;
wire \tile_x4y7_nn4beg[5] ;
wire \tile_x4y7_nn4beg[6] ;
wire \tile_x4y7_nn4beg[7] ;
wire \tile_x4y7_nn4beg[8] ;
wire \tile_x4y7_nn4beg[9] ;
wire tile_x4y7_userclko;
wire \tile_x4y7_w1beg[0] ;
wire \tile_x4y7_w1beg[1] ;
wire \tile_x4y7_w1beg[2] ;
wire \tile_x4y7_w1beg[3] ;
wire \tile_x4y7_w2beg[0] ;
wire \tile_x4y7_w2beg[1] ;
wire \tile_x4y7_w2beg[2] ;
wire \tile_x4y7_w2beg[3] ;
wire \tile_x4y7_w2beg[4] ;
wire \tile_x4y7_w2beg[5] ;
wire \tile_x4y7_w2beg[6] ;
wire \tile_x4y7_w2beg[7] ;
wire \tile_x4y7_w2begb[0] ;
wire \tile_x4y7_w2begb[1] ;
wire \tile_x4y7_w2begb[2] ;
wire \tile_x4y7_w2begb[3] ;
wire \tile_x4y7_w2begb[4] ;
wire \tile_x4y7_w2begb[5] ;
wire \tile_x4y7_w2begb[6] ;
wire \tile_x4y7_w2begb[7] ;
wire \tile_x4y7_w6beg[0] ;
wire \tile_x4y7_w6beg[10] ;
wire \tile_x4y7_w6beg[11] ;
wire \tile_x4y7_w6beg[1] ;
wire \tile_x4y7_w6beg[2] ;
wire \tile_x4y7_w6beg[3] ;
wire \tile_x4y7_w6beg[4] ;
wire \tile_x4y7_w6beg[5] ;
wire \tile_x4y7_w6beg[6] ;
wire \tile_x4y7_w6beg[7] ;
wire \tile_x4y7_w6beg[8] ;
wire \tile_x4y7_w6beg[9] ;
wire \tile_x4y7_ww4beg[0] ;
wire \tile_x4y7_ww4beg[10] ;
wire \tile_x4y7_ww4beg[11] ;
wire \tile_x4y7_ww4beg[12] ;
wire \tile_x4y7_ww4beg[13] ;
wire \tile_x4y7_ww4beg[14] ;
wire \tile_x4y7_ww4beg[15] ;
wire \tile_x4y7_ww4beg[1] ;
wire \tile_x4y7_ww4beg[2] ;
wire \tile_x4y7_ww4beg[3] ;
wire \tile_x4y7_ww4beg[4] ;
wire \tile_x4y7_ww4beg[5] ;
wire \tile_x4y7_ww4beg[6] ;
wire \tile_x4y7_ww4beg[7] ;
wire \tile_x4y7_ww4beg[8] ;
wire \tile_x4y7_ww4beg[9] ;
wire \tile_x4y8_e1beg[0] ;
wire \tile_x4y8_e1beg[1] ;
wire \tile_x4y8_e1beg[2] ;
wire \tile_x4y8_e1beg[3] ;
wire \tile_x4y8_e2beg[0] ;
wire \tile_x4y8_e2beg[1] ;
wire \tile_x4y8_e2beg[2] ;
wire \tile_x4y8_e2beg[3] ;
wire \tile_x4y8_e2beg[4] ;
wire \tile_x4y8_e2beg[5] ;
wire \tile_x4y8_e2beg[6] ;
wire \tile_x4y8_e2beg[7] ;
wire \tile_x4y8_e2begb[0] ;
wire \tile_x4y8_e2begb[1] ;
wire \tile_x4y8_e2begb[2] ;
wire \tile_x4y8_e2begb[3] ;
wire \tile_x4y8_e2begb[4] ;
wire \tile_x4y8_e2begb[5] ;
wire \tile_x4y8_e2begb[6] ;
wire \tile_x4y8_e2begb[7] ;
wire \tile_x4y8_e6beg[0] ;
wire \tile_x4y8_e6beg[10] ;
wire \tile_x4y8_e6beg[11] ;
wire \tile_x4y8_e6beg[1] ;
wire \tile_x4y8_e6beg[2] ;
wire \tile_x4y8_e6beg[3] ;
wire \tile_x4y8_e6beg[4] ;
wire \tile_x4y8_e6beg[5] ;
wire \tile_x4y8_e6beg[6] ;
wire \tile_x4y8_e6beg[7] ;
wire \tile_x4y8_e6beg[8] ;
wire \tile_x4y8_e6beg[9] ;
wire \tile_x4y8_ee4beg[0] ;
wire \tile_x4y8_ee4beg[10] ;
wire \tile_x4y8_ee4beg[11] ;
wire \tile_x4y8_ee4beg[12] ;
wire \tile_x4y8_ee4beg[13] ;
wire \tile_x4y8_ee4beg[14] ;
wire \tile_x4y8_ee4beg[15] ;
wire \tile_x4y8_ee4beg[1] ;
wire \tile_x4y8_ee4beg[2] ;
wire \tile_x4y8_ee4beg[3] ;
wire \tile_x4y8_ee4beg[4] ;
wire \tile_x4y8_ee4beg[5] ;
wire \tile_x4y8_ee4beg[6] ;
wire \tile_x4y8_ee4beg[7] ;
wire \tile_x4y8_ee4beg[8] ;
wire \tile_x4y8_ee4beg[9] ;
wire \tile_x4y8_framedata_o[0] ;
wire \tile_x4y8_framedata_o[10] ;
wire \tile_x4y8_framedata_o[11] ;
wire \tile_x4y8_framedata_o[12] ;
wire \tile_x4y8_framedata_o[13] ;
wire \tile_x4y8_framedata_o[14] ;
wire \tile_x4y8_framedata_o[15] ;
wire \tile_x4y8_framedata_o[16] ;
wire \tile_x4y8_framedata_o[17] ;
wire \tile_x4y8_framedata_o[18] ;
wire \tile_x4y8_framedata_o[19] ;
wire \tile_x4y8_framedata_o[1] ;
wire \tile_x4y8_framedata_o[20] ;
wire \tile_x4y8_framedata_o[21] ;
wire \tile_x4y8_framedata_o[22] ;
wire \tile_x4y8_framedata_o[23] ;
wire \tile_x4y8_framedata_o[24] ;
wire \tile_x4y8_framedata_o[25] ;
wire \tile_x4y8_framedata_o[26] ;
wire \tile_x4y8_framedata_o[27] ;
wire \tile_x4y8_framedata_o[28] ;
wire \tile_x4y8_framedata_o[29] ;
wire \tile_x4y8_framedata_o[2] ;
wire \tile_x4y8_framedata_o[30] ;
wire \tile_x4y8_framedata_o[31] ;
wire \tile_x4y8_framedata_o[3] ;
wire \tile_x4y8_framedata_o[4] ;
wire \tile_x4y8_framedata_o[5] ;
wire \tile_x4y8_framedata_o[6] ;
wire \tile_x4y8_framedata_o[7] ;
wire \tile_x4y8_framedata_o[8] ;
wire \tile_x4y8_framedata_o[9] ;
wire \tile_x4y8_s1beg[0] ;
wire \tile_x4y8_s1beg[1] ;
wire \tile_x4y8_s1beg[2] ;
wire \tile_x4y8_s1beg[3] ;
wire \tile_x4y8_s2beg[0] ;
wire \tile_x4y8_s2beg[1] ;
wire \tile_x4y8_s2beg[2] ;
wire \tile_x4y8_s2beg[3] ;
wire \tile_x4y8_s2beg[4] ;
wire \tile_x4y8_s2beg[5] ;
wire \tile_x4y8_s2beg[6] ;
wire \tile_x4y8_s2beg[7] ;
wire \tile_x4y8_s2begb[0] ;
wire \tile_x4y8_s2begb[1] ;
wire \tile_x4y8_s2begb[2] ;
wire \tile_x4y8_s2begb[3] ;
wire \tile_x4y8_s2begb[4] ;
wire \tile_x4y8_s2begb[5] ;
wire \tile_x4y8_s2begb[6] ;
wire \tile_x4y8_s2begb[7] ;
wire \tile_x4y8_s4beg[0] ;
wire \tile_x4y8_s4beg[10] ;
wire \tile_x4y8_s4beg[11] ;
wire \tile_x4y8_s4beg[12] ;
wire \tile_x4y8_s4beg[13] ;
wire \tile_x4y8_s4beg[14] ;
wire \tile_x4y8_s4beg[15] ;
wire \tile_x4y8_s4beg[1] ;
wire \tile_x4y8_s4beg[2] ;
wire \tile_x4y8_s4beg[3] ;
wire \tile_x4y8_s4beg[4] ;
wire \tile_x4y8_s4beg[5] ;
wire \tile_x4y8_s4beg[6] ;
wire \tile_x4y8_s4beg[7] ;
wire \tile_x4y8_s4beg[8] ;
wire \tile_x4y8_s4beg[9] ;
wire \tile_x4y8_ss4beg[0] ;
wire \tile_x4y8_ss4beg[10] ;
wire \tile_x4y8_ss4beg[11] ;
wire \tile_x4y8_ss4beg[12] ;
wire \tile_x4y8_ss4beg[13] ;
wire \tile_x4y8_ss4beg[14] ;
wire \tile_x4y8_ss4beg[15] ;
wire \tile_x4y8_ss4beg[1] ;
wire \tile_x4y8_ss4beg[2] ;
wire \tile_x4y8_ss4beg[3] ;
wire \tile_x4y8_ss4beg[4] ;
wire \tile_x4y8_ss4beg[5] ;
wire \tile_x4y8_ss4beg[6] ;
wire \tile_x4y8_ss4beg[7] ;
wire \tile_x4y8_ss4beg[8] ;
wire \tile_x4y8_ss4beg[9] ;
wire \tile_x4y8_w1beg[0] ;
wire \tile_x4y8_w1beg[1] ;
wire \tile_x4y8_w1beg[2] ;
wire \tile_x4y8_w1beg[3] ;
wire \tile_x4y8_w2beg[0] ;
wire \tile_x4y8_w2beg[1] ;
wire \tile_x4y8_w2beg[2] ;
wire \tile_x4y8_w2beg[3] ;
wire \tile_x4y8_w2beg[4] ;
wire \tile_x4y8_w2beg[5] ;
wire \tile_x4y8_w2beg[6] ;
wire \tile_x4y8_w2beg[7] ;
wire \tile_x4y8_w2begb[0] ;
wire \tile_x4y8_w2begb[1] ;
wire \tile_x4y8_w2begb[2] ;
wire \tile_x4y8_w2begb[3] ;
wire \tile_x4y8_w2begb[4] ;
wire \tile_x4y8_w2begb[5] ;
wire \tile_x4y8_w2begb[6] ;
wire \tile_x4y8_w2begb[7] ;
wire \tile_x4y8_w6beg[0] ;
wire \tile_x4y8_w6beg[10] ;
wire \tile_x4y8_w6beg[11] ;
wire \tile_x4y8_w6beg[1] ;
wire \tile_x4y8_w6beg[2] ;
wire \tile_x4y8_w6beg[3] ;
wire \tile_x4y8_w6beg[4] ;
wire \tile_x4y8_w6beg[5] ;
wire \tile_x4y8_w6beg[6] ;
wire \tile_x4y8_w6beg[7] ;
wire \tile_x4y8_w6beg[8] ;
wire \tile_x4y8_w6beg[9] ;
wire \tile_x4y8_ww4beg[0] ;
wire \tile_x4y8_ww4beg[10] ;
wire \tile_x4y8_ww4beg[11] ;
wire \tile_x4y8_ww4beg[12] ;
wire \tile_x4y8_ww4beg[13] ;
wire \tile_x4y8_ww4beg[14] ;
wire \tile_x4y8_ww4beg[15] ;
wire \tile_x4y8_ww4beg[1] ;
wire \tile_x4y8_ww4beg[2] ;
wire \tile_x4y8_ww4beg[3] ;
wire \tile_x4y8_ww4beg[4] ;
wire \tile_x4y8_ww4beg[5] ;
wire \tile_x4y8_ww4beg[6] ;
wire \tile_x4y8_ww4beg[7] ;
wire \tile_x4y8_ww4beg[8] ;
wire \tile_x4y8_ww4beg[9] ;
wire \tile_x4y9_e1beg[0] ;
wire \tile_x4y9_e1beg[1] ;
wire \tile_x4y9_e1beg[2] ;
wire \tile_x4y9_e1beg[3] ;
wire \tile_x4y9_e2beg[0] ;
wire \tile_x4y9_e2beg[1] ;
wire \tile_x4y9_e2beg[2] ;
wire \tile_x4y9_e2beg[3] ;
wire \tile_x4y9_e2beg[4] ;
wire \tile_x4y9_e2beg[5] ;
wire \tile_x4y9_e2beg[6] ;
wire \tile_x4y9_e2beg[7] ;
wire \tile_x4y9_e2begb[0] ;
wire \tile_x4y9_e2begb[1] ;
wire \tile_x4y9_e2begb[2] ;
wire \tile_x4y9_e2begb[3] ;
wire \tile_x4y9_e2begb[4] ;
wire \tile_x4y9_e2begb[5] ;
wire \tile_x4y9_e2begb[6] ;
wire \tile_x4y9_e2begb[7] ;
wire \tile_x4y9_e6beg[0] ;
wire \tile_x4y9_e6beg[10] ;
wire \tile_x4y9_e6beg[11] ;
wire \tile_x4y9_e6beg[1] ;
wire \tile_x4y9_e6beg[2] ;
wire \tile_x4y9_e6beg[3] ;
wire \tile_x4y9_e6beg[4] ;
wire \tile_x4y9_e6beg[5] ;
wire \tile_x4y9_e6beg[6] ;
wire \tile_x4y9_e6beg[7] ;
wire \tile_x4y9_e6beg[8] ;
wire \tile_x4y9_e6beg[9] ;
wire \tile_x4y9_ee4beg[0] ;
wire \tile_x4y9_ee4beg[10] ;
wire \tile_x4y9_ee4beg[11] ;
wire \tile_x4y9_ee4beg[12] ;
wire \tile_x4y9_ee4beg[13] ;
wire \tile_x4y9_ee4beg[14] ;
wire \tile_x4y9_ee4beg[15] ;
wire \tile_x4y9_ee4beg[1] ;
wire \tile_x4y9_ee4beg[2] ;
wire \tile_x4y9_ee4beg[3] ;
wire \tile_x4y9_ee4beg[4] ;
wire \tile_x4y9_ee4beg[5] ;
wire \tile_x4y9_ee4beg[6] ;
wire \tile_x4y9_ee4beg[7] ;
wire \tile_x4y9_ee4beg[8] ;
wire \tile_x4y9_ee4beg[9] ;
wire \tile_x4y9_framedata_o[0] ;
wire \tile_x4y9_framedata_o[10] ;
wire \tile_x4y9_framedata_o[11] ;
wire \tile_x4y9_framedata_o[12] ;
wire \tile_x4y9_framedata_o[13] ;
wire \tile_x4y9_framedata_o[14] ;
wire \tile_x4y9_framedata_o[15] ;
wire \tile_x4y9_framedata_o[16] ;
wire \tile_x4y9_framedata_o[17] ;
wire \tile_x4y9_framedata_o[18] ;
wire \tile_x4y9_framedata_o[19] ;
wire \tile_x4y9_framedata_o[1] ;
wire \tile_x4y9_framedata_o[20] ;
wire \tile_x4y9_framedata_o[21] ;
wire \tile_x4y9_framedata_o[22] ;
wire \tile_x4y9_framedata_o[23] ;
wire \tile_x4y9_framedata_o[24] ;
wire \tile_x4y9_framedata_o[25] ;
wire \tile_x4y9_framedata_o[26] ;
wire \tile_x4y9_framedata_o[27] ;
wire \tile_x4y9_framedata_o[28] ;
wire \tile_x4y9_framedata_o[29] ;
wire \tile_x4y9_framedata_o[2] ;
wire \tile_x4y9_framedata_o[30] ;
wire \tile_x4y9_framedata_o[31] ;
wire \tile_x4y9_framedata_o[3] ;
wire \tile_x4y9_framedata_o[4] ;
wire \tile_x4y9_framedata_o[5] ;
wire \tile_x4y9_framedata_o[6] ;
wire \tile_x4y9_framedata_o[7] ;
wire \tile_x4y9_framedata_o[8] ;
wire \tile_x4y9_framedata_o[9] ;
wire \tile_x4y9_framestrobe_o[0] ;
wire \tile_x4y9_framestrobe_o[10] ;
wire \tile_x4y9_framestrobe_o[11] ;
wire \tile_x4y9_framestrobe_o[12] ;
wire \tile_x4y9_framestrobe_o[13] ;
wire \tile_x4y9_framestrobe_o[14] ;
wire \tile_x4y9_framestrobe_o[15] ;
wire \tile_x4y9_framestrobe_o[16] ;
wire \tile_x4y9_framestrobe_o[17] ;
wire \tile_x4y9_framestrobe_o[18] ;
wire \tile_x4y9_framestrobe_o[19] ;
wire \tile_x4y9_framestrobe_o[1] ;
wire \tile_x4y9_framestrobe_o[2] ;
wire \tile_x4y9_framestrobe_o[3] ;
wire \tile_x4y9_framestrobe_o[4] ;
wire \tile_x4y9_framestrobe_o[5] ;
wire \tile_x4y9_framestrobe_o[6] ;
wire \tile_x4y9_framestrobe_o[7] ;
wire \tile_x4y9_framestrobe_o[8] ;
wire \tile_x4y9_framestrobe_o[9] ;
wire \tile_x4y9_n1beg[0] ;
wire \tile_x4y9_n1beg[1] ;
wire \tile_x4y9_n1beg[2] ;
wire \tile_x4y9_n1beg[3] ;
wire \tile_x4y9_n2beg[0] ;
wire \tile_x4y9_n2beg[1] ;
wire \tile_x4y9_n2beg[2] ;
wire \tile_x4y9_n2beg[3] ;
wire \tile_x4y9_n2beg[4] ;
wire \tile_x4y9_n2beg[5] ;
wire \tile_x4y9_n2beg[6] ;
wire \tile_x4y9_n2beg[7] ;
wire \tile_x4y9_n2begb[0] ;
wire \tile_x4y9_n2begb[1] ;
wire \tile_x4y9_n2begb[2] ;
wire \tile_x4y9_n2begb[3] ;
wire \tile_x4y9_n2begb[4] ;
wire \tile_x4y9_n2begb[5] ;
wire \tile_x4y9_n2begb[6] ;
wire \tile_x4y9_n2begb[7] ;
wire \tile_x4y9_n4beg[0] ;
wire \tile_x4y9_n4beg[10] ;
wire \tile_x4y9_n4beg[11] ;
wire \tile_x4y9_n4beg[12] ;
wire \tile_x4y9_n4beg[13] ;
wire \tile_x4y9_n4beg[14] ;
wire \tile_x4y9_n4beg[15] ;
wire \tile_x4y9_n4beg[1] ;
wire \tile_x4y9_n4beg[2] ;
wire \tile_x4y9_n4beg[3] ;
wire \tile_x4y9_n4beg[4] ;
wire \tile_x4y9_n4beg[5] ;
wire \tile_x4y9_n4beg[6] ;
wire \tile_x4y9_n4beg[7] ;
wire \tile_x4y9_n4beg[8] ;
wire \tile_x4y9_n4beg[9] ;
wire \tile_x4y9_nn4beg[0] ;
wire \tile_x4y9_nn4beg[10] ;
wire \tile_x4y9_nn4beg[11] ;
wire \tile_x4y9_nn4beg[12] ;
wire \tile_x4y9_nn4beg[13] ;
wire \tile_x4y9_nn4beg[14] ;
wire \tile_x4y9_nn4beg[15] ;
wire \tile_x4y9_nn4beg[1] ;
wire \tile_x4y9_nn4beg[2] ;
wire \tile_x4y9_nn4beg[3] ;
wire \tile_x4y9_nn4beg[4] ;
wire \tile_x4y9_nn4beg[5] ;
wire \tile_x4y9_nn4beg[6] ;
wire \tile_x4y9_nn4beg[7] ;
wire \tile_x4y9_nn4beg[8] ;
wire \tile_x4y9_nn4beg[9] ;
wire tile_x4y9_userclko;
wire \tile_x4y9_w1beg[0] ;
wire \tile_x4y9_w1beg[1] ;
wire \tile_x4y9_w1beg[2] ;
wire \tile_x4y9_w1beg[3] ;
wire \tile_x4y9_w2beg[0] ;
wire \tile_x4y9_w2beg[1] ;
wire \tile_x4y9_w2beg[2] ;
wire \tile_x4y9_w2beg[3] ;
wire \tile_x4y9_w2beg[4] ;
wire \tile_x4y9_w2beg[5] ;
wire \tile_x4y9_w2beg[6] ;
wire \tile_x4y9_w2beg[7] ;
wire \tile_x4y9_w2begb[0] ;
wire \tile_x4y9_w2begb[1] ;
wire \tile_x4y9_w2begb[2] ;
wire \tile_x4y9_w2begb[3] ;
wire \tile_x4y9_w2begb[4] ;
wire \tile_x4y9_w2begb[5] ;
wire \tile_x4y9_w2begb[6] ;
wire \tile_x4y9_w2begb[7] ;
wire \tile_x4y9_w6beg[0] ;
wire \tile_x4y9_w6beg[10] ;
wire \tile_x4y9_w6beg[11] ;
wire \tile_x4y9_w6beg[1] ;
wire \tile_x4y9_w6beg[2] ;
wire \tile_x4y9_w6beg[3] ;
wire \tile_x4y9_w6beg[4] ;
wire \tile_x4y9_w6beg[5] ;
wire \tile_x4y9_w6beg[6] ;
wire \tile_x4y9_w6beg[7] ;
wire \tile_x4y9_w6beg[8] ;
wire \tile_x4y9_w6beg[9] ;
wire \tile_x4y9_ww4beg[0] ;
wire \tile_x4y9_ww4beg[10] ;
wire \tile_x4y9_ww4beg[11] ;
wire \tile_x4y9_ww4beg[12] ;
wire \tile_x4y9_ww4beg[13] ;
wire \tile_x4y9_ww4beg[14] ;
wire \tile_x4y9_ww4beg[15] ;
wire \tile_x4y9_ww4beg[1] ;
wire \tile_x4y9_ww4beg[2] ;
wire \tile_x4y9_ww4beg[3] ;
wire \tile_x4y9_ww4beg[4] ;
wire \tile_x4y9_ww4beg[5] ;
wire \tile_x4y9_ww4beg[6] ;
wire \tile_x4y9_ww4beg[7] ;
wire \tile_x4y9_ww4beg[8] ;
wire \tile_x4y9_ww4beg[9] ;
wire \tile_x5y0_framestrobe_o[0] ;
wire \tile_x5y0_framestrobe_o[10] ;
wire \tile_x5y0_framestrobe_o[11] ;
wire \tile_x5y0_framestrobe_o[12] ;
wire \tile_x5y0_framestrobe_o[13] ;
wire \tile_x5y0_framestrobe_o[14] ;
wire \tile_x5y0_framestrobe_o[15] ;
wire \tile_x5y0_framestrobe_o[16] ;
wire \tile_x5y0_framestrobe_o[17] ;
wire \tile_x5y0_framestrobe_o[18] ;
wire \tile_x5y0_framestrobe_o[19] ;
wire \tile_x5y0_framestrobe_o[1] ;
wire \tile_x5y0_framestrobe_o[2] ;
wire \tile_x5y0_framestrobe_o[3] ;
wire \tile_x5y0_framestrobe_o[4] ;
wire \tile_x5y0_framestrobe_o[5] ;
wire \tile_x5y0_framestrobe_o[6] ;
wire \tile_x5y0_framestrobe_o[7] ;
wire \tile_x5y0_framestrobe_o[8] ;
wire \tile_x5y0_framestrobe_o[9] ;
wire \tile_x5y0_s1beg[0] ;
wire \tile_x5y0_s1beg[1] ;
wire \tile_x5y0_s1beg[2] ;
wire \tile_x5y0_s1beg[3] ;
wire \tile_x5y0_s2beg[0] ;
wire \tile_x5y0_s2beg[1] ;
wire \tile_x5y0_s2beg[2] ;
wire \tile_x5y0_s2beg[3] ;
wire \tile_x5y0_s2beg[4] ;
wire \tile_x5y0_s2beg[5] ;
wire \tile_x5y0_s2beg[6] ;
wire \tile_x5y0_s2beg[7] ;
wire \tile_x5y0_s2begb[0] ;
wire \tile_x5y0_s2begb[1] ;
wire \tile_x5y0_s2begb[2] ;
wire \tile_x5y0_s2begb[3] ;
wire \tile_x5y0_s2begb[4] ;
wire \tile_x5y0_s2begb[5] ;
wire \tile_x5y0_s2begb[6] ;
wire \tile_x5y0_s2begb[7] ;
wire \tile_x5y0_s4beg[0] ;
wire \tile_x5y0_s4beg[10] ;
wire \tile_x5y0_s4beg[11] ;
wire \tile_x5y0_s4beg[12] ;
wire \tile_x5y0_s4beg[13] ;
wire \tile_x5y0_s4beg[14] ;
wire \tile_x5y0_s4beg[15] ;
wire \tile_x5y0_s4beg[1] ;
wire \tile_x5y0_s4beg[2] ;
wire \tile_x5y0_s4beg[3] ;
wire \tile_x5y0_s4beg[4] ;
wire \tile_x5y0_s4beg[5] ;
wire \tile_x5y0_s4beg[6] ;
wire \tile_x5y0_s4beg[7] ;
wire \tile_x5y0_s4beg[8] ;
wire \tile_x5y0_s4beg[9] ;
wire \tile_x5y0_ss4beg[0] ;
wire \tile_x5y0_ss4beg[10] ;
wire \tile_x5y0_ss4beg[11] ;
wire \tile_x5y0_ss4beg[12] ;
wire \tile_x5y0_ss4beg[13] ;
wire \tile_x5y0_ss4beg[14] ;
wire \tile_x5y0_ss4beg[15] ;
wire \tile_x5y0_ss4beg[1] ;
wire \tile_x5y0_ss4beg[2] ;
wire \tile_x5y0_ss4beg[3] ;
wire \tile_x5y0_ss4beg[4] ;
wire \tile_x5y0_ss4beg[5] ;
wire \tile_x5y0_ss4beg[6] ;
wire \tile_x5y0_ss4beg[7] ;
wire \tile_x5y0_ss4beg[8] ;
wire \tile_x5y0_ss4beg[9] ;
wire tile_x5y0_userclko;
wire tile_x5y10_co;
wire \tile_x5y10_e1beg[0] ;
wire \tile_x5y10_e1beg[1] ;
wire \tile_x5y10_e1beg[2] ;
wire \tile_x5y10_e1beg[3] ;
wire \tile_x5y10_e2beg[0] ;
wire \tile_x5y10_e2beg[1] ;
wire \tile_x5y10_e2beg[2] ;
wire \tile_x5y10_e2beg[3] ;
wire \tile_x5y10_e2beg[4] ;
wire \tile_x5y10_e2beg[5] ;
wire \tile_x5y10_e2beg[6] ;
wire \tile_x5y10_e2beg[7] ;
wire \tile_x5y10_e2begb[0] ;
wire \tile_x5y10_e2begb[1] ;
wire \tile_x5y10_e2begb[2] ;
wire \tile_x5y10_e2begb[3] ;
wire \tile_x5y10_e2begb[4] ;
wire \tile_x5y10_e2begb[5] ;
wire \tile_x5y10_e2begb[6] ;
wire \tile_x5y10_e2begb[7] ;
wire \tile_x5y10_e6beg[0] ;
wire \tile_x5y10_e6beg[10] ;
wire \tile_x5y10_e6beg[11] ;
wire \tile_x5y10_e6beg[1] ;
wire \tile_x5y10_e6beg[2] ;
wire \tile_x5y10_e6beg[3] ;
wire \tile_x5y10_e6beg[4] ;
wire \tile_x5y10_e6beg[5] ;
wire \tile_x5y10_e6beg[6] ;
wire \tile_x5y10_e6beg[7] ;
wire \tile_x5y10_e6beg[8] ;
wire \tile_x5y10_e6beg[9] ;
wire \tile_x5y10_ee4beg[0] ;
wire \tile_x5y10_ee4beg[10] ;
wire \tile_x5y10_ee4beg[11] ;
wire \tile_x5y10_ee4beg[12] ;
wire \tile_x5y10_ee4beg[13] ;
wire \tile_x5y10_ee4beg[14] ;
wire \tile_x5y10_ee4beg[15] ;
wire \tile_x5y10_ee4beg[1] ;
wire \tile_x5y10_ee4beg[2] ;
wire \tile_x5y10_ee4beg[3] ;
wire \tile_x5y10_ee4beg[4] ;
wire \tile_x5y10_ee4beg[5] ;
wire \tile_x5y10_ee4beg[6] ;
wire \tile_x5y10_ee4beg[7] ;
wire \tile_x5y10_ee4beg[8] ;
wire \tile_x5y10_ee4beg[9] ;
wire \tile_x5y10_framedata_o[0] ;
wire \tile_x5y10_framedata_o[10] ;
wire \tile_x5y10_framedata_o[11] ;
wire \tile_x5y10_framedata_o[12] ;
wire \tile_x5y10_framedata_o[13] ;
wire \tile_x5y10_framedata_o[14] ;
wire \tile_x5y10_framedata_o[15] ;
wire \tile_x5y10_framedata_o[16] ;
wire \tile_x5y10_framedata_o[17] ;
wire \tile_x5y10_framedata_o[18] ;
wire \tile_x5y10_framedata_o[19] ;
wire \tile_x5y10_framedata_o[1] ;
wire \tile_x5y10_framedata_o[20] ;
wire \tile_x5y10_framedata_o[21] ;
wire \tile_x5y10_framedata_o[22] ;
wire \tile_x5y10_framedata_o[23] ;
wire \tile_x5y10_framedata_o[24] ;
wire \tile_x5y10_framedata_o[25] ;
wire \tile_x5y10_framedata_o[26] ;
wire \tile_x5y10_framedata_o[27] ;
wire \tile_x5y10_framedata_o[28] ;
wire \tile_x5y10_framedata_o[29] ;
wire \tile_x5y10_framedata_o[2] ;
wire \tile_x5y10_framedata_o[30] ;
wire \tile_x5y10_framedata_o[31] ;
wire \tile_x5y10_framedata_o[3] ;
wire \tile_x5y10_framedata_o[4] ;
wire \tile_x5y10_framedata_o[5] ;
wire \tile_x5y10_framedata_o[6] ;
wire \tile_x5y10_framedata_o[7] ;
wire \tile_x5y10_framedata_o[8] ;
wire \tile_x5y10_framedata_o[9] ;
wire \tile_x5y10_framestrobe_o[0] ;
wire \tile_x5y10_framestrobe_o[10] ;
wire \tile_x5y10_framestrobe_o[11] ;
wire \tile_x5y10_framestrobe_o[12] ;
wire \tile_x5y10_framestrobe_o[13] ;
wire \tile_x5y10_framestrobe_o[14] ;
wire \tile_x5y10_framestrobe_o[15] ;
wire \tile_x5y10_framestrobe_o[16] ;
wire \tile_x5y10_framestrobe_o[17] ;
wire \tile_x5y10_framestrobe_o[18] ;
wire \tile_x5y10_framestrobe_o[19] ;
wire \tile_x5y10_framestrobe_o[1] ;
wire \tile_x5y10_framestrobe_o[2] ;
wire \tile_x5y10_framestrobe_o[3] ;
wire \tile_x5y10_framestrobe_o[4] ;
wire \tile_x5y10_framestrobe_o[5] ;
wire \tile_x5y10_framestrobe_o[6] ;
wire \tile_x5y10_framestrobe_o[7] ;
wire \tile_x5y10_framestrobe_o[8] ;
wire \tile_x5y10_framestrobe_o[9] ;
wire \tile_x5y10_n1beg[0] ;
wire \tile_x5y10_n1beg[1] ;
wire \tile_x5y10_n1beg[2] ;
wire \tile_x5y10_n1beg[3] ;
wire \tile_x5y10_n2beg[0] ;
wire \tile_x5y10_n2beg[1] ;
wire \tile_x5y10_n2beg[2] ;
wire \tile_x5y10_n2beg[3] ;
wire \tile_x5y10_n2beg[4] ;
wire \tile_x5y10_n2beg[5] ;
wire \tile_x5y10_n2beg[6] ;
wire \tile_x5y10_n2beg[7] ;
wire \tile_x5y10_n2begb[0] ;
wire \tile_x5y10_n2begb[1] ;
wire \tile_x5y10_n2begb[2] ;
wire \tile_x5y10_n2begb[3] ;
wire \tile_x5y10_n2begb[4] ;
wire \tile_x5y10_n2begb[5] ;
wire \tile_x5y10_n2begb[6] ;
wire \tile_x5y10_n2begb[7] ;
wire \tile_x5y10_n4beg[0] ;
wire \tile_x5y10_n4beg[10] ;
wire \tile_x5y10_n4beg[11] ;
wire \tile_x5y10_n4beg[12] ;
wire \tile_x5y10_n4beg[13] ;
wire \tile_x5y10_n4beg[14] ;
wire \tile_x5y10_n4beg[15] ;
wire \tile_x5y10_n4beg[1] ;
wire \tile_x5y10_n4beg[2] ;
wire \tile_x5y10_n4beg[3] ;
wire \tile_x5y10_n4beg[4] ;
wire \tile_x5y10_n4beg[5] ;
wire \tile_x5y10_n4beg[6] ;
wire \tile_x5y10_n4beg[7] ;
wire \tile_x5y10_n4beg[8] ;
wire \tile_x5y10_n4beg[9] ;
wire \tile_x5y10_nn4beg[0] ;
wire \tile_x5y10_nn4beg[10] ;
wire \tile_x5y10_nn4beg[11] ;
wire \tile_x5y10_nn4beg[12] ;
wire \tile_x5y10_nn4beg[13] ;
wire \tile_x5y10_nn4beg[14] ;
wire \tile_x5y10_nn4beg[15] ;
wire \tile_x5y10_nn4beg[1] ;
wire \tile_x5y10_nn4beg[2] ;
wire \tile_x5y10_nn4beg[3] ;
wire \tile_x5y10_nn4beg[4] ;
wire \tile_x5y10_nn4beg[5] ;
wire \tile_x5y10_nn4beg[6] ;
wire \tile_x5y10_nn4beg[7] ;
wire \tile_x5y10_nn4beg[8] ;
wire \tile_x5y10_nn4beg[9] ;
wire \tile_x5y10_s1beg[0] ;
wire \tile_x5y10_s1beg[1] ;
wire \tile_x5y10_s1beg[2] ;
wire \tile_x5y10_s1beg[3] ;
wire \tile_x5y10_s2beg[0] ;
wire \tile_x5y10_s2beg[1] ;
wire \tile_x5y10_s2beg[2] ;
wire \tile_x5y10_s2beg[3] ;
wire \tile_x5y10_s2beg[4] ;
wire \tile_x5y10_s2beg[5] ;
wire \tile_x5y10_s2beg[6] ;
wire \tile_x5y10_s2beg[7] ;
wire \tile_x5y10_s2begb[0] ;
wire \tile_x5y10_s2begb[1] ;
wire \tile_x5y10_s2begb[2] ;
wire \tile_x5y10_s2begb[3] ;
wire \tile_x5y10_s2begb[4] ;
wire \tile_x5y10_s2begb[5] ;
wire \tile_x5y10_s2begb[6] ;
wire \tile_x5y10_s2begb[7] ;
wire \tile_x5y10_s4beg[0] ;
wire \tile_x5y10_s4beg[10] ;
wire \tile_x5y10_s4beg[11] ;
wire \tile_x5y10_s4beg[12] ;
wire \tile_x5y10_s4beg[13] ;
wire \tile_x5y10_s4beg[14] ;
wire \tile_x5y10_s4beg[15] ;
wire \tile_x5y10_s4beg[1] ;
wire \tile_x5y10_s4beg[2] ;
wire \tile_x5y10_s4beg[3] ;
wire \tile_x5y10_s4beg[4] ;
wire \tile_x5y10_s4beg[5] ;
wire \tile_x5y10_s4beg[6] ;
wire \tile_x5y10_s4beg[7] ;
wire \tile_x5y10_s4beg[8] ;
wire \tile_x5y10_s4beg[9] ;
wire \tile_x5y10_ss4beg[0] ;
wire \tile_x5y10_ss4beg[10] ;
wire \tile_x5y10_ss4beg[11] ;
wire \tile_x5y10_ss4beg[12] ;
wire \tile_x5y10_ss4beg[13] ;
wire \tile_x5y10_ss4beg[14] ;
wire \tile_x5y10_ss4beg[15] ;
wire \tile_x5y10_ss4beg[1] ;
wire \tile_x5y10_ss4beg[2] ;
wire \tile_x5y10_ss4beg[3] ;
wire \tile_x5y10_ss4beg[4] ;
wire \tile_x5y10_ss4beg[5] ;
wire \tile_x5y10_ss4beg[6] ;
wire \tile_x5y10_ss4beg[7] ;
wire \tile_x5y10_ss4beg[8] ;
wire \tile_x5y10_ss4beg[9] ;
wire tile_x5y10_userclko;
wire \tile_x5y10_w1beg[0] ;
wire \tile_x5y10_w1beg[1] ;
wire \tile_x5y10_w1beg[2] ;
wire \tile_x5y10_w1beg[3] ;
wire \tile_x5y10_w2beg[0] ;
wire \tile_x5y10_w2beg[1] ;
wire \tile_x5y10_w2beg[2] ;
wire \tile_x5y10_w2beg[3] ;
wire \tile_x5y10_w2beg[4] ;
wire \tile_x5y10_w2beg[5] ;
wire \tile_x5y10_w2beg[6] ;
wire \tile_x5y10_w2beg[7] ;
wire \tile_x5y10_w2begb[0] ;
wire \tile_x5y10_w2begb[1] ;
wire \tile_x5y10_w2begb[2] ;
wire \tile_x5y10_w2begb[3] ;
wire \tile_x5y10_w2begb[4] ;
wire \tile_x5y10_w2begb[5] ;
wire \tile_x5y10_w2begb[6] ;
wire \tile_x5y10_w2begb[7] ;
wire \tile_x5y10_w6beg[0] ;
wire \tile_x5y10_w6beg[10] ;
wire \tile_x5y10_w6beg[11] ;
wire \tile_x5y10_w6beg[1] ;
wire \tile_x5y10_w6beg[2] ;
wire \tile_x5y10_w6beg[3] ;
wire \tile_x5y10_w6beg[4] ;
wire \tile_x5y10_w6beg[5] ;
wire \tile_x5y10_w6beg[6] ;
wire \tile_x5y10_w6beg[7] ;
wire \tile_x5y10_w6beg[8] ;
wire \tile_x5y10_w6beg[9] ;
wire \tile_x5y10_ww4beg[0] ;
wire \tile_x5y10_ww4beg[10] ;
wire \tile_x5y10_ww4beg[11] ;
wire \tile_x5y10_ww4beg[12] ;
wire \tile_x5y10_ww4beg[13] ;
wire \tile_x5y10_ww4beg[14] ;
wire \tile_x5y10_ww4beg[15] ;
wire \tile_x5y10_ww4beg[1] ;
wire \tile_x5y10_ww4beg[2] ;
wire \tile_x5y10_ww4beg[3] ;
wire \tile_x5y10_ww4beg[4] ;
wire \tile_x5y10_ww4beg[5] ;
wire \tile_x5y10_ww4beg[6] ;
wire \tile_x5y10_ww4beg[7] ;
wire \tile_x5y10_ww4beg[8] ;
wire \tile_x5y10_ww4beg[9] ;
wire tile_x5y11_co;
wire \tile_x5y11_e1beg[0] ;
wire \tile_x5y11_e1beg[1] ;
wire \tile_x5y11_e1beg[2] ;
wire \tile_x5y11_e1beg[3] ;
wire \tile_x5y11_e2beg[0] ;
wire \tile_x5y11_e2beg[1] ;
wire \tile_x5y11_e2beg[2] ;
wire \tile_x5y11_e2beg[3] ;
wire \tile_x5y11_e2beg[4] ;
wire \tile_x5y11_e2beg[5] ;
wire \tile_x5y11_e2beg[6] ;
wire \tile_x5y11_e2beg[7] ;
wire \tile_x5y11_e2begb[0] ;
wire \tile_x5y11_e2begb[1] ;
wire \tile_x5y11_e2begb[2] ;
wire \tile_x5y11_e2begb[3] ;
wire \tile_x5y11_e2begb[4] ;
wire \tile_x5y11_e2begb[5] ;
wire \tile_x5y11_e2begb[6] ;
wire \tile_x5y11_e2begb[7] ;
wire \tile_x5y11_e6beg[0] ;
wire \tile_x5y11_e6beg[10] ;
wire \tile_x5y11_e6beg[11] ;
wire \tile_x5y11_e6beg[1] ;
wire \tile_x5y11_e6beg[2] ;
wire \tile_x5y11_e6beg[3] ;
wire \tile_x5y11_e6beg[4] ;
wire \tile_x5y11_e6beg[5] ;
wire \tile_x5y11_e6beg[6] ;
wire \tile_x5y11_e6beg[7] ;
wire \tile_x5y11_e6beg[8] ;
wire \tile_x5y11_e6beg[9] ;
wire \tile_x5y11_ee4beg[0] ;
wire \tile_x5y11_ee4beg[10] ;
wire \tile_x5y11_ee4beg[11] ;
wire \tile_x5y11_ee4beg[12] ;
wire \tile_x5y11_ee4beg[13] ;
wire \tile_x5y11_ee4beg[14] ;
wire \tile_x5y11_ee4beg[15] ;
wire \tile_x5y11_ee4beg[1] ;
wire \tile_x5y11_ee4beg[2] ;
wire \tile_x5y11_ee4beg[3] ;
wire \tile_x5y11_ee4beg[4] ;
wire \tile_x5y11_ee4beg[5] ;
wire \tile_x5y11_ee4beg[6] ;
wire \tile_x5y11_ee4beg[7] ;
wire \tile_x5y11_ee4beg[8] ;
wire \tile_x5y11_ee4beg[9] ;
wire \tile_x5y11_framedata_o[0] ;
wire \tile_x5y11_framedata_o[10] ;
wire \tile_x5y11_framedata_o[11] ;
wire \tile_x5y11_framedata_o[12] ;
wire \tile_x5y11_framedata_o[13] ;
wire \tile_x5y11_framedata_o[14] ;
wire \tile_x5y11_framedata_o[15] ;
wire \tile_x5y11_framedata_o[16] ;
wire \tile_x5y11_framedata_o[17] ;
wire \tile_x5y11_framedata_o[18] ;
wire \tile_x5y11_framedata_o[19] ;
wire \tile_x5y11_framedata_o[1] ;
wire \tile_x5y11_framedata_o[20] ;
wire \tile_x5y11_framedata_o[21] ;
wire \tile_x5y11_framedata_o[22] ;
wire \tile_x5y11_framedata_o[23] ;
wire \tile_x5y11_framedata_o[24] ;
wire \tile_x5y11_framedata_o[25] ;
wire \tile_x5y11_framedata_o[26] ;
wire \tile_x5y11_framedata_o[27] ;
wire \tile_x5y11_framedata_o[28] ;
wire \tile_x5y11_framedata_o[29] ;
wire \tile_x5y11_framedata_o[2] ;
wire \tile_x5y11_framedata_o[30] ;
wire \tile_x5y11_framedata_o[31] ;
wire \tile_x5y11_framedata_o[3] ;
wire \tile_x5y11_framedata_o[4] ;
wire \tile_x5y11_framedata_o[5] ;
wire \tile_x5y11_framedata_o[6] ;
wire \tile_x5y11_framedata_o[7] ;
wire \tile_x5y11_framedata_o[8] ;
wire \tile_x5y11_framedata_o[9] ;
wire \tile_x5y11_framestrobe_o[0] ;
wire \tile_x5y11_framestrobe_o[10] ;
wire \tile_x5y11_framestrobe_o[11] ;
wire \tile_x5y11_framestrobe_o[12] ;
wire \tile_x5y11_framestrobe_o[13] ;
wire \tile_x5y11_framestrobe_o[14] ;
wire \tile_x5y11_framestrobe_o[15] ;
wire \tile_x5y11_framestrobe_o[16] ;
wire \tile_x5y11_framestrobe_o[17] ;
wire \tile_x5y11_framestrobe_o[18] ;
wire \tile_x5y11_framestrobe_o[19] ;
wire \tile_x5y11_framestrobe_o[1] ;
wire \tile_x5y11_framestrobe_o[2] ;
wire \tile_x5y11_framestrobe_o[3] ;
wire \tile_x5y11_framestrobe_o[4] ;
wire \tile_x5y11_framestrobe_o[5] ;
wire \tile_x5y11_framestrobe_o[6] ;
wire \tile_x5y11_framestrobe_o[7] ;
wire \tile_x5y11_framestrobe_o[8] ;
wire \tile_x5y11_framestrobe_o[9] ;
wire \tile_x5y11_n1beg[0] ;
wire \tile_x5y11_n1beg[1] ;
wire \tile_x5y11_n1beg[2] ;
wire \tile_x5y11_n1beg[3] ;
wire \tile_x5y11_n2beg[0] ;
wire \tile_x5y11_n2beg[1] ;
wire \tile_x5y11_n2beg[2] ;
wire \tile_x5y11_n2beg[3] ;
wire \tile_x5y11_n2beg[4] ;
wire \tile_x5y11_n2beg[5] ;
wire \tile_x5y11_n2beg[6] ;
wire \tile_x5y11_n2beg[7] ;
wire \tile_x5y11_n2begb[0] ;
wire \tile_x5y11_n2begb[1] ;
wire \tile_x5y11_n2begb[2] ;
wire \tile_x5y11_n2begb[3] ;
wire \tile_x5y11_n2begb[4] ;
wire \tile_x5y11_n2begb[5] ;
wire \tile_x5y11_n2begb[6] ;
wire \tile_x5y11_n2begb[7] ;
wire \tile_x5y11_n4beg[0] ;
wire \tile_x5y11_n4beg[10] ;
wire \tile_x5y11_n4beg[11] ;
wire \tile_x5y11_n4beg[12] ;
wire \tile_x5y11_n4beg[13] ;
wire \tile_x5y11_n4beg[14] ;
wire \tile_x5y11_n4beg[15] ;
wire \tile_x5y11_n4beg[1] ;
wire \tile_x5y11_n4beg[2] ;
wire \tile_x5y11_n4beg[3] ;
wire \tile_x5y11_n4beg[4] ;
wire \tile_x5y11_n4beg[5] ;
wire \tile_x5y11_n4beg[6] ;
wire \tile_x5y11_n4beg[7] ;
wire \tile_x5y11_n4beg[8] ;
wire \tile_x5y11_n4beg[9] ;
wire \tile_x5y11_nn4beg[0] ;
wire \tile_x5y11_nn4beg[10] ;
wire \tile_x5y11_nn4beg[11] ;
wire \tile_x5y11_nn4beg[12] ;
wire \tile_x5y11_nn4beg[13] ;
wire \tile_x5y11_nn4beg[14] ;
wire \tile_x5y11_nn4beg[15] ;
wire \tile_x5y11_nn4beg[1] ;
wire \tile_x5y11_nn4beg[2] ;
wire \tile_x5y11_nn4beg[3] ;
wire \tile_x5y11_nn4beg[4] ;
wire \tile_x5y11_nn4beg[5] ;
wire \tile_x5y11_nn4beg[6] ;
wire \tile_x5y11_nn4beg[7] ;
wire \tile_x5y11_nn4beg[8] ;
wire \tile_x5y11_nn4beg[9] ;
wire \tile_x5y11_s1beg[0] ;
wire \tile_x5y11_s1beg[1] ;
wire \tile_x5y11_s1beg[2] ;
wire \tile_x5y11_s1beg[3] ;
wire \tile_x5y11_s2beg[0] ;
wire \tile_x5y11_s2beg[1] ;
wire \tile_x5y11_s2beg[2] ;
wire \tile_x5y11_s2beg[3] ;
wire \tile_x5y11_s2beg[4] ;
wire \tile_x5y11_s2beg[5] ;
wire \tile_x5y11_s2beg[6] ;
wire \tile_x5y11_s2beg[7] ;
wire \tile_x5y11_s2begb[0] ;
wire \tile_x5y11_s2begb[1] ;
wire \tile_x5y11_s2begb[2] ;
wire \tile_x5y11_s2begb[3] ;
wire \tile_x5y11_s2begb[4] ;
wire \tile_x5y11_s2begb[5] ;
wire \tile_x5y11_s2begb[6] ;
wire \tile_x5y11_s2begb[7] ;
wire \tile_x5y11_s4beg[0] ;
wire \tile_x5y11_s4beg[10] ;
wire \tile_x5y11_s4beg[11] ;
wire \tile_x5y11_s4beg[12] ;
wire \tile_x5y11_s4beg[13] ;
wire \tile_x5y11_s4beg[14] ;
wire \tile_x5y11_s4beg[15] ;
wire \tile_x5y11_s4beg[1] ;
wire \tile_x5y11_s4beg[2] ;
wire \tile_x5y11_s4beg[3] ;
wire \tile_x5y11_s4beg[4] ;
wire \tile_x5y11_s4beg[5] ;
wire \tile_x5y11_s4beg[6] ;
wire \tile_x5y11_s4beg[7] ;
wire \tile_x5y11_s4beg[8] ;
wire \tile_x5y11_s4beg[9] ;
wire \tile_x5y11_ss4beg[0] ;
wire \tile_x5y11_ss4beg[10] ;
wire \tile_x5y11_ss4beg[11] ;
wire \tile_x5y11_ss4beg[12] ;
wire \tile_x5y11_ss4beg[13] ;
wire \tile_x5y11_ss4beg[14] ;
wire \tile_x5y11_ss4beg[15] ;
wire \tile_x5y11_ss4beg[1] ;
wire \tile_x5y11_ss4beg[2] ;
wire \tile_x5y11_ss4beg[3] ;
wire \tile_x5y11_ss4beg[4] ;
wire \tile_x5y11_ss4beg[5] ;
wire \tile_x5y11_ss4beg[6] ;
wire \tile_x5y11_ss4beg[7] ;
wire \tile_x5y11_ss4beg[8] ;
wire \tile_x5y11_ss4beg[9] ;
wire tile_x5y11_userclko;
wire \tile_x5y11_w1beg[0] ;
wire \tile_x5y11_w1beg[1] ;
wire \tile_x5y11_w1beg[2] ;
wire \tile_x5y11_w1beg[3] ;
wire \tile_x5y11_w2beg[0] ;
wire \tile_x5y11_w2beg[1] ;
wire \tile_x5y11_w2beg[2] ;
wire \tile_x5y11_w2beg[3] ;
wire \tile_x5y11_w2beg[4] ;
wire \tile_x5y11_w2beg[5] ;
wire \tile_x5y11_w2beg[6] ;
wire \tile_x5y11_w2beg[7] ;
wire \tile_x5y11_w2begb[0] ;
wire \tile_x5y11_w2begb[1] ;
wire \tile_x5y11_w2begb[2] ;
wire \tile_x5y11_w2begb[3] ;
wire \tile_x5y11_w2begb[4] ;
wire \tile_x5y11_w2begb[5] ;
wire \tile_x5y11_w2begb[6] ;
wire \tile_x5y11_w2begb[7] ;
wire \tile_x5y11_w6beg[0] ;
wire \tile_x5y11_w6beg[10] ;
wire \tile_x5y11_w6beg[11] ;
wire \tile_x5y11_w6beg[1] ;
wire \tile_x5y11_w6beg[2] ;
wire \tile_x5y11_w6beg[3] ;
wire \tile_x5y11_w6beg[4] ;
wire \tile_x5y11_w6beg[5] ;
wire \tile_x5y11_w6beg[6] ;
wire \tile_x5y11_w6beg[7] ;
wire \tile_x5y11_w6beg[8] ;
wire \tile_x5y11_w6beg[9] ;
wire \tile_x5y11_ww4beg[0] ;
wire \tile_x5y11_ww4beg[10] ;
wire \tile_x5y11_ww4beg[11] ;
wire \tile_x5y11_ww4beg[12] ;
wire \tile_x5y11_ww4beg[13] ;
wire \tile_x5y11_ww4beg[14] ;
wire \tile_x5y11_ww4beg[15] ;
wire \tile_x5y11_ww4beg[1] ;
wire \tile_x5y11_ww4beg[2] ;
wire \tile_x5y11_ww4beg[3] ;
wire \tile_x5y11_ww4beg[4] ;
wire \tile_x5y11_ww4beg[5] ;
wire \tile_x5y11_ww4beg[6] ;
wire \tile_x5y11_ww4beg[7] ;
wire \tile_x5y11_ww4beg[8] ;
wire \tile_x5y11_ww4beg[9] ;
wire tile_x5y12_co;
wire \tile_x5y12_e1beg[0] ;
wire \tile_x5y12_e1beg[1] ;
wire \tile_x5y12_e1beg[2] ;
wire \tile_x5y12_e1beg[3] ;
wire \tile_x5y12_e2beg[0] ;
wire \tile_x5y12_e2beg[1] ;
wire \tile_x5y12_e2beg[2] ;
wire \tile_x5y12_e2beg[3] ;
wire \tile_x5y12_e2beg[4] ;
wire \tile_x5y12_e2beg[5] ;
wire \tile_x5y12_e2beg[6] ;
wire \tile_x5y12_e2beg[7] ;
wire \tile_x5y12_e2begb[0] ;
wire \tile_x5y12_e2begb[1] ;
wire \tile_x5y12_e2begb[2] ;
wire \tile_x5y12_e2begb[3] ;
wire \tile_x5y12_e2begb[4] ;
wire \tile_x5y12_e2begb[5] ;
wire \tile_x5y12_e2begb[6] ;
wire \tile_x5y12_e2begb[7] ;
wire \tile_x5y12_e6beg[0] ;
wire \tile_x5y12_e6beg[10] ;
wire \tile_x5y12_e6beg[11] ;
wire \tile_x5y12_e6beg[1] ;
wire \tile_x5y12_e6beg[2] ;
wire \tile_x5y12_e6beg[3] ;
wire \tile_x5y12_e6beg[4] ;
wire \tile_x5y12_e6beg[5] ;
wire \tile_x5y12_e6beg[6] ;
wire \tile_x5y12_e6beg[7] ;
wire \tile_x5y12_e6beg[8] ;
wire \tile_x5y12_e6beg[9] ;
wire \tile_x5y12_ee4beg[0] ;
wire \tile_x5y12_ee4beg[10] ;
wire \tile_x5y12_ee4beg[11] ;
wire \tile_x5y12_ee4beg[12] ;
wire \tile_x5y12_ee4beg[13] ;
wire \tile_x5y12_ee4beg[14] ;
wire \tile_x5y12_ee4beg[15] ;
wire \tile_x5y12_ee4beg[1] ;
wire \tile_x5y12_ee4beg[2] ;
wire \tile_x5y12_ee4beg[3] ;
wire \tile_x5y12_ee4beg[4] ;
wire \tile_x5y12_ee4beg[5] ;
wire \tile_x5y12_ee4beg[6] ;
wire \tile_x5y12_ee4beg[7] ;
wire \tile_x5y12_ee4beg[8] ;
wire \tile_x5y12_ee4beg[9] ;
wire \tile_x5y12_framedata_o[0] ;
wire \tile_x5y12_framedata_o[10] ;
wire \tile_x5y12_framedata_o[11] ;
wire \tile_x5y12_framedata_o[12] ;
wire \tile_x5y12_framedata_o[13] ;
wire \tile_x5y12_framedata_o[14] ;
wire \tile_x5y12_framedata_o[15] ;
wire \tile_x5y12_framedata_o[16] ;
wire \tile_x5y12_framedata_o[17] ;
wire \tile_x5y12_framedata_o[18] ;
wire \tile_x5y12_framedata_o[19] ;
wire \tile_x5y12_framedata_o[1] ;
wire \tile_x5y12_framedata_o[20] ;
wire \tile_x5y12_framedata_o[21] ;
wire \tile_x5y12_framedata_o[22] ;
wire \tile_x5y12_framedata_o[23] ;
wire \tile_x5y12_framedata_o[24] ;
wire \tile_x5y12_framedata_o[25] ;
wire \tile_x5y12_framedata_o[26] ;
wire \tile_x5y12_framedata_o[27] ;
wire \tile_x5y12_framedata_o[28] ;
wire \tile_x5y12_framedata_o[29] ;
wire \tile_x5y12_framedata_o[2] ;
wire \tile_x5y12_framedata_o[30] ;
wire \tile_x5y12_framedata_o[31] ;
wire \tile_x5y12_framedata_o[3] ;
wire \tile_x5y12_framedata_o[4] ;
wire \tile_x5y12_framedata_o[5] ;
wire \tile_x5y12_framedata_o[6] ;
wire \tile_x5y12_framedata_o[7] ;
wire \tile_x5y12_framedata_o[8] ;
wire \tile_x5y12_framedata_o[9] ;
wire \tile_x5y12_framestrobe_o[0] ;
wire \tile_x5y12_framestrobe_o[10] ;
wire \tile_x5y12_framestrobe_o[11] ;
wire \tile_x5y12_framestrobe_o[12] ;
wire \tile_x5y12_framestrobe_o[13] ;
wire \tile_x5y12_framestrobe_o[14] ;
wire \tile_x5y12_framestrobe_o[15] ;
wire \tile_x5y12_framestrobe_o[16] ;
wire \tile_x5y12_framestrobe_o[17] ;
wire \tile_x5y12_framestrobe_o[18] ;
wire \tile_x5y12_framestrobe_o[19] ;
wire \tile_x5y12_framestrobe_o[1] ;
wire \tile_x5y12_framestrobe_o[2] ;
wire \tile_x5y12_framestrobe_o[3] ;
wire \tile_x5y12_framestrobe_o[4] ;
wire \tile_x5y12_framestrobe_o[5] ;
wire \tile_x5y12_framestrobe_o[6] ;
wire \tile_x5y12_framestrobe_o[7] ;
wire \tile_x5y12_framestrobe_o[8] ;
wire \tile_x5y12_framestrobe_o[9] ;
wire \tile_x5y12_n1beg[0] ;
wire \tile_x5y12_n1beg[1] ;
wire \tile_x5y12_n1beg[2] ;
wire \tile_x5y12_n1beg[3] ;
wire \tile_x5y12_n2beg[0] ;
wire \tile_x5y12_n2beg[1] ;
wire \tile_x5y12_n2beg[2] ;
wire \tile_x5y12_n2beg[3] ;
wire \tile_x5y12_n2beg[4] ;
wire \tile_x5y12_n2beg[5] ;
wire \tile_x5y12_n2beg[6] ;
wire \tile_x5y12_n2beg[7] ;
wire \tile_x5y12_n2begb[0] ;
wire \tile_x5y12_n2begb[1] ;
wire \tile_x5y12_n2begb[2] ;
wire \tile_x5y12_n2begb[3] ;
wire \tile_x5y12_n2begb[4] ;
wire \tile_x5y12_n2begb[5] ;
wire \tile_x5y12_n2begb[6] ;
wire \tile_x5y12_n2begb[7] ;
wire \tile_x5y12_n4beg[0] ;
wire \tile_x5y12_n4beg[10] ;
wire \tile_x5y12_n4beg[11] ;
wire \tile_x5y12_n4beg[12] ;
wire \tile_x5y12_n4beg[13] ;
wire \tile_x5y12_n4beg[14] ;
wire \tile_x5y12_n4beg[15] ;
wire \tile_x5y12_n4beg[1] ;
wire \tile_x5y12_n4beg[2] ;
wire \tile_x5y12_n4beg[3] ;
wire \tile_x5y12_n4beg[4] ;
wire \tile_x5y12_n4beg[5] ;
wire \tile_x5y12_n4beg[6] ;
wire \tile_x5y12_n4beg[7] ;
wire \tile_x5y12_n4beg[8] ;
wire \tile_x5y12_n4beg[9] ;
wire \tile_x5y12_nn4beg[0] ;
wire \tile_x5y12_nn4beg[10] ;
wire \tile_x5y12_nn4beg[11] ;
wire \tile_x5y12_nn4beg[12] ;
wire \tile_x5y12_nn4beg[13] ;
wire \tile_x5y12_nn4beg[14] ;
wire \tile_x5y12_nn4beg[15] ;
wire \tile_x5y12_nn4beg[1] ;
wire \tile_x5y12_nn4beg[2] ;
wire \tile_x5y12_nn4beg[3] ;
wire \tile_x5y12_nn4beg[4] ;
wire \tile_x5y12_nn4beg[5] ;
wire \tile_x5y12_nn4beg[6] ;
wire \tile_x5y12_nn4beg[7] ;
wire \tile_x5y12_nn4beg[8] ;
wire \tile_x5y12_nn4beg[9] ;
wire \tile_x5y12_s1beg[0] ;
wire \tile_x5y12_s1beg[1] ;
wire \tile_x5y12_s1beg[2] ;
wire \tile_x5y12_s1beg[3] ;
wire \tile_x5y12_s2beg[0] ;
wire \tile_x5y12_s2beg[1] ;
wire \tile_x5y12_s2beg[2] ;
wire \tile_x5y12_s2beg[3] ;
wire \tile_x5y12_s2beg[4] ;
wire \tile_x5y12_s2beg[5] ;
wire \tile_x5y12_s2beg[6] ;
wire \tile_x5y12_s2beg[7] ;
wire \tile_x5y12_s2begb[0] ;
wire \tile_x5y12_s2begb[1] ;
wire \tile_x5y12_s2begb[2] ;
wire \tile_x5y12_s2begb[3] ;
wire \tile_x5y12_s2begb[4] ;
wire \tile_x5y12_s2begb[5] ;
wire \tile_x5y12_s2begb[6] ;
wire \tile_x5y12_s2begb[7] ;
wire \tile_x5y12_s4beg[0] ;
wire \tile_x5y12_s4beg[10] ;
wire \tile_x5y12_s4beg[11] ;
wire \tile_x5y12_s4beg[12] ;
wire \tile_x5y12_s4beg[13] ;
wire \tile_x5y12_s4beg[14] ;
wire \tile_x5y12_s4beg[15] ;
wire \tile_x5y12_s4beg[1] ;
wire \tile_x5y12_s4beg[2] ;
wire \tile_x5y12_s4beg[3] ;
wire \tile_x5y12_s4beg[4] ;
wire \tile_x5y12_s4beg[5] ;
wire \tile_x5y12_s4beg[6] ;
wire \tile_x5y12_s4beg[7] ;
wire \tile_x5y12_s4beg[8] ;
wire \tile_x5y12_s4beg[9] ;
wire \tile_x5y12_ss4beg[0] ;
wire \tile_x5y12_ss4beg[10] ;
wire \tile_x5y12_ss4beg[11] ;
wire \tile_x5y12_ss4beg[12] ;
wire \tile_x5y12_ss4beg[13] ;
wire \tile_x5y12_ss4beg[14] ;
wire \tile_x5y12_ss4beg[15] ;
wire \tile_x5y12_ss4beg[1] ;
wire \tile_x5y12_ss4beg[2] ;
wire \tile_x5y12_ss4beg[3] ;
wire \tile_x5y12_ss4beg[4] ;
wire \tile_x5y12_ss4beg[5] ;
wire \tile_x5y12_ss4beg[6] ;
wire \tile_x5y12_ss4beg[7] ;
wire \tile_x5y12_ss4beg[8] ;
wire \tile_x5y12_ss4beg[9] ;
wire tile_x5y12_userclko;
wire \tile_x5y12_w1beg[0] ;
wire \tile_x5y12_w1beg[1] ;
wire \tile_x5y12_w1beg[2] ;
wire \tile_x5y12_w1beg[3] ;
wire \tile_x5y12_w2beg[0] ;
wire \tile_x5y12_w2beg[1] ;
wire \tile_x5y12_w2beg[2] ;
wire \tile_x5y12_w2beg[3] ;
wire \tile_x5y12_w2beg[4] ;
wire \tile_x5y12_w2beg[5] ;
wire \tile_x5y12_w2beg[6] ;
wire \tile_x5y12_w2beg[7] ;
wire \tile_x5y12_w2begb[0] ;
wire \tile_x5y12_w2begb[1] ;
wire \tile_x5y12_w2begb[2] ;
wire \tile_x5y12_w2begb[3] ;
wire \tile_x5y12_w2begb[4] ;
wire \tile_x5y12_w2begb[5] ;
wire \tile_x5y12_w2begb[6] ;
wire \tile_x5y12_w2begb[7] ;
wire \tile_x5y12_w6beg[0] ;
wire \tile_x5y12_w6beg[10] ;
wire \tile_x5y12_w6beg[11] ;
wire \tile_x5y12_w6beg[1] ;
wire \tile_x5y12_w6beg[2] ;
wire \tile_x5y12_w6beg[3] ;
wire \tile_x5y12_w6beg[4] ;
wire \tile_x5y12_w6beg[5] ;
wire \tile_x5y12_w6beg[6] ;
wire \tile_x5y12_w6beg[7] ;
wire \tile_x5y12_w6beg[8] ;
wire \tile_x5y12_w6beg[9] ;
wire \tile_x5y12_ww4beg[0] ;
wire \tile_x5y12_ww4beg[10] ;
wire \tile_x5y12_ww4beg[11] ;
wire \tile_x5y12_ww4beg[12] ;
wire \tile_x5y12_ww4beg[13] ;
wire \tile_x5y12_ww4beg[14] ;
wire \tile_x5y12_ww4beg[15] ;
wire \tile_x5y12_ww4beg[1] ;
wire \tile_x5y12_ww4beg[2] ;
wire \tile_x5y12_ww4beg[3] ;
wire \tile_x5y12_ww4beg[4] ;
wire \tile_x5y12_ww4beg[5] ;
wire \tile_x5y12_ww4beg[6] ;
wire \tile_x5y12_ww4beg[7] ;
wire \tile_x5y12_ww4beg[8] ;
wire \tile_x5y12_ww4beg[9] ;
wire tile_x5y13_co;
wire \tile_x5y13_e1beg[0] ;
wire \tile_x5y13_e1beg[1] ;
wire \tile_x5y13_e1beg[2] ;
wire \tile_x5y13_e1beg[3] ;
wire \tile_x5y13_e2beg[0] ;
wire \tile_x5y13_e2beg[1] ;
wire \tile_x5y13_e2beg[2] ;
wire \tile_x5y13_e2beg[3] ;
wire \tile_x5y13_e2beg[4] ;
wire \tile_x5y13_e2beg[5] ;
wire \tile_x5y13_e2beg[6] ;
wire \tile_x5y13_e2beg[7] ;
wire \tile_x5y13_e2begb[0] ;
wire \tile_x5y13_e2begb[1] ;
wire \tile_x5y13_e2begb[2] ;
wire \tile_x5y13_e2begb[3] ;
wire \tile_x5y13_e2begb[4] ;
wire \tile_x5y13_e2begb[5] ;
wire \tile_x5y13_e2begb[6] ;
wire \tile_x5y13_e2begb[7] ;
wire \tile_x5y13_e6beg[0] ;
wire \tile_x5y13_e6beg[10] ;
wire \tile_x5y13_e6beg[11] ;
wire \tile_x5y13_e6beg[1] ;
wire \tile_x5y13_e6beg[2] ;
wire \tile_x5y13_e6beg[3] ;
wire \tile_x5y13_e6beg[4] ;
wire \tile_x5y13_e6beg[5] ;
wire \tile_x5y13_e6beg[6] ;
wire \tile_x5y13_e6beg[7] ;
wire \tile_x5y13_e6beg[8] ;
wire \tile_x5y13_e6beg[9] ;
wire \tile_x5y13_ee4beg[0] ;
wire \tile_x5y13_ee4beg[10] ;
wire \tile_x5y13_ee4beg[11] ;
wire \tile_x5y13_ee4beg[12] ;
wire \tile_x5y13_ee4beg[13] ;
wire \tile_x5y13_ee4beg[14] ;
wire \tile_x5y13_ee4beg[15] ;
wire \tile_x5y13_ee4beg[1] ;
wire \tile_x5y13_ee4beg[2] ;
wire \tile_x5y13_ee4beg[3] ;
wire \tile_x5y13_ee4beg[4] ;
wire \tile_x5y13_ee4beg[5] ;
wire \tile_x5y13_ee4beg[6] ;
wire \tile_x5y13_ee4beg[7] ;
wire \tile_x5y13_ee4beg[8] ;
wire \tile_x5y13_ee4beg[9] ;
wire \tile_x5y13_framedata_o[0] ;
wire \tile_x5y13_framedata_o[10] ;
wire \tile_x5y13_framedata_o[11] ;
wire \tile_x5y13_framedata_o[12] ;
wire \tile_x5y13_framedata_o[13] ;
wire \tile_x5y13_framedata_o[14] ;
wire \tile_x5y13_framedata_o[15] ;
wire \tile_x5y13_framedata_o[16] ;
wire \tile_x5y13_framedata_o[17] ;
wire \tile_x5y13_framedata_o[18] ;
wire \tile_x5y13_framedata_o[19] ;
wire \tile_x5y13_framedata_o[1] ;
wire \tile_x5y13_framedata_o[20] ;
wire \tile_x5y13_framedata_o[21] ;
wire \tile_x5y13_framedata_o[22] ;
wire \tile_x5y13_framedata_o[23] ;
wire \tile_x5y13_framedata_o[24] ;
wire \tile_x5y13_framedata_o[25] ;
wire \tile_x5y13_framedata_o[26] ;
wire \tile_x5y13_framedata_o[27] ;
wire \tile_x5y13_framedata_o[28] ;
wire \tile_x5y13_framedata_o[29] ;
wire \tile_x5y13_framedata_o[2] ;
wire \tile_x5y13_framedata_o[30] ;
wire \tile_x5y13_framedata_o[31] ;
wire \tile_x5y13_framedata_o[3] ;
wire \tile_x5y13_framedata_o[4] ;
wire \tile_x5y13_framedata_o[5] ;
wire \tile_x5y13_framedata_o[6] ;
wire \tile_x5y13_framedata_o[7] ;
wire \tile_x5y13_framedata_o[8] ;
wire \tile_x5y13_framedata_o[9] ;
wire \tile_x5y13_framestrobe_o[0] ;
wire \tile_x5y13_framestrobe_o[10] ;
wire \tile_x5y13_framestrobe_o[11] ;
wire \tile_x5y13_framestrobe_o[12] ;
wire \tile_x5y13_framestrobe_o[13] ;
wire \tile_x5y13_framestrobe_o[14] ;
wire \tile_x5y13_framestrobe_o[15] ;
wire \tile_x5y13_framestrobe_o[16] ;
wire \tile_x5y13_framestrobe_o[17] ;
wire \tile_x5y13_framestrobe_o[18] ;
wire \tile_x5y13_framestrobe_o[19] ;
wire \tile_x5y13_framestrobe_o[1] ;
wire \tile_x5y13_framestrobe_o[2] ;
wire \tile_x5y13_framestrobe_o[3] ;
wire \tile_x5y13_framestrobe_o[4] ;
wire \tile_x5y13_framestrobe_o[5] ;
wire \tile_x5y13_framestrobe_o[6] ;
wire \tile_x5y13_framestrobe_o[7] ;
wire \tile_x5y13_framestrobe_o[8] ;
wire \tile_x5y13_framestrobe_o[9] ;
wire \tile_x5y13_n1beg[0] ;
wire \tile_x5y13_n1beg[1] ;
wire \tile_x5y13_n1beg[2] ;
wire \tile_x5y13_n1beg[3] ;
wire \tile_x5y13_n2beg[0] ;
wire \tile_x5y13_n2beg[1] ;
wire \tile_x5y13_n2beg[2] ;
wire \tile_x5y13_n2beg[3] ;
wire \tile_x5y13_n2beg[4] ;
wire \tile_x5y13_n2beg[5] ;
wire \tile_x5y13_n2beg[6] ;
wire \tile_x5y13_n2beg[7] ;
wire \tile_x5y13_n2begb[0] ;
wire \tile_x5y13_n2begb[1] ;
wire \tile_x5y13_n2begb[2] ;
wire \tile_x5y13_n2begb[3] ;
wire \tile_x5y13_n2begb[4] ;
wire \tile_x5y13_n2begb[5] ;
wire \tile_x5y13_n2begb[6] ;
wire \tile_x5y13_n2begb[7] ;
wire \tile_x5y13_n4beg[0] ;
wire \tile_x5y13_n4beg[10] ;
wire \tile_x5y13_n4beg[11] ;
wire \tile_x5y13_n4beg[12] ;
wire \tile_x5y13_n4beg[13] ;
wire \tile_x5y13_n4beg[14] ;
wire \tile_x5y13_n4beg[15] ;
wire \tile_x5y13_n4beg[1] ;
wire \tile_x5y13_n4beg[2] ;
wire \tile_x5y13_n4beg[3] ;
wire \tile_x5y13_n4beg[4] ;
wire \tile_x5y13_n4beg[5] ;
wire \tile_x5y13_n4beg[6] ;
wire \tile_x5y13_n4beg[7] ;
wire \tile_x5y13_n4beg[8] ;
wire \tile_x5y13_n4beg[9] ;
wire \tile_x5y13_nn4beg[0] ;
wire \tile_x5y13_nn4beg[10] ;
wire \tile_x5y13_nn4beg[11] ;
wire \tile_x5y13_nn4beg[12] ;
wire \tile_x5y13_nn4beg[13] ;
wire \tile_x5y13_nn4beg[14] ;
wire \tile_x5y13_nn4beg[15] ;
wire \tile_x5y13_nn4beg[1] ;
wire \tile_x5y13_nn4beg[2] ;
wire \tile_x5y13_nn4beg[3] ;
wire \tile_x5y13_nn4beg[4] ;
wire \tile_x5y13_nn4beg[5] ;
wire \tile_x5y13_nn4beg[6] ;
wire \tile_x5y13_nn4beg[7] ;
wire \tile_x5y13_nn4beg[8] ;
wire \tile_x5y13_nn4beg[9] ;
wire \tile_x5y13_s1beg[0] ;
wire \tile_x5y13_s1beg[1] ;
wire \tile_x5y13_s1beg[2] ;
wire \tile_x5y13_s1beg[3] ;
wire \tile_x5y13_s2beg[0] ;
wire \tile_x5y13_s2beg[1] ;
wire \tile_x5y13_s2beg[2] ;
wire \tile_x5y13_s2beg[3] ;
wire \tile_x5y13_s2beg[4] ;
wire \tile_x5y13_s2beg[5] ;
wire \tile_x5y13_s2beg[6] ;
wire \tile_x5y13_s2beg[7] ;
wire \tile_x5y13_s2begb[0] ;
wire \tile_x5y13_s2begb[1] ;
wire \tile_x5y13_s2begb[2] ;
wire \tile_x5y13_s2begb[3] ;
wire \tile_x5y13_s2begb[4] ;
wire \tile_x5y13_s2begb[5] ;
wire \tile_x5y13_s2begb[6] ;
wire \tile_x5y13_s2begb[7] ;
wire \tile_x5y13_s4beg[0] ;
wire \tile_x5y13_s4beg[10] ;
wire \tile_x5y13_s4beg[11] ;
wire \tile_x5y13_s4beg[12] ;
wire \tile_x5y13_s4beg[13] ;
wire \tile_x5y13_s4beg[14] ;
wire \tile_x5y13_s4beg[15] ;
wire \tile_x5y13_s4beg[1] ;
wire \tile_x5y13_s4beg[2] ;
wire \tile_x5y13_s4beg[3] ;
wire \tile_x5y13_s4beg[4] ;
wire \tile_x5y13_s4beg[5] ;
wire \tile_x5y13_s4beg[6] ;
wire \tile_x5y13_s4beg[7] ;
wire \tile_x5y13_s4beg[8] ;
wire \tile_x5y13_s4beg[9] ;
wire \tile_x5y13_ss4beg[0] ;
wire \tile_x5y13_ss4beg[10] ;
wire \tile_x5y13_ss4beg[11] ;
wire \tile_x5y13_ss4beg[12] ;
wire \tile_x5y13_ss4beg[13] ;
wire \tile_x5y13_ss4beg[14] ;
wire \tile_x5y13_ss4beg[15] ;
wire \tile_x5y13_ss4beg[1] ;
wire \tile_x5y13_ss4beg[2] ;
wire \tile_x5y13_ss4beg[3] ;
wire \tile_x5y13_ss4beg[4] ;
wire \tile_x5y13_ss4beg[5] ;
wire \tile_x5y13_ss4beg[6] ;
wire \tile_x5y13_ss4beg[7] ;
wire \tile_x5y13_ss4beg[8] ;
wire \tile_x5y13_ss4beg[9] ;
wire tile_x5y13_userclko;
wire \tile_x5y13_w1beg[0] ;
wire \tile_x5y13_w1beg[1] ;
wire \tile_x5y13_w1beg[2] ;
wire \tile_x5y13_w1beg[3] ;
wire \tile_x5y13_w2beg[0] ;
wire \tile_x5y13_w2beg[1] ;
wire \tile_x5y13_w2beg[2] ;
wire \tile_x5y13_w2beg[3] ;
wire \tile_x5y13_w2beg[4] ;
wire \tile_x5y13_w2beg[5] ;
wire \tile_x5y13_w2beg[6] ;
wire \tile_x5y13_w2beg[7] ;
wire \tile_x5y13_w2begb[0] ;
wire \tile_x5y13_w2begb[1] ;
wire \tile_x5y13_w2begb[2] ;
wire \tile_x5y13_w2begb[3] ;
wire \tile_x5y13_w2begb[4] ;
wire \tile_x5y13_w2begb[5] ;
wire \tile_x5y13_w2begb[6] ;
wire \tile_x5y13_w2begb[7] ;
wire \tile_x5y13_w6beg[0] ;
wire \tile_x5y13_w6beg[10] ;
wire \tile_x5y13_w6beg[11] ;
wire \tile_x5y13_w6beg[1] ;
wire \tile_x5y13_w6beg[2] ;
wire \tile_x5y13_w6beg[3] ;
wire \tile_x5y13_w6beg[4] ;
wire \tile_x5y13_w6beg[5] ;
wire \tile_x5y13_w6beg[6] ;
wire \tile_x5y13_w6beg[7] ;
wire \tile_x5y13_w6beg[8] ;
wire \tile_x5y13_w6beg[9] ;
wire \tile_x5y13_ww4beg[0] ;
wire \tile_x5y13_ww4beg[10] ;
wire \tile_x5y13_ww4beg[11] ;
wire \tile_x5y13_ww4beg[12] ;
wire \tile_x5y13_ww4beg[13] ;
wire \tile_x5y13_ww4beg[14] ;
wire \tile_x5y13_ww4beg[15] ;
wire \tile_x5y13_ww4beg[1] ;
wire \tile_x5y13_ww4beg[2] ;
wire \tile_x5y13_ww4beg[3] ;
wire \tile_x5y13_ww4beg[4] ;
wire \tile_x5y13_ww4beg[5] ;
wire \tile_x5y13_ww4beg[6] ;
wire \tile_x5y13_ww4beg[7] ;
wire \tile_x5y13_ww4beg[8] ;
wire \tile_x5y13_ww4beg[9] ;
wire tile_x5y14_co;
wire \tile_x5y14_e1beg[0] ;
wire \tile_x5y14_e1beg[1] ;
wire \tile_x5y14_e1beg[2] ;
wire \tile_x5y14_e1beg[3] ;
wire \tile_x5y14_e2beg[0] ;
wire \tile_x5y14_e2beg[1] ;
wire \tile_x5y14_e2beg[2] ;
wire \tile_x5y14_e2beg[3] ;
wire \tile_x5y14_e2beg[4] ;
wire \tile_x5y14_e2beg[5] ;
wire \tile_x5y14_e2beg[6] ;
wire \tile_x5y14_e2beg[7] ;
wire \tile_x5y14_e2begb[0] ;
wire \tile_x5y14_e2begb[1] ;
wire \tile_x5y14_e2begb[2] ;
wire \tile_x5y14_e2begb[3] ;
wire \tile_x5y14_e2begb[4] ;
wire \tile_x5y14_e2begb[5] ;
wire \tile_x5y14_e2begb[6] ;
wire \tile_x5y14_e2begb[7] ;
wire \tile_x5y14_e6beg[0] ;
wire \tile_x5y14_e6beg[10] ;
wire \tile_x5y14_e6beg[11] ;
wire \tile_x5y14_e6beg[1] ;
wire \tile_x5y14_e6beg[2] ;
wire \tile_x5y14_e6beg[3] ;
wire \tile_x5y14_e6beg[4] ;
wire \tile_x5y14_e6beg[5] ;
wire \tile_x5y14_e6beg[6] ;
wire \tile_x5y14_e6beg[7] ;
wire \tile_x5y14_e6beg[8] ;
wire \tile_x5y14_e6beg[9] ;
wire \tile_x5y14_ee4beg[0] ;
wire \tile_x5y14_ee4beg[10] ;
wire \tile_x5y14_ee4beg[11] ;
wire \tile_x5y14_ee4beg[12] ;
wire \tile_x5y14_ee4beg[13] ;
wire \tile_x5y14_ee4beg[14] ;
wire \tile_x5y14_ee4beg[15] ;
wire \tile_x5y14_ee4beg[1] ;
wire \tile_x5y14_ee4beg[2] ;
wire \tile_x5y14_ee4beg[3] ;
wire \tile_x5y14_ee4beg[4] ;
wire \tile_x5y14_ee4beg[5] ;
wire \tile_x5y14_ee4beg[6] ;
wire \tile_x5y14_ee4beg[7] ;
wire \tile_x5y14_ee4beg[8] ;
wire \tile_x5y14_ee4beg[9] ;
wire \tile_x5y14_framedata_o[0] ;
wire \tile_x5y14_framedata_o[10] ;
wire \tile_x5y14_framedata_o[11] ;
wire \tile_x5y14_framedata_o[12] ;
wire \tile_x5y14_framedata_o[13] ;
wire \tile_x5y14_framedata_o[14] ;
wire \tile_x5y14_framedata_o[15] ;
wire \tile_x5y14_framedata_o[16] ;
wire \tile_x5y14_framedata_o[17] ;
wire \tile_x5y14_framedata_o[18] ;
wire \tile_x5y14_framedata_o[19] ;
wire \tile_x5y14_framedata_o[1] ;
wire \tile_x5y14_framedata_o[20] ;
wire \tile_x5y14_framedata_o[21] ;
wire \tile_x5y14_framedata_o[22] ;
wire \tile_x5y14_framedata_o[23] ;
wire \tile_x5y14_framedata_o[24] ;
wire \tile_x5y14_framedata_o[25] ;
wire \tile_x5y14_framedata_o[26] ;
wire \tile_x5y14_framedata_o[27] ;
wire \tile_x5y14_framedata_o[28] ;
wire \tile_x5y14_framedata_o[29] ;
wire \tile_x5y14_framedata_o[2] ;
wire \tile_x5y14_framedata_o[30] ;
wire \tile_x5y14_framedata_o[31] ;
wire \tile_x5y14_framedata_o[3] ;
wire \tile_x5y14_framedata_o[4] ;
wire \tile_x5y14_framedata_o[5] ;
wire \tile_x5y14_framedata_o[6] ;
wire \tile_x5y14_framedata_o[7] ;
wire \tile_x5y14_framedata_o[8] ;
wire \tile_x5y14_framedata_o[9] ;
wire \tile_x5y14_framestrobe_o[0] ;
wire \tile_x5y14_framestrobe_o[10] ;
wire \tile_x5y14_framestrobe_o[11] ;
wire \tile_x5y14_framestrobe_o[12] ;
wire \tile_x5y14_framestrobe_o[13] ;
wire \tile_x5y14_framestrobe_o[14] ;
wire \tile_x5y14_framestrobe_o[15] ;
wire \tile_x5y14_framestrobe_o[16] ;
wire \tile_x5y14_framestrobe_o[17] ;
wire \tile_x5y14_framestrobe_o[18] ;
wire \tile_x5y14_framestrobe_o[19] ;
wire \tile_x5y14_framestrobe_o[1] ;
wire \tile_x5y14_framestrobe_o[2] ;
wire \tile_x5y14_framestrobe_o[3] ;
wire \tile_x5y14_framestrobe_o[4] ;
wire \tile_x5y14_framestrobe_o[5] ;
wire \tile_x5y14_framestrobe_o[6] ;
wire \tile_x5y14_framestrobe_o[7] ;
wire \tile_x5y14_framestrobe_o[8] ;
wire \tile_x5y14_framestrobe_o[9] ;
wire \tile_x5y14_n1beg[0] ;
wire \tile_x5y14_n1beg[1] ;
wire \tile_x5y14_n1beg[2] ;
wire \tile_x5y14_n1beg[3] ;
wire \tile_x5y14_n2beg[0] ;
wire \tile_x5y14_n2beg[1] ;
wire \tile_x5y14_n2beg[2] ;
wire \tile_x5y14_n2beg[3] ;
wire \tile_x5y14_n2beg[4] ;
wire \tile_x5y14_n2beg[5] ;
wire \tile_x5y14_n2beg[6] ;
wire \tile_x5y14_n2beg[7] ;
wire \tile_x5y14_n2begb[0] ;
wire \tile_x5y14_n2begb[1] ;
wire \tile_x5y14_n2begb[2] ;
wire \tile_x5y14_n2begb[3] ;
wire \tile_x5y14_n2begb[4] ;
wire \tile_x5y14_n2begb[5] ;
wire \tile_x5y14_n2begb[6] ;
wire \tile_x5y14_n2begb[7] ;
wire \tile_x5y14_n4beg[0] ;
wire \tile_x5y14_n4beg[10] ;
wire \tile_x5y14_n4beg[11] ;
wire \tile_x5y14_n4beg[12] ;
wire \tile_x5y14_n4beg[13] ;
wire \tile_x5y14_n4beg[14] ;
wire \tile_x5y14_n4beg[15] ;
wire \tile_x5y14_n4beg[1] ;
wire \tile_x5y14_n4beg[2] ;
wire \tile_x5y14_n4beg[3] ;
wire \tile_x5y14_n4beg[4] ;
wire \tile_x5y14_n4beg[5] ;
wire \tile_x5y14_n4beg[6] ;
wire \tile_x5y14_n4beg[7] ;
wire \tile_x5y14_n4beg[8] ;
wire \tile_x5y14_n4beg[9] ;
wire \tile_x5y14_nn4beg[0] ;
wire \tile_x5y14_nn4beg[10] ;
wire \tile_x5y14_nn4beg[11] ;
wire \tile_x5y14_nn4beg[12] ;
wire \tile_x5y14_nn4beg[13] ;
wire \tile_x5y14_nn4beg[14] ;
wire \tile_x5y14_nn4beg[15] ;
wire \tile_x5y14_nn4beg[1] ;
wire \tile_x5y14_nn4beg[2] ;
wire \tile_x5y14_nn4beg[3] ;
wire \tile_x5y14_nn4beg[4] ;
wire \tile_x5y14_nn4beg[5] ;
wire \tile_x5y14_nn4beg[6] ;
wire \tile_x5y14_nn4beg[7] ;
wire \tile_x5y14_nn4beg[8] ;
wire \tile_x5y14_nn4beg[9] ;
wire \tile_x5y14_s1beg[0] ;
wire \tile_x5y14_s1beg[1] ;
wire \tile_x5y14_s1beg[2] ;
wire \tile_x5y14_s1beg[3] ;
wire \tile_x5y14_s2beg[0] ;
wire \tile_x5y14_s2beg[1] ;
wire \tile_x5y14_s2beg[2] ;
wire \tile_x5y14_s2beg[3] ;
wire \tile_x5y14_s2beg[4] ;
wire \tile_x5y14_s2beg[5] ;
wire \tile_x5y14_s2beg[6] ;
wire \tile_x5y14_s2beg[7] ;
wire \tile_x5y14_s2begb[0] ;
wire \tile_x5y14_s2begb[1] ;
wire \tile_x5y14_s2begb[2] ;
wire \tile_x5y14_s2begb[3] ;
wire \tile_x5y14_s2begb[4] ;
wire \tile_x5y14_s2begb[5] ;
wire \tile_x5y14_s2begb[6] ;
wire \tile_x5y14_s2begb[7] ;
wire \tile_x5y14_s4beg[0] ;
wire \tile_x5y14_s4beg[10] ;
wire \tile_x5y14_s4beg[11] ;
wire \tile_x5y14_s4beg[12] ;
wire \tile_x5y14_s4beg[13] ;
wire \tile_x5y14_s4beg[14] ;
wire \tile_x5y14_s4beg[15] ;
wire \tile_x5y14_s4beg[1] ;
wire \tile_x5y14_s4beg[2] ;
wire \tile_x5y14_s4beg[3] ;
wire \tile_x5y14_s4beg[4] ;
wire \tile_x5y14_s4beg[5] ;
wire \tile_x5y14_s4beg[6] ;
wire \tile_x5y14_s4beg[7] ;
wire \tile_x5y14_s4beg[8] ;
wire \tile_x5y14_s4beg[9] ;
wire \tile_x5y14_ss4beg[0] ;
wire \tile_x5y14_ss4beg[10] ;
wire \tile_x5y14_ss4beg[11] ;
wire \tile_x5y14_ss4beg[12] ;
wire \tile_x5y14_ss4beg[13] ;
wire \tile_x5y14_ss4beg[14] ;
wire \tile_x5y14_ss4beg[15] ;
wire \tile_x5y14_ss4beg[1] ;
wire \tile_x5y14_ss4beg[2] ;
wire \tile_x5y14_ss4beg[3] ;
wire \tile_x5y14_ss4beg[4] ;
wire \tile_x5y14_ss4beg[5] ;
wire \tile_x5y14_ss4beg[6] ;
wire \tile_x5y14_ss4beg[7] ;
wire \tile_x5y14_ss4beg[8] ;
wire \tile_x5y14_ss4beg[9] ;
wire tile_x5y14_userclko;
wire \tile_x5y14_w1beg[0] ;
wire \tile_x5y14_w1beg[1] ;
wire \tile_x5y14_w1beg[2] ;
wire \tile_x5y14_w1beg[3] ;
wire \tile_x5y14_w2beg[0] ;
wire \tile_x5y14_w2beg[1] ;
wire \tile_x5y14_w2beg[2] ;
wire \tile_x5y14_w2beg[3] ;
wire \tile_x5y14_w2beg[4] ;
wire \tile_x5y14_w2beg[5] ;
wire \tile_x5y14_w2beg[6] ;
wire \tile_x5y14_w2beg[7] ;
wire \tile_x5y14_w2begb[0] ;
wire \tile_x5y14_w2begb[1] ;
wire \tile_x5y14_w2begb[2] ;
wire \tile_x5y14_w2begb[3] ;
wire \tile_x5y14_w2begb[4] ;
wire \tile_x5y14_w2begb[5] ;
wire \tile_x5y14_w2begb[6] ;
wire \tile_x5y14_w2begb[7] ;
wire \tile_x5y14_w6beg[0] ;
wire \tile_x5y14_w6beg[10] ;
wire \tile_x5y14_w6beg[11] ;
wire \tile_x5y14_w6beg[1] ;
wire \tile_x5y14_w6beg[2] ;
wire \tile_x5y14_w6beg[3] ;
wire \tile_x5y14_w6beg[4] ;
wire \tile_x5y14_w6beg[5] ;
wire \tile_x5y14_w6beg[6] ;
wire \tile_x5y14_w6beg[7] ;
wire \tile_x5y14_w6beg[8] ;
wire \tile_x5y14_w6beg[9] ;
wire \tile_x5y14_ww4beg[0] ;
wire \tile_x5y14_ww4beg[10] ;
wire \tile_x5y14_ww4beg[11] ;
wire \tile_x5y14_ww4beg[12] ;
wire \tile_x5y14_ww4beg[13] ;
wire \tile_x5y14_ww4beg[14] ;
wire \tile_x5y14_ww4beg[15] ;
wire \tile_x5y14_ww4beg[1] ;
wire \tile_x5y14_ww4beg[2] ;
wire \tile_x5y14_ww4beg[3] ;
wire \tile_x5y14_ww4beg[4] ;
wire \tile_x5y14_ww4beg[5] ;
wire \tile_x5y14_ww4beg[6] ;
wire \tile_x5y14_ww4beg[7] ;
wire \tile_x5y14_ww4beg[8] ;
wire \tile_x5y14_ww4beg[9] ;
wire tile_x5y15_co;
wire \tile_x5y15_framestrobe_o[0] ;
wire \tile_x5y15_framestrobe_o[10] ;
wire \tile_x5y15_framestrobe_o[11] ;
wire \tile_x5y15_framestrobe_o[12] ;
wire \tile_x5y15_framestrobe_o[13] ;
wire \tile_x5y15_framestrobe_o[14] ;
wire \tile_x5y15_framestrobe_o[15] ;
wire \tile_x5y15_framestrobe_o[16] ;
wire \tile_x5y15_framestrobe_o[17] ;
wire \tile_x5y15_framestrobe_o[18] ;
wire \tile_x5y15_framestrobe_o[19] ;
wire \tile_x5y15_framestrobe_o[1] ;
wire \tile_x5y15_framestrobe_o[2] ;
wire \tile_x5y15_framestrobe_o[3] ;
wire \tile_x5y15_framestrobe_o[4] ;
wire \tile_x5y15_framestrobe_o[5] ;
wire \tile_x5y15_framestrobe_o[6] ;
wire \tile_x5y15_framestrobe_o[7] ;
wire \tile_x5y15_framestrobe_o[8] ;
wire \tile_x5y15_framestrobe_o[9] ;
wire \tile_x5y15_n1beg[0] ;
wire \tile_x5y15_n1beg[1] ;
wire \tile_x5y15_n1beg[2] ;
wire \tile_x5y15_n1beg[3] ;
wire \tile_x5y15_n2beg[0] ;
wire \tile_x5y15_n2beg[1] ;
wire \tile_x5y15_n2beg[2] ;
wire \tile_x5y15_n2beg[3] ;
wire \tile_x5y15_n2beg[4] ;
wire \tile_x5y15_n2beg[5] ;
wire \tile_x5y15_n2beg[6] ;
wire \tile_x5y15_n2beg[7] ;
wire \tile_x5y15_n2begb[0] ;
wire \tile_x5y15_n2begb[1] ;
wire \tile_x5y15_n2begb[2] ;
wire \tile_x5y15_n2begb[3] ;
wire \tile_x5y15_n2begb[4] ;
wire \tile_x5y15_n2begb[5] ;
wire \tile_x5y15_n2begb[6] ;
wire \tile_x5y15_n2begb[7] ;
wire \tile_x5y15_n4beg[0] ;
wire \tile_x5y15_n4beg[10] ;
wire \tile_x5y15_n4beg[11] ;
wire \tile_x5y15_n4beg[12] ;
wire \tile_x5y15_n4beg[13] ;
wire \tile_x5y15_n4beg[14] ;
wire \tile_x5y15_n4beg[15] ;
wire \tile_x5y15_n4beg[1] ;
wire \tile_x5y15_n4beg[2] ;
wire \tile_x5y15_n4beg[3] ;
wire \tile_x5y15_n4beg[4] ;
wire \tile_x5y15_n4beg[5] ;
wire \tile_x5y15_n4beg[6] ;
wire \tile_x5y15_n4beg[7] ;
wire \tile_x5y15_n4beg[8] ;
wire \tile_x5y15_n4beg[9] ;
wire \tile_x5y15_nn4beg[0] ;
wire \tile_x5y15_nn4beg[10] ;
wire \tile_x5y15_nn4beg[11] ;
wire \tile_x5y15_nn4beg[12] ;
wire \tile_x5y15_nn4beg[13] ;
wire \tile_x5y15_nn4beg[14] ;
wire \tile_x5y15_nn4beg[15] ;
wire \tile_x5y15_nn4beg[1] ;
wire \tile_x5y15_nn4beg[2] ;
wire \tile_x5y15_nn4beg[3] ;
wire \tile_x5y15_nn4beg[4] ;
wire \tile_x5y15_nn4beg[5] ;
wire \tile_x5y15_nn4beg[6] ;
wire \tile_x5y15_nn4beg[7] ;
wire \tile_x5y15_nn4beg[8] ;
wire \tile_x5y15_nn4beg[9] ;
wire tile_x5y15_userclko;
wire tile_x5y1_co;
wire \tile_x5y1_e1beg[0] ;
wire \tile_x5y1_e1beg[1] ;
wire \tile_x5y1_e1beg[2] ;
wire \tile_x5y1_e1beg[3] ;
wire \tile_x5y1_e2beg[0] ;
wire \tile_x5y1_e2beg[1] ;
wire \tile_x5y1_e2beg[2] ;
wire \tile_x5y1_e2beg[3] ;
wire \tile_x5y1_e2beg[4] ;
wire \tile_x5y1_e2beg[5] ;
wire \tile_x5y1_e2beg[6] ;
wire \tile_x5y1_e2beg[7] ;
wire \tile_x5y1_e2begb[0] ;
wire \tile_x5y1_e2begb[1] ;
wire \tile_x5y1_e2begb[2] ;
wire \tile_x5y1_e2begb[3] ;
wire \tile_x5y1_e2begb[4] ;
wire \tile_x5y1_e2begb[5] ;
wire \tile_x5y1_e2begb[6] ;
wire \tile_x5y1_e2begb[7] ;
wire \tile_x5y1_e6beg[0] ;
wire \tile_x5y1_e6beg[10] ;
wire \tile_x5y1_e6beg[11] ;
wire \tile_x5y1_e6beg[1] ;
wire \tile_x5y1_e6beg[2] ;
wire \tile_x5y1_e6beg[3] ;
wire \tile_x5y1_e6beg[4] ;
wire \tile_x5y1_e6beg[5] ;
wire \tile_x5y1_e6beg[6] ;
wire \tile_x5y1_e6beg[7] ;
wire \tile_x5y1_e6beg[8] ;
wire \tile_x5y1_e6beg[9] ;
wire \tile_x5y1_ee4beg[0] ;
wire \tile_x5y1_ee4beg[10] ;
wire \tile_x5y1_ee4beg[11] ;
wire \tile_x5y1_ee4beg[12] ;
wire \tile_x5y1_ee4beg[13] ;
wire \tile_x5y1_ee4beg[14] ;
wire \tile_x5y1_ee4beg[15] ;
wire \tile_x5y1_ee4beg[1] ;
wire \tile_x5y1_ee4beg[2] ;
wire \tile_x5y1_ee4beg[3] ;
wire \tile_x5y1_ee4beg[4] ;
wire \tile_x5y1_ee4beg[5] ;
wire \tile_x5y1_ee4beg[6] ;
wire \tile_x5y1_ee4beg[7] ;
wire \tile_x5y1_ee4beg[8] ;
wire \tile_x5y1_ee4beg[9] ;
wire \tile_x5y1_framedata_o[0] ;
wire \tile_x5y1_framedata_o[10] ;
wire \tile_x5y1_framedata_o[11] ;
wire \tile_x5y1_framedata_o[12] ;
wire \tile_x5y1_framedata_o[13] ;
wire \tile_x5y1_framedata_o[14] ;
wire \tile_x5y1_framedata_o[15] ;
wire \tile_x5y1_framedata_o[16] ;
wire \tile_x5y1_framedata_o[17] ;
wire \tile_x5y1_framedata_o[18] ;
wire \tile_x5y1_framedata_o[19] ;
wire \tile_x5y1_framedata_o[1] ;
wire \tile_x5y1_framedata_o[20] ;
wire \tile_x5y1_framedata_o[21] ;
wire \tile_x5y1_framedata_o[22] ;
wire \tile_x5y1_framedata_o[23] ;
wire \tile_x5y1_framedata_o[24] ;
wire \tile_x5y1_framedata_o[25] ;
wire \tile_x5y1_framedata_o[26] ;
wire \tile_x5y1_framedata_o[27] ;
wire \tile_x5y1_framedata_o[28] ;
wire \tile_x5y1_framedata_o[29] ;
wire \tile_x5y1_framedata_o[2] ;
wire \tile_x5y1_framedata_o[30] ;
wire \tile_x5y1_framedata_o[31] ;
wire \tile_x5y1_framedata_o[3] ;
wire \tile_x5y1_framedata_o[4] ;
wire \tile_x5y1_framedata_o[5] ;
wire \tile_x5y1_framedata_o[6] ;
wire \tile_x5y1_framedata_o[7] ;
wire \tile_x5y1_framedata_o[8] ;
wire \tile_x5y1_framedata_o[9] ;
wire \tile_x5y1_framestrobe_o[0] ;
wire \tile_x5y1_framestrobe_o[10] ;
wire \tile_x5y1_framestrobe_o[11] ;
wire \tile_x5y1_framestrobe_o[12] ;
wire \tile_x5y1_framestrobe_o[13] ;
wire \tile_x5y1_framestrobe_o[14] ;
wire \tile_x5y1_framestrobe_o[15] ;
wire \tile_x5y1_framestrobe_o[16] ;
wire \tile_x5y1_framestrobe_o[17] ;
wire \tile_x5y1_framestrobe_o[18] ;
wire \tile_x5y1_framestrobe_o[19] ;
wire \tile_x5y1_framestrobe_o[1] ;
wire \tile_x5y1_framestrobe_o[2] ;
wire \tile_x5y1_framestrobe_o[3] ;
wire \tile_x5y1_framestrobe_o[4] ;
wire \tile_x5y1_framestrobe_o[5] ;
wire \tile_x5y1_framestrobe_o[6] ;
wire \tile_x5y1_framestrobe_o[7] ;
wire \tile_x5y1_framestrobe_o[8] ;
wire \tile_x5y1_framestrobe_o[9] ;
wire \tile_x5y1_n1beg[0] ;
wire \tile_x5y1_n1beg[1] ;
wire \tile_x5y1_n1beg[2] ;
wire \tile_x5y1_n1beg[3] ;
wire \tile_x5y1_n2beg[0] ;
wire \tile_x5y1_n2beg[1] ;
wire \tile_x5y1_n2beg[2] ;
wire \tile_x5y1_n2beg[3] ;
wire \tile_x5y1_n2beg[4] ;
wire \tile_x5y1_n2beg[5] ;
wire \tile_x5y1_n2beg[6] ;
wire \tile_x5y1_n2beg[7] ;
wire \tile_x5y1_n2begb[0] ;
wire \tile_x5y1_n2begb[1] ;
wire \tile_x5y1_n2begb[2] ;
wire \tile_x5y1_n2begb[3] ;
wire \tile_x5y1_n2begb[4] ;
wire \tile_x5y1_n2begb[5] ;
wire \tile_x5y1_n2begb[6] ;
wire \tile_x5y1_n2begb[7] ;
wire \tile_x5y1_n4beg[0] ;
wire \tile_x5y1_n4beg[10] ;
wire \tile_x5y1_n4beg[11] ;
wire \tile_x5y1_n4beg[12] ;
wire \tile_x5y1_n4beg[13] ;
wire \tile_x5y1_n4beg[14] ;
wire \tile_x5y1_n4beg[15] ;
wire \tile_x5y1_n4beg[1] ;
wire \tile_x5y1_n4beg[2] ;
wire \tile_x5y1_n4beg[3] ;
wire \tile_x5y1_n4beg[4] ;
wire \tile_x5y1_n4beg[5] ;
wire \tile_x5y1_n4beg[6] ;
wire \tile_x5y1_n4beg[7] ;
wire \tile_x5y1_n4beg[8] ;
wire \tile_x5y1_n4beg[9] ;
wire \tile_x5y1_nn4beg[0] ;
wire \tile_x5y1_nn4beg[10] ;
wire \tile_x5y1_nn4beg[11] ;
wire \tile_x5y1_nn4beg[12] ;
wire \tile_x5y1_nn4beg[13] ;
wire \tile_x5y1_nn4beg[14] ;
wire \tile_x5y1_nn4beg[15] ;
wire \tile_x5y1_nn4beg[1] ;
wire \tile_x5y1_nn4beg[2] ;
wire \tile_x5y1_nn4beg[3] ;
wire \tile_x5y1_nn4beg[4] ;
wire \tile_x5y1_nn4beg[5] ;
wire \tile_x5y1_nn4beg[6] ;
wire \tile_x5y1_nn4beg[7] ;
wire \tile_x5y1_nn4beg[8] ;
wire \tile_x5y1_nn4beg[9] ;
wire \tile_x5y1_s1beg[0] ;
wire \tile_x5y1_s1beg[1] ;
wire \tile_x5y1_s1beg[2] ;
wire \tile_x5y1_s1beg[3] ;
wire \tile_x5y1_s2beg[0] ;
wire \tile_x5y1_s2beg[1] ;
wire \tile_x5y1_s2beg[2] ;
wire \tile_x5y1_s2beg[3] ;
wire \tile_x5y1_s2beg[4] ;
wire \tile_x5y1_s2beg[5] ;
wire \tile_x5y1_s2beg[6] ;
wire \tile_x5y1_s2beg[7] ;
wire \tile_x5y1_s2begb[0] ;
wire \tile_x5y1_s2begb[1] ;
wire \tile_x5y1_s2begb[2] ;
wire \tile_x5y1_s2begb[3] ;
wire \tile_x5y1_s2begb[4] ;
wire \tile_x5y1_s2begb[5] ;
wire \tile_x5y1_s2begb[6] ;
wire \tile_x5y1_s2begb[7] ;
wire \tile_x5y1_s4beg[0] ;
wire \tile_x5y1_s4beg[10] ;
wire \tile_x5y1_s4beg[11] ;
wire \tile_x5y1_s4beg[12] ;
wire \tile_x5y1_s4beg[13] ;
wire \tile_x5y1_s4beg[14] ;
wire \tile_x5y1_s4beg[15] ;
wire \tile_x5y1_s4beg[1] ;
wire \tile_x5y1_s4beg[2] ;
wire \tile_x5y1_s4beg[3] ;
wire \tile_x5y1_s4beg[4] ;
wire \tile_x5y1_s4beg[5] ;
wire \tile_x5y1_s4beg[6] ;
wire \tile_x5y1_s4beg[7] ;
wire \tile_x5y1_s4beg[8] ;
wire \tile_x5y1_s4beg[9] ;
wire \tile_x5y1_ss4beg[0] ;
wire \tile_x5y1_ss4beg[10] ;
wire \tile_x5y1_ss4beg[11] ;
wire \tile_x5y1_ss4beg[12] ;
wire \tile_x5y1_ss4beg[13] ;
wire \tile_x5y1_ss4beg[14] ;
wire \tile_x5y1_ss4beg[15] ;
wire \tile_x5y1_ss4beg[1] ;
wire \tile_x5y1_ss4beg[2] ;
wire \tile_x5y1_ss4beg[3] ;
wire \tile_x5y1_ss4beg[4] ;
wire \tile_x5y1_ss4beg[5] ;
wire \tile_x5y1_ss4beg[6] ;
wire \tile_x5y1_ss4beg[7] ;
wire \tile_x5y1_ss4beg[8] ;
wire \tile_x5y1_ss4beg[9] ;
wire tile_x5y1_userclko;
wire \tile_x5y1_w1beg[0] ;
wire \tile_x5y1_w1beg[1] ;
wire \tile_x5y1_w1beg[2] ;
wire \tile_x5y1_w1beg[3] ;
wire \tile_x5y1_w2beg[0] ;
wire \tile_x5y1_w2beg[1] ;
wire \tile_x5y1_w2beg[2] ;
wire \tile_x5y1_w2beg[3] ;
wire \tile_x5y1_w2beg[4] ;
wire \tile_x5y1_w2beg[5] ;
wire \tile_x5y1_w2beg[6] ;
wire \tile_x5y1_w2beg[7] ;
wire \tile_x5y1_w2begb[0] ;
wire \tile_x5y1_w2begb[1] ;
wire \tile_x5y1_w2begb[2] ;
wire \tile_x5y1_w2begb[3] ;
wire \tile_x5y1_w2begb[4] ;
wire \tile_x5y1_w2begb[5] ;
wire \tile_x5y1_w2begb[6] ;
wire \tile_x5y1_w2begb[7] ;
wire \tile_x5y1_w6beg[0] ;
wire \tile_x5y1_w6beg[10] ;
wire \tile_x5y1_w6beg[11] ;
wire \tile_x5y1_w6beg[1] ;
wire \tile_x5y1_w6beg[2] ;
wire \tile_x5y1_w6beg[3] ;
wire \tile_x5y1_w6beg[4] ;
wire \tile_x5y1_w6beg[5] ;
wire \tile_x5y1_w6beg[6] ;
wire \tile_x5y1_w6beg[7] ;
wire \tile_x5y1_w6beg[8] ;
wire \tile_x5y1_w6beg[9] ;
wire \tile_x5y1_ww4beg[0] ;
wire \tile_x5y1_ww4beg[10] ;
wire \tile_x5y1_ww4beg[11] ;
wire \tile_x5y1_ww4beg[12] ;
wire \tile_x5y1_ww4beg[13] ;
wire \tile_x5y1_ww4beg[14] ;
wire \tile_x5y1_ww4beg[15] ;
wire \tile_x5y1_ww4beg[1] ;
wire \tile_x5y1_ww4beg[2] ;
wire \tile_x5y1_ww4beg[3] ;
wire \tile_x5y1_ww4beg[4] ;
wire \tile_x5y1_ww4beg[5] ;
wire \tile_x5y1_ww4beg[6] ;
wire \tile_x5y1_ww4beg[7] ;
wire \tile_x5y1_ww4beg[8] ;
wire \tile_x5y1_ww4beg[9] ;
wire tile_x5y2_co;
wire \tile_x5y2_e1beg[0] ;
wire \tile_x5y2_e1beg[1] ;
wire \tile_x5y2_e1beg[2] ;
wire \tile_x5y2_e1beg[3] ;
wire \tile_x5y2_e2beg[0] ;
wire \tile_x5y2_e2beg[1] ;
wire \tile_x5y2_e2beg[2] ;
wire \tile_x5y2_e2beg[3] ;
wire \tile_x5y2_e2beg[4] ;
wire \tile_x5y2_e2beg[5] ;
wire \tile_x5y2_e2beg[6] ;
wire \tile_x5y2_e2beg[7] ;
wire \tile_x5y2_e2begb[0] ;
wire \tile_x5y2_e2begb[1] ;
wire \tile_x5y2_e2begb[2] ;
wire \tile_x5y2_e2begb[3] ;
wire \tile_x5y2_e2begb[4] ;
wire \tile_x5y2_e2begb[5] ;
wire \tile_x5y2_e2begb[6] ;
wire \tile_x5y2_e2begb[7] ;
wire \tile_x5y2_e6beg[0] ;
wire \tile_x5y2_e6beg[10] ;
wire \tile_x5y2_e6beg[11] ;
wire \tile_x5y2_e6beg[1] ;
wire \tile_x5y2_e6beg[2] ;
wire \tile_x5y2_e6beg[3] ;
wire \tile_x5y2_e6beg[4] ;
wire \tile_x5y2_e6beg[5] ;
wire \tile_x5y2_e6beg[6] ;
wire \tile_x5y2_e6beg[7] ;
wire \tile_x5y2_e6beg[8] ;
wire \tile_x5y2_e6beg[9] ;
wire \tile_x5y2_ee4beg[0] ;
wire \tile_x5y2_ee4beg[10] ;
wire \tile_x5y2_ee4beg[11] ;
wire \tile_x5y2_ee4beg[12] ;
wire \tile_x5y2_ee4beg[13] ;
wire \tile_x5y2_ee4beg[14] ;
wire \tile_x5y2_ee4beg[15] ;
wire \tile_x5y2_ee4beg[1] ;
wire \tile_x5y2_ee4beg[2] ;
wire \tile_x5y2_ee4beg[3] ;
wire \tile_x5y2_ee4beg[4] ;
wire \tile_x5y2_ee4beg[5] ;
wire \tile_x5y2_ee4beg[6] ;
wire \tile_x5y2_ee4beg[7] ;
wire \tile_x5y2_ee4beg[8] ;
wire \tile_x5y2_ee4beg[9] ;
wire \tile_x5y2_framedata_o[0] ;
wire \tile_x5y2_framedata_o[10] ;
wire \tile_x5y2_framedata_o[11] ;
wire \tile_x5y2_framedata_o[12] ;
wire \tile_x5y2_framedata_o[13] ;
wire \tile_x5y2_framedata_o[14] ;
wire \tile_x5y2_framedata_o[15] ;
wire \tile_x5y2_framedata_o[16] ;
wire \tile_x5y2_framedata_o[17] ;
wire \tile_x5y2_framedata_o[18] ;
wire \tile_x5y2_framedata_o[19] ;
wire \tile_x5y2_framedata_o[1] ;
wire \tile_x5y2_framedata_o[20] ;
wire \tile_x5y2_framedata_o[21] ;
wire \tile_x5y2_framedata_o[22] ;
wire \tile_x5y2_framedata_o[23] ;
wire \tile_x5y2_framedata_o[24] ;
wire \tile_x5y2_framedata_o[25] ;
wire \tile_x5y2_framedata_o[26] ;
wire \tile_x5y2_framedata_o[27] ;
wire \tile_x5y2_framedata_o[28] ;
wire \tile_x5y2_framedata_o[29] ;
wire \tile_x5y2_framedata_o[2] ;
wire \tile_x5y2_framedata_o[30] ;
wire \tile_x5y2_framedata_o[31] ;
wire \tile_x5y2_framedata_o[3] ;
wire \tile_x5y2_framedata_o[4] ;
wire \tile_x5y2_framedata_o[5] ;
wire \tile_x5y2_framedata_o[6] ;
wire \tile_x5y2_framedata_o[7] ;
wire \tile_x5y2_framedata_o[8] ;
wire \tile_x5y2_framedata_o[9] ;
wire \tile_x5y2_framestrobe_o[0] ;
wire \tile_x5y2_framestrobe_o[10] ;
wire \tile_x5y2_framestrobe_o[11] ;
wire \tile_x5y2_framestrobe_o[12] ;
wire \tile_x5y2_framestrobe_o[13] ;
wire \tile_x5y2_framestrobe_o[14] ;
wire \tile_x5y2_framestrobe_o[15] ;
wire \tile_x5y2_framestrobe_o[16] ;
wire \tile_x5y2_framestrobe_o[17] ;
wire \tile_x5y2_framestrobe_o[18] ;
wire \tile_x5y2_framestrobe_o[19] ;
wire \tile_x5y2_framestrobe_o[1] ;
wire \tile_x5y2_framestrobe_o[2] ;
wire \tile_x5y2_framestrobe_o[3] ;
wire \tile_x5y2_framestrobe_o[4] ;
wire \tile_x5y2_framestrobe_o[5] ;
wire \tile_x5y2_framestrobe_o[6] ;
wire \tile_x5y2_framestrobe_o[7] ;
wire \tile_x5y2_framestrobe_o[8] ;
wire \tile_x5y2_framestrobe_o[9] ;
wire \tile_x5y2_n1beg[0] ;
wire \tile_x5y2_n1beg[1] ;
wire \tile_x5y2_n1beg[2] ;
wire \tile_x5y2_n1beg[3] ;
wire \tile_x5y2_n2beg[0] ;
wire \tile_x5y2_n2beg[1] ;
wire \tile_x5y2_n2beg[2] ;
wire \tile_x5y2_n2beg[3] ;
wire \tile_x5y2_n2beg[4] ;
wire \tile_x5y2_n2beg[5] ;
wire \tile_x5y2_n2beg[6] ;
wire \tile_x5y2_n2beg[7] ;
wire \tile_x5y2_n2begb[0] ;
wire \tile_x5y2_n2begb[1] ;
wire \tile_x5y2_n2begb[2] ;
wire \tile_x5y2_n2begb[3] ;
wire \tile_x5y2_n2begb[4] ;
wire \tile_x5y2_n2begb[5] ;
wire \tile_x5y2_n2begb[6] ;
wire \tile_x5y2_n2begb[7] ;
wire \tile_x5y2_n4beg[0] ;
wire \tile_x5y2_n4beg[10] ;
wire \tile_x5y2_n4beg[11] ;
wire \tile_x5y2_n4beg[12] ;
wire \tile_x5y2_n4beg[13] ;
wire \tile_x5y2_n4beg[14] ;
wire \tile_x5y2_n4beg[15] ;
wire \tile_x5y2_n4beg[1] ;
wire \tile_x5y2_n4beg[2] ;
wire \tile_x5y2_n4beg[3] ;
wire \tile_x5y2_n4beg[4] ;
wire \tile_x5y2_n4beg[5] ;
wire \tile_x5y2_n4beg[6] ;
wire \tile_x5y2_n4beg[7] ;
wire \tile_x5y2_n4beg[8] ;
wire \tile_x5y2_n4beg[9] ;
wire \tile_x5y2_nn4beg[0] ;
wire \tile_x5y2_nn4beg[10] ;
wire \tile_x5y2_nn4beg[11] ;
wire \tile_x5y2_nn4beg[12] ;
wire \tile_x5y2_nn4beg[13] ;
wire \tile_x5y2_nn4beg[14] ;
wire \tile_x5y2_nn4beg[15] ;
wire \tile_x5y2_nn4beg[1] ;
wire \tile_x5y2_nn4beg[2] ;
wire \tile_x5y2_nn4beg[3] ;
wire \tile_x5y2_nn4beg[4] ;
wire \tile_x5y2_nn4beg[5] ;
wire \tile_x5y2_nn4beg[6] ;
wire \tile_x5y2_nn4beg[7] ;
wire \tile_x5y2_nn4beg[8] ;
wire \tile_x5y2_nn4beg[9] ;
wire \tile_x5y2_s1beg[0] ;
wire \tile_x5y2_s1beg[1] ;
wire \tile_x5y2_s1beg[2] ;
wire \tile_x5y2_s1beg[3] ;
wire \tile_x5y2_s2beg[0] ;
wire \tile_x5y2_s2beg[1] ;
wire \tile_x5y2_s2beg[2] ;
wire \tile_x5y2_s2beg[3] ;
wire \tile_x5y2_s2beg[4] ;
wire \tile_x5y2_s2beg[5] ;
wire \tile_x5y2_s2beg[6] ;
wire \tile_x5y2_s2beg[7] ;
wire \tile_x5y2_s2begb[0] ;
wire \tile_x5y2_s2begb[1] ;
wire \tile_x5y2_s2begb[2] ;
wire \tile_x5y2_s2begb[3] ;
wire \tile_x5y2_s2begb[4] ;
wire \tile_x5y2_s2begb[5] ;
wire \tile_x5y2_s2begb[6] ;
wire \tile_x5y2_s2begb[7] ;
wire \tile_x5y2_s4beg[0] ;
wire \tile_x5y2_s4beg[10] ;
wire \tile_x5y2_s4beg[11] ;
wire \tile_x5y2_s4beg[12] ;
wire \tile_x5y2_s4beg[13] ;
wire \tile_x5y2_s4beg[14] ;
wire \tile_x5y2_s4beg[15] ;
wire \tile_x5y2_s4beg[1] ;
wire \tile_x5y2_s4beg[2] ;
wire \tile_x5y2_s4beg[3] ;
wire \tile_x5y2_s4beg[4] ;
wire \tile_x5y2_s4beg[5] ;
wire \tile_x5y2_s4beg[6] ;
wire \tile_x5y2_s4beg[7] ;
wire \tile_x5y2_s4beg[8] ;
wire \tile_x5y2_s4beg[9] ;
wire \tile_x5y2_ss4beg[0] ;
wire \tile_x5y2_ss4beg[10] ;
wire \tile_x5y2_ss4beg[11] ;
wire \tile_x5y2_ss4beg[12] ;
wire \tile_x5y2_ss4beg[13] ;
wire \tile_x5y2_ss4beg[14] ;
wire \tile_x5y2_ss4beg[15] ;
wire \tile_x5y2_ss4beg[1] ;
wire \tile_x5y2_ss4beg[2] ;
wire \tile_x5y2_ss4beg[3] ;
wire \tile_x5y2_ss4beg[4] ;
wire \tile_x5y2_ss4beg[5] ;
wire \tile_x5y2_ss4beg[6] ;
wire \tile_x5y2_ss4beg[7] ;
wire \tile_x5y2_ss4beg[8] ;
wire \tile_x5y2_ss4beg[9] ;
wire tile_x5y2_userclko;
wire \tile_x5y2_w1beg[0] ;
wire \tile_x5y2_w1beg[1] ;
wire \tile_x5y2_w1beg[2] ;
wire \tile_x5y2_w1beg[3] ;
wire \tile_x5y2_w2beg[0] ;
wire \tile_x5y2_w2beg[1] ;
wire \tile_x5y2_w2beg[2] ;
wire \tile_x5y2_w2beg[3] ;
wire \tile_x5y2_w2beg[4] ;
wire \tile_x5y2_w2beg[5] ;
wire \tile_x5y2_w2beg[6] ;
wire \tile_x5y2_w2beg[7] ;
wire \tile_x5y2_w2begb[0] ;
wire \tile_x5y2_w2begb[1] ;
wire \tile_x5y2_w2begb[2] ;
wire \tile_x5y2_w2begb[3] ;
wire \tile_x5y2_w2begb[4] ;
wire \tile_x5y2_w2begb[5] ;
wire \tile_x5y2_w2begb[6] ;
wire \tile_x5y2_w2begb[7] ;
wire \tile_x5y2_w6beg[0] ;
wire \tile_x5y2_w6beg[10] ;
wire \tile_x5y2_w6beg[11] ;
wire \tile_x5y2_w6beg[1] ;
wire \tile_x5y2_w6beg[2] ;
wire \tile_x5y2_w6beg[3] ;
wire \tile_x5y2_w6beg[4] ;
wire \tile_x5y2_w6beg[5] ;
wire \tile_x5y2_w6beg[6] ;
wire \tile_x5y2_w6beg[7] ;
wire \tile_x5y2_w6beg[8] ;
wire \tile_x5y2_w6beg[9] ;
wire \tile_x5y2_ww4beg[0] ;
wire \tile_x5y2_ww4beg[10] ;
wire \tile_x5y2_ww4beg[11] ;
wire \tile_x5y2_ww4beg[12] ;
wire \tile_x5y2_ww4beg[13] ;
wire \tile_x5y2_ww4beg[14] ;
wire \tile_x5y2_ww4beg[15] ;
wire \tile_x5y2_ww4beg[1] ;
wire \tile_x5y2_ww4beg[2] ;
wire \tile_x5y2_ww4beg[3] ;
wire \tile_x5y2_ww4beg[4] ;
wire \tile_x5y2_ww4beg[5] ;
wire \tile_x5y2_ww4beg[6] ;
wire \tile_x5y2_ww4beg[7] ;
wire \tile_x5y2_ww4beg[8] ;
wire \tile_x5y2_ww4beg[9] ;
wire tile_x5y3_co;
wire \tile_x5y3_e1beg[0] ;
wire \tile_x5y3_e1beg[1] ;
wire \tile_x5y3_e1beg[2] ;
wire \tile_x5y3_e1beg[3] ;
wire \tile_x5y3_e2beg[0] ;
wire \tile_x5y3_e2beg[1] ;
wire \tile_x5y3_e2beg[2] ;
wire \tile_x5y3_e2beg[3] ;
wire \tile_x5y3_e2beg[4] ;
wire \tile_x5y3_e2beg[5] ;
wire \tile_x5y3_e2beg[6] ;
wire \tile_x5y3_e2beg[7] ;
wire \tile_x5y3_e2begb[0] ;
wire \tile_x5y3_e2begb[1] ;
wire \tile_x5y3_e2begb[2] ;
wire \tile_x5y3_e2begb[3] ;
wire \tile_x5y3_e2begb[4] ;
wire \tile_x5y3_e2begb[5] ;
wire \tile_x5y3_e2begb[6] ;
wire \tile_x5y3_e2begb[7] ;
wire \tile_x5y3_e6beg[0] ;
wire \tile_x5y3_e6beg[10] ;
wire \tile_x5y3_e6beg[11] ;
wire \tile_x5y3_e6beg[1] ;
wire \tile_x5y3_e6beg[2] ;
wire \tile_x5y3_e6beg[3] ;
wire \tile_x5y3_e6beg[4] ;
wire \tile_x5y3_e6beg[5] ;
wire \tile_x5y3_e6beg[6] ;
wire \tile_x5y3_e6beg[7] ;
wire \tile_x5y3_e6beg[8] ;
wire \tile_x5y3_e6beg[9] ;
wire \tile_x5y3_ee4beg[0] ;
wire \tile_x5y3_ee4beg[10] ;
wire \tile_x5y3_ee4beg[11] ;
wire \tile_x5y3_ee4beg[12] ;
wire \tile_x5y3_ee4beg[13] ;
wire \tile_x5y3_ee4beg[14] ;
wire \tile_x5y3_ee4beg[15] ;
wire \tile_x5y3_ee4beg[1] ;
wire \tile_x5y3_ee4beg[2] ;
wire \tile_x5y3_ee4beg[3] ;
wire \tile_x5y3_ee4beg[4] ;
wire \tile_x5y3_ee4beg[5] ;
wire \tile_x5y3_ee4beg[6] ;
wire \tile_x5y3_ee4beg[7] ;
wire \tile_x5y3_ee4beg[8] ;
wire \tile_x5y3_ee4beg[9] ;
wire \tile_x5y3_framedata_o[0] ;
wire \tile_x5y3_framedata_o[10] ;
wire \tile_x5y3_framedata_o[11] ;
wire \tile_x5y3_framedata_o[12] ;
wire \tile_x5y3_framedata_o[13] ;
wire \tile_x5y3_framedata_o[14] ;
wire \tile_x5y3_framedata_o[15] ;
wire \tile_x5y3_framedata_o[16] ;
wire \tile_x5y3_framedata_o[17] ;
wire \tile_x5y3_framedata_o[18] ;
wire \tile_x5y3_framedata_o[19] ;
wire \tile_x5y3_framedata_o[1] ;
wire \tile_x5y3_framedata_o[20] ;
wire \tile_x5y3_framedata_o[21] ;
wire \tile_x5y3_framedata_o[22] ;
wire \tile_x5y3_framedata_o[23] ;
wire \tile_x5y3_framedata_o[24] ;
wire \tile_x5y3_framedata_o[25] ;
wire \tile_x5y3_framedata_o[26] ;
wire \tile_x5y3_framedata_o[27] ;
wire \tile_x5y3_framedata_o[28] ;
wire \tile_x5y3_framedata_o[29] ;
wire \tile_x5y3_framedata_o[2] ;
wire \tile_x5y3_framedata_o[30] ;
wire \tile_x5y3_framedata_o[31] ;
wire \tile_x5y3_framedata_o[3] ;
wire \tile_x5y3_framedata_o[4] ;
wire \tile_x5y3_framedata_o[5] ;
wire \tile_x5y3_framedata_o[6] ;
wire \tile_x5y3_framedata_o[7] ;
wire \tile_x5y3_framedata_o[8] ;
wire \tile_x5y3_framedata_o[9] ;
wire \tile_x5y3_framestrobe_o[0] ;
wire \tile_x5y3_framestrobe_o[10] ;
wire \tile_x5y3_framestrobe_o[11] ;
wire \tile_x5y3_framestrobe_o[12] ;
wire \tile_x5y3_framestrobe_o[13] ;
wire \tile_x5y3_framestrobe_o[14] ;
wire \tile_x5y3_framestrobe_o[15] ;
wire \tile_x5y3_framestrobe_o[16] ;
wire \tile_x5y3_framestrobe_o[17] ;
wire \tile_x5y3_framestrobe_o[18] ;
wire \tile_x5y3_framestrobe_o[19] ;
wire \tile_x5y3_framestrobe_o[1] ;
wire \tile_x5y3_framestrobe_o[2] ;
wire \tile_x5y3_framestrobe_o[3] ;
wire \tile_x5y3_framestrobe_o[4] ;
wire \tile_x5y3_framestrobe_o[5] ;
wire \tile_x5y3_framestrobe_o[6] ;
wire \tile_x5y3_framestrobe_o[7] ;
wire \tile_x5y3_framestrobe_o[8] ;
wire \tile_x5y3_framestrobe_o[9] ;
wire \tile_x5y3_n1beg[0] ;
wire \tile_x5y3_n1beg[1] ;
wire \tile_x5y3_n1beg[2] ;
wire \tile_x5y3_n1beg[3] ;
wire \tile_x5y3_n2beg[0] ;
wire \tile_x5y3_n2beg[1] ;
wire \tile_x5y3_n2beg[2] ;
wire \tile_x5y3_n2beg[3] ;
wire \tile_x5y3_n2beg[4] ;
wire \tile_x5y3_n2beg[5] ;
wire \tile_x5y3_n2beg[6] ;
wire \tile_x5y3_n2beg[7] ;
wire \tile_x5y3_n2begb[0] ;
wire \tile_x5y3_n2begb[1] ;
wire \tile_x5y3_n2begb[2] ;
wire \tile_x5y3_n2begb[3] ;
wire \tile_x5y3_n2begb[4] ;
wire \tile_x5y3_n2begb[5] ;
wire \tile_x5y3_n2begb[6] ;
wire \tile_x5y3_n2begb[7] ;
wire \tile_x5y3_n4beg[0] ;
wire \tile_x5y3_n4beg[10] ;
wire \tile_x5y3_n4beg[11] ;
wire \tile_x5y3_n4beg[12] ;
wire \tile_x5y3_n4beg[13] ;
wire \tile_x5y3_n4beg[14] ;
wire \tile_x5y3_n4beg[15] ;
wire \tile_x5y3_n4beg[1] ;
wire \tile_x5y3_n4beg[2] ;
wire \tile_x5y3_n4beg[3] ;
wire \tile_x5y3_n4beg[4] ;
wire \tile_x5y3_n4beg[5] ;
wire \tile_x5y3_n4beg[6] ;
wire \tile_x5y3_n4beg[7] ;
wire \tile_x5y3_n4beg[8] ;
wire \tile_x5y3_n4beg[9] ;
wire \tile_x5y3_nn4beg[0] ;
wire \tile_x5y3_nn4beg[10] ;
wire \tile_x5y3_nn4beg[11] ;
wire \tile_x5y3_nn4beg[12] ;
wire \tile_x5y3_nn4beg[13] ;
wire \tile_x5y3_nn4beg[14] ;
wire \tile_x5y3_nn4beg[15] ;
wire \tile_x5y3_nn4beg[1] ;
wire \tile_x5y3_nn4beg[2] ;
wire \tile_x5y3_nn4beg[3] ;
wire \tile_x5y3_nn4beg[4] ;
wire \tile_x5y3_nn4beg[5] ;
wire \tile_x5y3_nn4beg[6] ;
wire \tile_x5y3_nn4beg[7] ;
wire \tile_x5y3_nn4beg[8] ;
wire \tile_x5y3_nn4beg[9] ;
wire \tile_x5y3_s1beg[0] ;
wire \tile_x5y3_s1beg[1] ;
wire \tile_x5y3_s1beg[2] ;
wire \tile_x5y3_s1beg[3] ;
wire \tile_x5y3_s2beg[0] ;
wire \tile_x5y3_s2beg[1] ;
wire \tile_x5y3_s2beg[2] ;
wire \tile_x5y3_s2beg[3] ;
wire \tile_x5y3_s2beg[4] ;
wire \tile_x5y3_s2beg[5] ;
wire \tile_x5y3_s2beg[6] ;
wire \tile_x5y3_s2beg[7] ;
wire \tile_x5y3_s2begb[0] ;
wire \tile_x5y3_s2begb[1] ;
wire \tile_x5y3_s2begb[2] ;
wire \tile_x5y3_s2begb[3] ;
wire \tile_x5y3_s2begb[4] ;
wire \tile_x5y3_s2begb[5] ;
wire \tile_x5y3_s2begb[6] ;
wire \tile_x5y3_s2begb[7] ;
wire \tile_x5y3_s4beg[0] ;
wire \tile_x5y3_s4beg[10] ;
wire \tile_x5y3_s4beg[11] ;
wire \tile_x5y3_s4beg[12] ;
wire \tile_x5y3_s4beg[13] ;
wire \tile_x5y3_s4beg[14] ;
wire \tile_x5y3_s4beg[15] ;
wire \tile_x5y3_s4beg[1] ;
wire \tile_x5y3_s4beg[2] ;
wire \tile_x5y3_s4beg[3] ;
wire \tile_x5y3_s4beg[4] ;
wire \tile_x5y3_s4beg[5] ;
wire \tile_x5y3_s4beg[6] ;
wire \tile_x5y3_s4beg[7] ;
wire \tile_x5y3_s4beg[8] ;
wire \tile_x5y3_s4beg[9] ;
wire \tile_x5y3_ss4beg[0] ;
wire \tile_x5y3_ss4beg[10] ;
wire \tile_x5y3_ss4beg[11] ;
wire \tile_x5y3_ss4beg[12] ;
wire \tile_x5y3_ss4beg[13] ;
wire \tile_x5y3_ss4beg[14] ;
wire \tile_x5y3_ss4beg[15] ;
wire \tile_x5y3_ss4beg[1] ;
wire \tile_x5y3_ss4beg[2] ;
wire \tile_x5y3_ss4beg[3] ;
wire \tile_x5y3_ss4beg[4] ;
wire \tile_x5y3_ss4beg[5] ;
wire \tile_x5y3_ss4beg[6] ;
wire \tile_x5y3_ss4beg[7] ;
wire \tile_x5y3_ss4beg[8] ;
wire \tile_x5y3_ss4beg[9] ;
wire tile_x5y3_userclko;
wire \tile_x5y3_w1beg[0] ;
wire \tile_x5y3_w1beg[1] ;
wire \tile_x5y3_w1beg[2] ;
wire \tile_x5y3_w1beg[3] ;
wire \tile_x5y3_w2beg[0] ;
wire \tile_x5y3_w2beg[1] ;
wire \tile_x5y3_w2beg[2] ;
wire \tile_x5y3_w2beg[3] ;
wire \tile_x5y3_w2beg[4] ;
wire \tile_x5y3_w2beg[5] ;
wire \tile_x5y3_w2beg[6] ;
wire \tile_x5y3_w2beg[7] ;
wire \tile_x5y3_w2begb[0] ;
wire \tile_x5y3_w2begb[1] ;
wire \tile_x5y3_w2begb[2] ;
wire \tile_x5y3_w2begb[3] ;
wire \tile_x5y3_w2begb[4] ;
wire \tile_x5y3_w2begb[5] ;
wire \tile_x5y3_w2begb[6] ;
wire \tile_x5y3_w2begb[7] ;
wire \tile_x5y3_w6beg[0] ;
wire \tile_x5y3_w6beg[10] ;
wire \tile_x5y3_w6beg[11] ;
wire \tile_x5y3_w6beg[1] ;
wire \tile_x5y3_w6beg[2] ;
wire \tile_x5y3_w6beg[3] ;
wire \tile_x5y3_w6beg[4] ;
wire \tile_x5y3_w6beg[5] ;
wire \tile_x5y3_w6beg[6] ;
wire \tile_x5y3_w6beg[7] ;
wire \tile_x5y3_w6beg[8] ;
wire \tile_x5y3_w6beg[9] ;
wire \tile_x5y3_ww4beg[0] ;
wire \tile_x5y3_ww4beg[10] ;
wire \tile_x5y3_ww4beg[11] ;
wire \tile_x5y3_ww4beg[12] ;
wire \tile_x5y3_ww4beg[13] ;
wire \tile_x5y3_ww4beg[14] ;
wire \tile_x5y3_ww4beg[15] ;
wire \tile_x5y3_ww4beg[1] ;
wire \tile_x5y3_ww4beg[2] ;
wire \tile_x5y3_ww4beg[3] ;
wire \tile_x5y3_ww4beg[4] ;
wire \tile_x5y3_ww4beg[5] ;
wire \tile_x5y3_ww4beg[6] ;
wire \tile_x5y3_ww4beg[7] ;
wire \tile_x5y3_ww4beg[8] ;
wire \tile_x5y3_ww4beg[9] ;
wire tile_x5y4_co;
wire \tile_x5y4_e1beg[0] ;
wire \tile_x5y4_e1beg[1] ;
wire \tile_x5y4_e1beg[2] ;
wire \tile_x5y4_e1beg[3] ;
wire \tile_x5y4_e2beg[0] ;
wire \tile_x5y4_e2beg[1] ;
wire \tile_x5y4_e2beg[2] ;
wire \tile_x5y4_e2beg[3] ;
wire \tile_x5y4_e2beg[4] ;
wire \tile_x5y4_e2beg[5] ;
wire \tile_x5y4_e2beg[6] ;
wire \tile_x5y4_e2beg[7] ;
wire \tile_x5y4_e2begb[0] ;
wire \tile_x5y4_e2begb[1] ;
wire \tile_x5y4_e2begb[2] ;
wire \tile_x5y4_e2begb[3] ;
wire \tile_x5y4_e2begb[4] ;
wire \tile_x5y4_e2begb[5] ;
wire \tile_x5y4_e2begb[6] ;
wire \tile_x5y4_e2begb[7] ;
wire \tile_x5y4_e6beg[0] ;
wire \tile_x5y4_e6beg[10] ;
wire \tile_x5y4_e6beg[11] ;
wire \tile_x5y4_e6beg[1] ;
wire \tile_x5y4_e6beg[2] ;
wire \tile_x5y4_e6beg[3] ;
wire \tile_x5y4_e6beg[4] ;
wire \tile_x5y4_e6beg[5] ;
wire \tile_x5y4_e6beg[6] ;
wire \tile_x5y4_e6beg[7] ;
wire \tile_x5y4_e6beg[8] ;
wire \tile_x5y4_e6beg[9] ;
wire \tile_x5y4_ee4beg[0] ;
wire \tile_x5y4_ee4beg[10] ;
wire \tile_x5y4_ee4beg[11] ;
wire \tile_x5y4_ee4beg[12] ;
wire \tile_x5y4_ee4beg[13] ;
wire \tile_x5y4_ee4beg[14] ;
wire \tile_x5y4_ee4beg[15] ;
wire \tile_x5y4_ee4beg[1] ;
wire \tile_x5y4_ee4beg[2] ;
wire \tile_x5y4_ee4beg[3] ;
wire \tile_x5y4_ee4beg[4] ;
wire \tile_x5y4_ee4beg[5] ;
wire \tile_x5y4_ee4beg[6] ;
wire \tile_x5y4_ee4beg[7] ;
wire \tile_x5y4_ee4beg[8] ;
wire \tile_x5y4_ee4beg[9] ;
wire \tile_x5y4_framedata_o[0] ;
wire \tile_x5y4_framedata_o[10] ;
wire \tile_x5y4_framedata_o[11] ;
wire \tile_x5y4_framedata_o[12] ;
wire \tile_x5y4_framedata_o[13] ;
wire \tile_x5y4_framedata_o[14] ;
wire \tile_x5y4_framedata_o[15] ;
wire \tile_x5y4_framedata_o[16] ;
wire \tile_x5y4_framedata_o[17] ;
wire \tile_x5y4_framedata_o[18] ;
wire \tile_x5y4_framedata_o[19] ;
wire \tile_x5y4_framedata_o[1] ;
wire \tile_x5y4_framedata_o[20] ;
wire \tile_x5y4_framedata_o[21] ;
wire \tile_x5y4_framedata_o[22] ;
wire \tile_x5y4_framedata_o[23] ;
wire \tile_x5y4_framedata_o[24] ;
wire \tile_x5y4_framedata_o[25] ;
wire \tile_x5y4_framedata_o[26] ;
wire \tile_x5y4_framedata_o[27] ;
wire \tile_x5y4_framedata_o[28] ;
wire \tile_x5y4_framedata_o[29] ;
wire \tile_x5y4_framedata_o[2] ;
wire \tile_x5y4_framedata_o[30] ;
wire \tile_x5y4_framedata_o[31] ;
wire \tile_x5y4_framedata_o[3] ;
wire \tile_x5y4_framedata_o[4] ;
wire \tile_x5y4_framedata_o[5] ;
wire \tile_x5y4_framedata_o[6] ;
wire \tile_x5y4_framedata_o[7] ;
wire \tile_x5y4_framedata_o[8] ;
wire \tile_x5y4_framedata_o[9] ;
wire \tile_x5y4_framestrobe_o[0] ;
wire \tile_x5y4_framestrobe_o[10] ;
wire \tile_x5y4_framestrobe_o[11] ;
wire \tile_x5y4_framestrobe_o[12] ;
wire \tile_x5y4_framestrobe_o[13] ;
wire \tile_x5y4_framestrobe_o[14] ;
wire \tile_x5y4_framestrobe_o[15] ;
wire \tile_x5y4_framestrobe_o[16] ;
wire \tile_x5y4_framestrobe_o[17] ;
wire \tile_x5y4_framestrobe_o[18] ;
wire \tile_x5y4_framestrobe_o[19] ;
wire \tile_x5y4_framestrobe_o[1] ;
wire \tile_x5y4_framestrobe_o[2] ;
wire \tile_x5y4_framestrobe_o[3] ;
wire \tile_x5y4_framestrobe_o[4] ;
wire \tile_x5y4_framestrobe_o[5] ;
wire \tile_x5y4_framestrobe_o[6] ;
wire \tile_x5y4_framestrobe_o[7] ;
wire \tile_x5y4_framestrobe_o[8] ;
wire \tile_x5y4_framestrobe_o[9] ;
wire \tile_x5y4_n1beg[0] ;
wire \tile_x5y4_n1beg[1] ;
wire \tile_x5y4_n1beg[2] ;
wire \tile_x5y4_n1beg[3] ;
wire \tile_x5y4_n2beg[0] ;
wire \tile_x5y4_n2beg[1] ;
wire \tile_x5y4_n2beg[2] ;
wire \tile_x5y4_n2beg[3] ;
wire \tile_x5y4_n2beg[4] ;
wire \tile_x5y4_n2beg[5] ;
wire \tile_x5y4_n2beg[6] ;
wire \tile_x5y4_n2beg[7] ;
wire \tile_x5y4_n2begb[0] ;
wire \tile_x5y4_n2begb[1] ;
wire \tile_x5y4_n2begb[2] ;
wire \tile_x5y4_n2begb[3] ;
wire \tile_x5y4_n2begb[4] ;
wire \tile_x5y4_n2begb[5] ;
wire \tile_x5y4_n2begb[6] ;
wire \tile_x5y4_n2begb[7] ;
wire \tile_x5y4_n4beg[0] ;
wire \tile_x5y4_n4beg[10] ;
wire \tile_x5y4_n4beg[11] ;
wire \tile_x5y4_n4beg[12] ;
wire \tile_x5y4_n4beg[13] ;
wire \tile_x5y4_n4beg[14] ;
wire \tile_x5y4_n4beg[15] ;
wire \tile_x5y4_n4beg[1] ;
wire \tile_x5y4_n4beg[2] ;
wire \tile_x5y4_n4beg[3] ;
wire \tile_x5y4_n4beg[4] ;
wire \tile_x5y4_n4beg[5] ;
wire \tile_x5y4_n4beg[6] ;
wire \tile_x5y4_n4beg[7] ;
wire \tile_x5y4_n4beg[8] ;
wire \tile_x5y4_n4beg[9] ;
wire \tile_x5y4_nn4beg[0] ;
wire \tile_x5y4_nn4beg[10] ;
wire \tile_x5y4_nn4beg[11] ;
wire \tile_x5y4_nn4beg[12] ;
wire \tile_x5y4_nn4beg[13] ;
wire \tile_x5y4_nn4beg[14] ;
wire \tile_x5y4_nn4beg[15] ;
wire \tile_x5y4_nn4beg[1] ;
wire \tile_x5y4_nn4beg[2] ;
wire \tile_x5y4_nn4beg[3] ;
wire \tile_x5y4_nn4beg[4] ;
wire \tile_x5y4_nn4beg[5] ;
wire \tile_x5y4_nn4beg[6] ;
wire \tile_x5y4_nn4beg[7] ;
wire \tile_x5y4_nn4beg[8] ;
wire \tile_x5y4_nn4beg[9] ;
wire \tile_x5y4_s1beg[0] ;
wire \tile_x5y4_s1beg[1] ;
wire \tile_x5y4_s1beg[2] ;
wire \tile_x5y4_s1beg[3] ;
wire \tile_x5y4_s2beg[0] ;
wire \tile_x5y4_s2beg[1] ;
wire \tile_x5y4_s2beg[2] ;
wire \tile_x5y4_s2beg[3] ;
wire \tile_x5y4_s2beg[4] ;
wire \tile_x5y4_s2beg[5] ;
wire \tile_x5y4_s2beg[6] ;
wire \tile_x5y4_s2beg[7] ;
wire \tile_x5y4_s2begb[0] ;
wire \tile_x5y4_s2begb[1] ;
wire \tile_x5y4_s2begb[2] ;
wire \tile_x5y4_s2begb[3] ;
wire \tile_x5y4_s2begb[4] ;
wire \tile_x5y4_s2begb[5] ;
wire \tile_x5y4_s2begb[6] ;
wire \tile_x5y4_s2begb[7] ;
wire \tile_x5y4_s4beg[0] ;
wire \tile_x5y4_s4beg[10] ;
wire \tile_x5y4_s4beg[11] ;
wire \tile_x5y4_s4beg[12] ;
wire \tile_x5y4_s4beg[13] ;
wire \tile_x5y4_s4beg[14] ;
wire \tile_x5y4_s4beg[15] ;
wire \tile_x5y4_s4beg[1] ;
wire \tile_x5y4_s4beg[2] ;
wire \tile_x5y4_s4beg[3] ;
wire \tile_x5y4_s4beg[4] ;
wire \tile_x5y4_s4beg[5] ;
wire \tile_x5y4_s4beg[6] ;
wire \tile_x5y4_s4beg[7] ;
wire \tile_x5y4_s4beg[8] ;
wire \tile_x5y4_s4beg[9] ;
wire \tile_x5y4_ss4beg[0] ;
wire \tile_x5y4_ss4beg[10] ;
wire \tile_x5y4_ss4beg[11] ;
wire \tile_x5y4_ss4beg[12] ;
wire \tile_x5y4_ss4beg[13] ;
wire \tile_x5y4_ss4beg[14] ;
wire \tile_x5y4_ss4beg[15] ;
wire \tile_x5y4_ss4beg[1] ;
wire \tile_x5y4_ss4beg[2] ;
wire \tile_x5y4_ss4beg[3] ;
wire \tile_x5y4_ss4beg[4] ;
wire \tile_x5y4_ss4beg[5] ;
wire \tile_x5y4_ss4beg[6] ;
wire \tile_x5y4_ss4beg[7] ;
wire \tile_x5y4_ss4beg[8] ;
wire \tile_x5y4_ss4beg[9] ;
wire tile_x5y4_userclko;
wire \tile_x5y4_w1beg[0] ;
wire \tile_x5y4_w1beg[1] ;
wire \tile_x5y4_w1beg[2] ;
wire \tile_x5y4_w1beg[3] ;
wire \tile_x5y4_w2beg[0] ;
wire \tile_x5y4_w2beg[1] ;
wire \tile_x5y4_w2beg[2] ;
wire \tile_x5y4_w2beg[3] ;
wire \tile_x5y4_w2beg[4] ;
wire \tile_x5y4_w2beg[5] ;
wire \tile_x5y4_w2beg[6] ;
wire \tile_x5y4_w2beg[7] ;
wire \tile_x5y4_w2begb[0] ;
wire \tile_x5y4_w2begb[1] ;
wire \tile_x5y4_w2begb[2] ;
wire \tile_x5y4_w2begb[3] ;
wire \tile_x5y4_w2begb[4] ;
wire \tile_x5y4_w2begb[5] ;
wire \tile_x5y4_w2begb[6] ;
wire \tile_x5y4_w2begb[7] ;
wire \tile_x5y4_w6beg[0] ;
wire \tile_x5y4_w6beg[10] ;
wire \tile_x5y4_w6beg[11] ;
wire \tile_x5y4_w6beg[1] ;
wire \tile_x5y4_w6beg[2] ;
wire \tile_x5y4_w6beg[3] ;
wire \tile_x5y4_w6beg[4] ;
wire \tile_x5y4_w6beg[5] ;
wire \tile_x5y4_w6beg[6] ;
wire \tile_x5y4_w6beg[7] ;
wire \tile_x5y4_w6beg[8] ;
wire \tile_x5y4_w6beg[9] ;
wire \tile_x5y4_ww4beg[0] ;
wire \tile_x5y4_ww4beg[10] ;
wire \tile_x5y4_ww4beg[11] ;
wire \tile_x5y4_ww4beg[12] ;
wire \tile_x5y4_ww4beg[13] ;
wire \tile_x5y4_ww4beg[14] ;
wire \tile_x5y4_ww4beg[15] ;
wire \tile_x5y4_ww4beg[1] ;
wire \tile_x5y4_ww4beg[2] ;
wire \tile_x5y4_ww4beg[3] ;
wire \tile_x5y4_ww4beg[4] ;
wire \tile_x5y4_ww4beg[5] ;
wire \tile_x5y4_ww4beg[6] ;
wire \tile_x5y4_ww4beg[7] ;
wire \tile_x5y4_ww4beg[8] ;
wire \tile_x5y4_ww4beg[9] ;
wire tile_x5y5_co;
wire \tile_x5y5_e1beg[0] ;
wire \tile_x5y5_e1beg[1] ;
wire \tile_x5y5_e1beg[2] ;
wire \tile_x5y5_e1beg[3] ;
wire \tile_x5y5_e2beg[0] ;
wire \tile_x5y5_e2beg[1] ;
wire \tile_x5y5_e2beg[2] ;
wire \tile_x5y5_e2beg[3] ;
wire \tile_x5y5_e2beg[4] ;
wire \tile_x5y5_e2beg[5] ;
wire \tile_x5y5_e2beg[6] ;
wire \tile_x5y5_e2beg[7] ;
wire \tile_x5y5_e2begb[0] ;
wire \tile_x5y5_e2begb[1] ;
wire \tile_x5y5_e2begb[2] ;
wire \tile_x5y5_e2begb[3] ;
wire \tile_x5y5_e2begb[4] ;
wire \tile_x5y5_e2begb[5] ;
wire \tile_x5y5_e2begb[6] ;
wire \tile_x5y5_e2begb[7] ;
wire \tile_x5y5_e6beg[0] ;
wire \tile_x5y5_e6beg[10] ;
wire \tile_x5y5_e6beg[11] ;
wire \tile_x5y5_e6beg[1] ;
wire \tile_x5y5_e6beg[2] ;
wire \tile_x5y5_e6beg[3] ;
wire \tile_x5y5_e6beg[4] ;
wire \tile_x5y5_e6beg[5] ;
wire \tile_x5y5_e6beg[6] ;
wire \tile_x5y5_e6beg[7] ;
wire \tile_x5y5_e6beg[8] ;
wire \tile_x5y5_e6beg[9] ;
wire \tile_x5y5_ee4beg[0] ;
wire \tile_x5y5_ee4beg[10] ;
wire \tile_x5y5_ee4beg[11] ;
wire \tile_x5y5_ee4beg[12] ;
wire \tile_x5y5_ee4beg[13] ;
wire \tile_x5y5_ee4beg[14] ;
wire \tile_x5y5_ee4beg[15] ;
wire \tile_x5y5_ee4beg[1] ;
wire \tile_x5y5_ee4beg[2] ;
wire \tile_x5y5_ee4beg[3] ;
wire \tile_x5y5_ee4beg[4] ;
wire \tile_x5y5_ee4beg[5] ;
wire \tile_x5y5_ee4beg[6] ;
wire \tile_x5y5_ee4beg[7] ;
wire \tile_x5y5_ee4beg[8] ;
wire \tile_x5y5_ee4beg[9] ;
wire \tile_x5y5_framedata_o[0] ;
wire \tile_x5y5_framedata_o[10] ;
wire \tile_x5y5_framedata_o[11] ;
wire \tile_x5y5_framedata_o[12] ;
wire \tile_x5y5_framedata_o[13] ;
wire \tile_x5y5_framedata_o[14] ;
wire \tile_x5y5_framedata_o[15] ;
wire \tile_x5y5_framedata_o[16] ;
wire \tile_x5y5_framedata_o[17] ;
wire \tile_x5y5_framedata_o[18] ;
wire \tile_x5y5_framedata_o[19] ;
wire \tile_x5y5_framedata_o[1] ;
wire \tile_x5y5_framedata_o[20] ;
wire \tile_x5y5_framedata_o[21] ;
wire \tile_x5y5_framedata_o[22] ;
wire \tile_x5y5_framedata_o[23] ;
wire \tile_x5y5_framedata_o[24] ;
wire \tile_x5y5_framedata_o[25] ;
wire \tile_x5y5_framedata_o[26] ;
wire \tile_x5y5_framedata_o[27] ;
wire \tile_x5y5_framedata_o[28] ;
wire \tile_x5y5_framedata_o[29] ;
wire \tile_x5y5_framedata_o[2] ;
wire \tile_x5y5_framedata_o[30] ;
wire \tile_x5y5_framedata_o[31] ;
wire \tile_x5y5_framedata_o[3] ;
wire \tile_x5y5_framedata_o[4] ;
wire \tile_x5y5_framedata_o[5] ;
wire \tile_x5y5_framedata_o[6] ;
wire \tile_x5y5_framedata_o[7] ;
wire \tile_x5y5_framedata_o[8] ;
wire \tile_x5y5_framedata_o[9] ;
wire \tile_x5y5_framestrobe_o[0] ;
wire \tile_x5y5_framestrobe_o[10] ;
wire \tile_x5y5_framestrobe_o[11] ;
wire \tile_x5y5_framestrobe_o[12] ;
wire \tile_x5y5_framestrobe_o[13] ;
wire \tile_x5y5_framestrobe_o[14] ;
wire \tile_x5y5_framestrobe_o[15] ;
wire \tile_x5y5_framestrobe_o[16] ;
wire \tile_x5y5_framestrobe_o[17] ;
wire \tile_x5y5_framestrobe_o[18] ;
wire \tile_x5y5_framestrobe_o[19] ;
wire \tile_x5y5_framestrobe_o[1] ;
wire \tile_x5y5_framestrobe_o[2] ;
wire \tile_x5y5_framestrobe_o[3] ;
wire \tile_x5y5_framestrobe_o[4] ;
wire \tile_x5y5_framestrobe_o[5] ;
wire \tile_x5y5_framestrobe_o[6] ;
wire \tile_x5y5_framestrobe_o[7] ;
wire \tile_x5y5_framestrobe_o[8] ;
wire \tile_x5y5_framestrobe_o[9] ;
wire \tile_x5y5_n1beg[0] ;
wire \tile_x5y5_n1beg[1] ;
wire \tile_x5y5_n1beg[2] ;
wire \tile_x5y5_n1beg[3] ;
wire \tile_x5y5_n2beg[0] ;
wire \tile_x5y5_n2beg[1] ;
wire \tile_x5y5_n2beg[2] ;
wire \tile_x5y5_n2beg[3] ;
wire \tile_x5y5_n2beg[4] ;
wire \tile_x5y5_n2beg[5] ;
wire \tile_x5y5_n2beg[6] ;
wire \tile_x5y5_n2beg[7] ;
wire \tile_x5y5_n2begb[0] ;
wire \tile_x5y5_n2begb[1] ;
wire \tile_x5y5_n2begb[2] ;
wire \tile_x5y5_n2begb[3] ;
wire \tile_x5y5_n2begb[4] ;
wire \tile_x5y5_n2begb[5] ;
wire \tile_x5y5_n2begb[6] ;
wire \tile_x5y5_n2begb[7] ;
wire \tile_x5y5_n4beg[0] ;
wire \tile_x5y5_n4beg[10] ;
wire \tile_x5y5_n4beg[11] ;
wire \tile_x5y5_n4beg[12] ;
wire \tile_x5y5_n4beg[13] ;
wire \tile_x5y5_n4beg[14] ;
wire \tile_x5y5_n4beg[15] ;
wire \tile_x5y5_n4beg[1] ;
wire \tile_x5y5_n4beg[2] ;
wire \tile_x5y5_n4beg[3] ;
wire \tile_x5y5_n4beg[4] ;
wire \tile_x5y5_n4beg[5] ;
wire \tile_x5y5_n4beg[6] ;
wire \tile_x5y5_n4beg[7] ;
wire \tile_x5y5_n4beg[8] ;
wire \tile_x5y5_n4beg[9] ;
wire \tile_x5y5_nn4beg[0] ;
wire \tile_x5y5_nn4beg[10] ;
wire \tile_x5y5_nn4beg[11] ;
wire \tile_x5y5_nn4beg[12] ;
wire \tile_x5y5_nn4beg[13] ;
wire \tile_x5y5_nn4beg[14] ;
wire \tile_x5y5_nn4beg[15] ;
wire \tile_x5y5_nn4beg[1] ;
wire \tile_x5y5_nn4beg[2] ;
wire \tile_x5y5_nn4beg[3] ;
wire \tile_x5y5_nn4beg[4] ;
wire \tile_x5y5_nn4beg[5] ;
wire \tile_x5y5_nn4beg[6] ;
wire \tile_x5y5_nn4beg[7] ;
wire \tile_x5y5_nn4beg[8] ;
wire \tile_x5y5_nn4beg[9] ;
wire \tile_x5y5_s1beg[0] ;
wire \tile_x5y5_s1beg[1] ;
wire \tile_x5y5_s1beg[2] ;
wire \tile_x5y5_s1beg[3] ;
wire \tile_x5y5_s2beg[0] ;
wire \tile_x5y5_s2beg[1] ;
wire \tile_x5y5_s2beg[2] ;
wire \tile_x5y5_s2beg[3] ;
wire \tile_x5y5_s2beg[4] ;
wire \tile_x5y5_s2beg[5] ;
wire \tile_x5y5_s2beg[6] ;
wire \tile_x5y5_s2beg[7] ;
wire \tile_x5y5_s2begb[0] ;
wire \tile_x5y5_s2begb[1] ;
wire \tile_x5y5_s2begb[2] ;
wire \tile_x5y5_s2begb[3] ;
wire \tile_x5y5_s2begb[4] ;
wire \tile_x5y5_s2begb[5] ;
wire \tile_x5y5_s2begb[6] ;
wire \tile_x5y5_s2begb[7] ;
wire \tile_x5y5_s4beg[0] ;
wire \tile_x5y5_s4beg[10] ;
wire \tile_x5y5_s4beg[11] ;
wire \tile_x5y5_s4beg[12] ;
wire \tile_x5y5_s4beg[13] ;
wire \tile_x5y5_s4beg[14] ;
wire \tile_x5y5_s4beg[15] ;
wire \tile_x5y5_s4beg[1] ;
wire \tile_x5y5_s4beg[2] ;
wire \tile_x5y5_s4beg[3] ;
wire \tile_x5y5_s4beg[4] ;
wire \tile_x5y5_s4beg[5] ;
wire \tile_x5y5_s4beg[6] ;
wire \tile_x5y5_s4beg[7] ;
wire \tile_x5y5_s4beg[8] ;
wire \tile_x5y5_s4beg[9] ;
wire \tile_x5y5_ss4beg[0] ;
wire \tile_x5y5_ss4beg[10] ;
wire \tile_x5y5_ss4beg[11] ;
wire \tile_x5y5_ss4beg[12] ;
wire \tile_x5y5_ss4beg[13] ;
wire \tile_x5y5_ss4beg[14] ;
wire \tile_x5y5_ss4beg[15] ;
wire \tile_x5y5_ss4beg[1] ;
wire \tile_x5y5_ss4beg[2] ;
wire \tile_x5y5_ss4beg[3] ;
wire \tile_x5y5_ss4beg[4] ;
wire \tile_x5y5_ss4beg[5] ;
wire \tile_x5y5_ss4beg[6] ;
wire \tile_x5y5_ss4beg[7] ;
wire \tile_x5y5_ss4beg[8] ;
wire \tile_x5y5_ss4beg[9] ;
wire tile_x5y5_userclko;
wire \tile_x5y5_w1beg[0] ;
wire \tile_x5y5_w1beg[1] ;
wire \tile_x5y5_w1beg[2] ;
wire \tile_x5y5_w1beg[3] ;
wire \tile_x5y5_w2beg[0] ;
wire \tile_x5y5_w2beg[1] ;
wire \tile_x5y5_w2beg[2] ;
wire \tile_x5y5_w2beg[3] ;
wire \tile_x5y5_w2beg[4] ;
wire \tile_x5y5_w2beg[5] ;
wire \tile_x5y5_w2beg[6] ;
wire \tile_x5y5_w2beg[7] ;
wire \tile_x5y5_w2begb[0] ;
wire \tile_x5y5_w2begb[1] ;
wire \tile_x5y5_w2begb[2] ;
wire \tile_x5y5_w2begb[3] ;
wire \tile_x5y5_w2begb[4] ;
wire \tile_x5y5_w2begb[5] ;
wire \tile_x5y5_w2begb[6] ;
wire \tile_x5y5_w2begb[7] ;
wire \tile_x5y5_w6beg[0] ;
wire \tile_x5y5_w6beg[10] ;
wire \tile_x5y5_w6beg[11] ;
wire \tile_x5y5_w6beg[1] ;
wire \tile_x5y5_w6beg[2] ;
wire \tile_x5y5_w6beg[3] ;
wire \tile_x5y5_w6beg[4] ;
wire \tile_x5y5_w6beg[5] ;
wire \tile_x5y5_w6beg[6] ;
wire \tile_x5y5_w6beg[7] ;
wire \tile_x5y5_w6beg[8] ;
wire \tile_x5y5_w6beg[9] ;
wire \tile_x5y5_ww4beg[0] ;
wire \tile_x5y5_ww4beg[10] ;
wire \tile_x5y5_ww4beg[11] ;
wire \tile_x5y5_ww4beg[12] ;
wire \tile_x5y5_ww4beg[13] ;
wire \tile_x5y5_ww4beg[14] ;
wire \tile_x5y5_ww4beg[15] ;
wire \tile_x5y5_ww4beg[1] ;
wire \tile_x5y5_ww4beg[2] ;
wire \tile_x5y5_ww4beg[3] ;
wire \tile_x5y5_ww4beg[4] ;
wire \tile_x5y5_ww4beg[5] ;
wire \tile_x5y5_ww4beg[6] ;
wire \tile_x5y5_ww4beg[7] ;
wire \tile_x5y5_ww4beg[8] ;
wire \tile_x5y5_ww4beg[9] ;
wire tile_x5y6_co;
wire \tile_x5y6_e1beg[0] ;
wire \tile_x5y6_e1beg[1] ;
wire \tile_x5y6_e1beg[2] ;
wire \tile_x5y6_e1beg[3] ;
wire \tile_x5y6_e2beg[0] ;
wire \tile_x5y6_e2beg[1] ;
wire \tile_x5y6_e2beg[2] ;
wire \tile_x5y6_e2beg[3] ;
wire \tile_x5y6_e2beg[4] ;
wire \tile_x5y6_e2beg[5] ;
wire \tile_x5y6_e2beg[6] ;
wire \tile_x5y6_e2beg[7] ;
wire \tile_x5y6_e2begb[0] ;
wire \tile_x5y6_e2begb[1] ;
wire \tile_x5y6_e2begb[2] ;
wire \tile_x5y6_e2begb[3] ;
wire \tile_x5y6_e2begb[4] ;
wire \tile_x5y6_e2begb[5] ;
wire \tile_x5y6_e2begb[6] ;
wire \tile_x5y6_e2begb[7] ;
wire \tile_x5y6_e6beg[0] ;
wire \tile_x5y6_e6beg[10] ;
wire \tile_x5y6_e6beg[11] ;
wire \tile_x5y6_e6beg[1] ;
wire \tile_x5y6_e6beg[2] ;
wire \tile_x5y6_e6beg[3] ;
wire \tile_x5y6_e6beg[4] ;
wire \tile_x5y6_e6beg[5] ;
wire \tile_x5y6_e6beg[6] ;
wire \tile_x5y6_e6beg[7] ;
wire \tile_x5y6_e6beg[8] ;
wire \tile_x5y6_e6beg[9] ;
wire \tile_x5y6_ee4beg[0] ;
wire \tile_x5y6_ee4beg[10] ;
wire \tile_x5y6_ee4beg[11] ;
wire \tile_x5y6_ee4beg[12] ;
wire \tile_x5y6_ee4beg[13] ;
wire \tile_x5y6_ee4beg[14] ;
wire \tile_x5y6_ee4beg[15] ;
wire \tile_x5y6_ee4beg[1] ;
wire \tile_x5y6_ee4beg[2] ;
wire \tile_x5y6_ee4beg[3] ;
wire \tile_x5y6_ee4beg[4] ;
wire \tile_x5y6_ee4beg[5] ;
wire \tile_x5y6_ee4beg[6] ;
wire \tile_x5y6_ee4beg[7] ;
wire \tile_x5y6_ee4beg[8] ;
wire \tile_x5y6_ee4beg[9] ;
wire \tile_x5y6_framedata_o[0] ;
wire \tile_x5y6_framedata_o[10] ;
wire \tile_x5y6_framedata_o[11] ;
wire \tile_x5y6_framedata_o[12] ;
wire \tile_x5y6_framedata_o[13] ;
wire \tile_x5y6_framedata_o[14] ;
wire \tile_x5y6_framedata_o[15] ;
wire \tile_x5y6_framedata_o[16] ;
wire \tile_x5y6_framedata_o[17] ;
wire \tile_x5y6_framedata_o[18] ;
wire \tile_x5y6_framedata_o[19] ;
wire \tile_x5y6_framedata_o[1] ;
wire \tile_x5y6_framedata_o[20] ;
wire \tile_x5y6_framedata_o[21] ;
wire \tile_x5y6_framedata_o[22] ;
wire \tile_x5y6_framedata_o[23] ;
wire \tile_x5y6_framedata_o[24] ;
wire \tile_x5y6_framedata_o[25] ;
wire \tile_x5y6_framedata_o[26] ;
wire \tile_x5y6_framedata_o[27] ;
wire \tile_x5y6_framedata_o[28] ;
wire \tile_x5y6_framedata_o[29] ;
wire \tile_x5y6_framedata_o[2] ;
wire \tile_x5y6_framedata_o[30] ;
wire \tile_x5y6_framedata_o[31] ;
wire \tile_x5y6_framedata_o[3] ;
wire \tile_x5y6_framedata_o[4] ;
wire \tile_x5y6_framedata_o[5] ;
wire \tile_x5y6_framedata_o[6] ;
wire \tile_x5y6_framedata_o[7] ;
wire \tile_x5y6_framedata_o[8] ;
wire \tile_x5y6_framedata_o[9] ;
wire \tile_x5y6_framestrobe_o[0] ;
wire \tile_x5y6_framestrobe_o[10] ;
wire \tile_x5y6_framestrobe_o[11] ;
wire \tile_x5y6_framestrobe_o[12] ;
wire \tile_x5y6_framestrobe_o[13] ;
wire \tile_x5y6_framestrobe_o[14] ;
wire \tile_x5y6_framestrobe_o[15] ;
wire \tile_x5y6_framestrobe_o[16] ;
wire \tile_x5y6_framestrobe_o[17] ;
wire \tile_x5y6_framestrobe_o[18] ;
wire \tile_x5y6_framestrobe_o[19] ;
wire \tile_x5y6_framestrobe_o[1] ;
wire \tile_x5y6_framestrobe_o[2] ;
wire \tile_x5y6_framestrobe_o[3] ;
wire \tile_x5y6_framestrobe_o[4] ;
wire \tile_x5y6_framestrobe_o[5] ;
wire \tile_x5y6_framestrobe_o[6] ;
wire \tile_x5y6_framestrobe_o[7] ;
wire \tile_x5y6_framestrobe_o[8] ;
wire \tile_x5y6_framestrobe_o[9] ;
wire \tile_x5y6_n1beg[0] ;
wire \tile_x5y6_n1beg[1] ;
wire \tile_x5y6_n1beg[2] ;
wire \tile_x5y6_n1beg[3] ;
wire \tile_x5y6_n2beg[0] ;
wire \tile_x5y6_n2beg[1] ;
wire \tile_x5y6_n2beg[2] ;
wire \tile_x5y6_n2beg[3] ;
wire \tile_x5y6_n2beg[4] ;
wire \tile_x5y6_n2beg[5] ;
wire \tile_x5y6_n2beg[6] ;
wire \tile_x5y6_n2beg[7] ;
wire \tile_x5y6_n2begb[0] ;
wire \tile_x5y6_n2begb[1] ;
wire \tile_x5y6_n2begb[2] ;
wire \tile_x5y6_n2begb[3] ;
wire \tile_x5y6_n2begb[4] ;
wire \tile_x5y6_n2begb[5] ;
wire \tile_x5y6_n2begb[6] ;
wire \tile_x5y6_n2begb[7] ;
wire \tile_x5y6_n4beg[0] ;
wire \tile_x5y6_n4beg[10] ;
wire \tile_x5y6_n4beg[11] ;
wire \tile_x5y6_n4beg[12] ;
wire \tile_x5y6_n4beg[13] ;
wire \tile_x5y6_n4beg[14] ;
wire \tile_x5y6_n4beg[15] ;
wire \tile_x5y6_n4beg[1] ;
wire \tile_x5y6_n4beg[2] ;
wire \tile_x5y6_n4beg[3] ;
wire \tile_x5y6_n4beg[4] ;
wire \tile_x5y6_n4beg[5] ;
wire \tile_x5y6_n4beg[6] ;
wire \tile_x5y6_n4beg[7] ;
wire \tile_x5y6_n4beg[8] ;
wire \tile_x5y6_n4beg[9] ;
wire \tile_x5y6_nn4beg[0] ;
wire \tile_x5y6_nn4beg[10] ;
wire \tile_x5y6_nn4beg[11] ;
wire \tile_x5y6_nn4beg[12] ;
wire \tile_x5y6_nn4beg[13] ;
wire \tile_x5y6_nn4beg[14] ;
wire \tile_x5y6_nn4beg[15] ;
wire \tile_x5y6_nn4beg[1] ;
wire \tile_x5y6_nn4beg[2] ;
wire \tile_x5y6_nn4beg[3] ;
wire \tile_x5y6_nn4beg[4] ;
wire \tile_x5y6_nn4beg[5] ;
wire \tile_x5y6_nn4beg[6] ;
wire \tile_x5y6_nn4beg[7] ;
wire \tile_x5y6_nn4beg[8] ;
wire \tile_x5y6_nn4beg[9] ;
wire \tile_x5y6_s1beg[0] ;
wire \tile_x5y6_s1beg[1] ;
wire \tile_x5y6_s1beg[2] ;
wire \tile_x5y6_s1beg[3] ;
wire \tile_x5y6_s2beg[0] ;
wire \tile_x5y6_s2beg[1] ;
wire \tile_x5y6_s2beg[2] ;
wire \tile_x5y6_s2beg[3] ;
wire \tile_x5y6_s2beg[4] ;
wire \tile_x5y6_s2beg[5] ;
wire \tile_x5y6_s2beg[6] ;
wire \tile_x5y6_s2beg[7] ;
wire \tile_x5y6_s2begb[0] ;
wire \tile_x5y6_s2begb[1] ;
wire \tile_x5y6_s2begb[2] ;
wire \tile_x5y6_s2begb[3] ;
wire \tile_x5y6_s2begb[4] ;
wire \tile_x5y6_s2begb[5] ;
wire \tile_x5y6_s2begb[6] ;
wire \tile_x5y6_s2begb[7] ;
wire \tile_x5y6_s4beg[0] ;
wire \tile_x5y6_s4beg[10] ;
wire \tile_x5y6_s4beg[11] ;
wire \tile_x5y6_s4beg[12] ;
wire \tile_x5y6_s4beg[13] ;
wire \tile_x5y6_s4beg[14] ;
wire \tile_x5y6_s4beg[15] ;
wire \tile_x5y6_s4beg[1] ;
wire \tile_x5y6_s4beg[2] ;
wire \tile_x5y6_s4beg[3] ;
wire \tile_x5y6_s4beg[4] ;
wire \tile_x5y6_s4beg[5] ;
wire \tile_x5y6_s4beg[6] ;
wire \tile_x5y6_s4beg[7] ;
wire \tile_x5y6_s4beg[8] ;
wire \tile_x5y6_s4beg[9] ;
wire \tile_x5y6_ss4beg[0] ;
wire \tile_x5y6_ss4beg[10] ;
wire \tile_x5y6_ss4beg[11] ;
wire \tile_x5y6_ss4beg[12] ;
wire \tile_x5y6_ss4beg[13] ;
wire \tile_x5y6_ss4beg[14] ;
wire \tile_x5y6_ss4beg[15] ;
wire \tile_x5y6_ss4beg[1] ;
wire \tile_x5y6_ss4beg[2] ;
wire \tile_x5y6_ss4beg[3] ;
wire \tile_x5y6_ss4beg[4] ;
wire \tile_x5y6_ss4beg[5] ;
wire \tile_x5y6_ss4beg[6] ;
wire \tile_x5y6_ss4beg[7] ;
wire \tile_x5y6_ss4beg[8] ;
wire \tile_x5y6_ss4beg[9] ;
wire tile_x5y6_userclko;
wire \tile_x5y6_w1beg[0] ;
wire \tile_x5y6_w1beg[1] ;
wire \tile_x5y6_w1beg[2] ;
wire \tile_x5y6_w1beg[3] ;
wire \tile_x5y6_w2beg[0] ;
wire \tile_x5y6_w2beg[1] ;
wire \tile_x5y6_w2beg[2] ;
wire \tile_x5y6_w2beg[3] ;
wire \tile_x5y6_w2beg[4] ;
wire \tile_x5y6_w2beg[5] ;
wire \tile_x5y6_w2beg[6] ;
wire \tile_x5y6_w2beg[7] ;
wire \tile_x5y6_w2begb[0] ;
wire \tile_x5y6_w2begb[1] ;
wire \tile_x5y6_w2begb[2] ;
wire \tile_x5y6_w2begb[3] ;
wire \tile_x5y6_w2begb[4] ;
wire \tile_x5y6_w2begb[5] ;
wire \tile_x5y6_w2begb[6] ;
wire \tile_x5y6_w2begb[7] ;
wire \tile_x5y6_w6beg[0] ;
wire \tile_x5y6_w6beg[10] ;
wire \tile_x5y6_w6beg[11] ;
wire \tile_x5y6_w6beg[1] ;
wire \tile_x5y6_w6beg[2] ;
wire \tile_x5y6_w6beg[3] ;
wire \tile_x5y6_w6beg[4] ;
wire \tile_x5y6_w6beg[5] ;
wire \tile_x5y6_w6beg[6] ;
wire \tile_x5y6_w6beg[7] ;
wire \tile_x5y6_w6beg[8] ;
wire \tile_x5y6_w6beg[9] ;
wire \tile_x5y6_ww4beg[0] ;
wire \tile_x5y6_ww4beg[10] ;
wire \tile_x5y6_ww4beg[11] ;
wire \tile_x5y6_ww4beg[12] ;
wire \tile_x5y6_ww4beg[13] ;
wire \tile_x5y6_ww4beg[14] ;
wire \tile_x5y6_ww4beg[15] ;
wire \tile_x5y6_ww4beg[1] ;
wire \tile_x5y6_ww4beg[2] ;
wire \tile_x5y6_ww4beg[3] ;
wire \tile_x5y6_ww4beg[4] ;
wire \tile_x5y6_ww4beg[5] ;
wire \tile_x5y6_ww4beg[6] ;
wire \tile_x5y6_ww4beg[7] ;
wire \tile_x5y6_ww4beg[8] ;
wire \tile_x5y6_ww4beg[9] ;
wire tile_x5y7_co;
wire \tile_x5y7_e1beg[0] ;
wire \tile_x5y7_e1beg[1] ;
wire \tile_x5y7_e1beg[2] ;
wire \tile_x5y7_e1beg[3] ;
wire \tile_x5y7_e2beg[0] ;
wire \tile_x5y7_e2beg[1] ;
wire \tile_x5y7_e2beg[2] ;
wire \tile_x5y7_e2beg[3] ;
wire \tile_x5y7_e2beg[4] ;
wire \tile_x5y7_e2beg[5] ;
wire \tile_x5y7_e2beg[6] ;
wire \tile_x5y7_e2beg[7] ;
wire \tile_x5y7_e2begb[0] ;
wire \tile_x5y7_e2begb[1] ;
wire \tile_x5y7_e2begb[2] ;
wire \tile_x5y7_e2begb[3] ;
wire \tile_x5y7_e2begb[4] ;
wire \tile_x5y7_e2begb[5] ;
wire \tile_x5y7_e2begb[6] ;
wire \tile_x5y7_e2begb[7] ;
wire \tile_x5y7_e6beg[0] ;
wire \tile_x5y7_e6beg[10] ;
wire \tile_x5y7_e6beg[11] ;
wire \tile_x5y7_e6beg[1] ;
wire \tile_x5y7_e6beg[2] ;
wire \tile_x5y7_e6beg[3] ;
wire \tile_x5y7_e6beg[4] ;
wire \tile_x5y7_e6beg[5] ;
wire \tile_x5y7_e6beg[6] ;
wire \tile_x5y7_e6beg[7] ;
wire \tile_x5y7_e6beg[8] ;
wire \tile_x5y7_e6beg[9] ;
wire \tile_x5y7_ee4beg[0] ;
wire \tile_x5y7_ee4beg[10] ;
wire \tile_x5y7_ee4beg[11] ;
wire \tile_x5y7_ee4beg[12] ;
wire \tile_x5y7_ee4beg[13] ;
wire \tile_x5y7_ee4beg[14] ;
wire \tile_x5y7_ee4beg[15] ;
wire \tile_x5y7_ee4beg[1] ;
wire \tile_x5y7_ee4beg[2] ;
wire \tile_x5y7_ee4beg[3] ;
wire \tile_x5y7_ee4beg[4] ;
wire \tile_x5y7_ee4beg[5] ;
wire \tile_x5y7_ee4beg[6] ;
wire \tile_x5y7_ee4beg[7] ;
wire \tile_x5y7_ee4beg[8] ;
wire \tile_x5y7_ee4beg[9] ;
wire \tile_x5y7_framedata_o[0] ;
wire \tile_x5y7_framedata_o[10] ;
wire \tile_x5y7_framedata_o[11] ;
wire \tile_x5y7_framedata_o[12] ;
wire \tile_x5y7_framedata_o[13] ;
wire \tile_x5y7_framedata_o[14] ;
wire \tile_x5y7_framedata_o[15] ;
wire \tile_x5y7_framedata_o[16] ;
wire \tile_x5y7_framedata_o[17] ;
wire \tile_x5y7_framedata_o[18] ;
wire \tile_x5y7_framedata_o[19] ;
wire \tile_x5y7_framedata_o[1] ;
wire \tile_x5y7_framedata_o[20] ;
wire \tile_x5y7_framedata_o[21] ;
wire \tile_x5y7_framedata_o[22] ;
wire \tile_x5y7_framedata_o[23] ;
wire \tile_x5y7_framedata_o[24] ;
wire \tile_x5y7_framedata_o[25] ;
wire \tile_x5y7_framedata_o[26] ;
wire \tile_x5y7_framedata_o[27] ;
wire \tile_x5y7_framedata_o[28] ;
wire \tile_x5y7_framedata_o[29] ;
wire \tile_x5y7_framedata_o[2] ;
wire \tile_x5y7_framedata_o[30] ;
wire \tile_x5y7_framedata_o[31] ;
wire \tile_x5y7_framedata_o[3] ;
wire \tile_x5y7_framedata_o[4] ;
wire \tile_x5y7_framedata_o[5] ;
wire \tile_x5y7_framedata_o[6] ;
wire \tile_x5y7_framedata_o[7] ;
wire \tile_x5y7_framedata_o[8] ;
wire \tile_x5y7_framedata_o[9] ;
wire \tile_x5y7_framestrobe_o[0] ;
wire \tile_x5y7_framestrobe_o[10] ;
wire \tile_x5y7_framestrobe_o[11] ;
wire \tile_x5y7_framestrobe_o[12] ;
wire \tile_x5y7_framestrobe_o[13] ;
wire \tile_x5y7_framestrobe_o[14] ;
wire \tile_x5y7_framestrobe_o[15] ;
wire \tile_x5y7_framestrobe_o[16] ;
wire \tile_x5y7_framestrobe_o[17] ;
wire \tile_x5y7_framestrobe_o[18] ;
wire \tile_x5y7_framestrobe_o[19] ;
wire \tile_x5y7_framestrobe_o[1] ;
wire \tile_x5y7_framestrobe_o[2] ;
wire \tile_x5y7_framestrobe_o[3] ;
wire \tile_x5y7_framestrobe_o[4] ;
wire \tile_x5y7_framestrobe_o[5] ;
wire \tile_x5y7_framestrobe_o[6] ;
wire \tile_x5y7_framestrobe_o[7] ;
wire \tile_x5y7_framestrobe_o[8] ;
wire \tile_x5y7_framestrobe_o[9] ;
wire \tile_x5y7_n1beg[0] ;
wire \tile_x5y7_n1beg[1] ;
wire \tile_x5y7_n1beg[2] ;
wire \tile_x5y7_n1beg[3] ;
wire \tile_x5y7_n2beg[0] ;
wire \tile_x5y7_n2beg[1] ;
wire \tile_x5y7_n2beg[2] ;
wire \tile_x5y7_n2beg[3] ;
wire \tile_x5y7_n2beg[4] ;
wire \tile_x5y7_n2beg[5] ;
wire \tile_x5y7_n2beg[6] ;
wire \tile_x5y7_n2beg[7] ;
wire \tile_x5y7_n2begb[0] ;
wire \tile_x5y7_n2begb[1] ;
wire \tile_x5y7_n2begb[2] ;
wire \tile_x5y7_n2begb[3] ;
wire \tile_x5y7_n2begb[4] ;
wire \tile_x5y7_n2begb[5] ;
wire \tile_x5y7_n2begb[6] ;
wire \tile_x5y7_n2begb[7] ;
wire \tile_x5y7_n4beg[0] ;
wire \tile_x5y7_n4beg[10] ;
wire \tile_x5y7_n4beg[11] ;
wire \tile_x5y7_n4beg[12] ;
wire \tile_x5y7_n4beg[13] ;
wire \tile_x5y7_n4beg[14] ;
wire \tile_x5y7_n4beg[15] ;
wire \tile_x5y7_n4beg[1] ;
wire \tile_x5y7_n4beg[2] ;
wire \tile_x5y7_n4beg[3] ;
wire \tile_x5y7_n4beg[4] ;
wire \tile_x5y7_n4beg[5] ;
wire \tile_x5y7_n4beg[6] ;
wire \tile_x5y7_n4beg[7] ;
wire \tile_x5y7_n4beg[8] ;
wire \tile_x5y7_n4beg[9] ;
wire \tile_x5y7_nn4beg[0] ;
wire \tile_x5y7_nn4beg[10] ;
wire \tile_x5y7_nn4beg[11] ;
wire \tile_x5y7_nn4beg[12] ;
wire \tile_x5y7_nn4beg[13] ;
wire \tile_x5y7_nn4beg[14] ;
wire \tile_x5y7_nn4beg[15] ;
wire \tile_x5y7_nn4beg[1] ;
wire \tile_x5y7_nn4beg[2] ;
wire \tile_x5y7_nn4beg[3] ;
wire \tile_x5y7_nn4beg[4] ;
wire \tile_x5y7_nn4beg[5] ;
wire \tile_x5y7_nn4beg[6] ;
wire \tile_x5y7_nn4beg[7] ;
wire \tile_x5y7_nn4beg[8] ;
wire \tile_x5y7_nn4beg[9] ;
wire \tile_x5y7_s1beg[0] ;
wire \tile_x5y7_s1beg[1] ;
wire \tile_x5y7_s1beg[2] ;
wire \tile_x5y7_s1beg[3] ;
wire \tile_x5y7_s2beg[0] ;
wire \tile_x5y7_s2beg[1] ;
wire \tile_x5y7_s2beg[2] ;
wire \tile_x5y7_s2beg[3] ;
wire \tile_x5y7_s2beg[4] ;
wire \tile_x5y7_s2beg[5] ;
wire \tile_x5y7_s2beg[6] ;
wire \tile_x5y7_s2beg[7] ;
wire \tile_x5y7_s2begb[0] ;
wire \tile_x5y7_s2begb[1] ;
wire \tile_x5y7_s2begb[2] ;
wire \tile_x5y7_s2begb[3] ;
wire \tile_x5y7_s2begb[4] ;
wire \tile_x5y7_s2begb[5] ;
wire \tile_x5y7_s2begb[6] ;
wire \tile_x5y7_s2begb[7] ;
wire \tile_x5y7_s4beg[0] ;
wire \tile_x5y7_s4beg[10] ;
wire \tile_x5y7_s4beg[11] ;
wire \tile_x5y7_s4beg[12] ;
wire \tile_x5y7_s4beg[13] ;
wire \tile_x5y7_s4beg[14] ;
wire \tile_x5y7_s4beg[15] ;
wire \tile_x5y7_s4beg[1] ;
wire \tile_x5y7_s4beg[2] ;
wire \tile_x5y7_s4beg[3] ;
wire \tile_x5y7_s4beg[4] ;
wire \tile_x5y7_s4beg[5] ;
wire \tile_x5y7_s4beg[6] ;
wire \tile_x5y7_s4beg[7] ;
wire \tile_x5y7_s4beg[8] ;
wire \tile_x5y7_s4beg[9] ;
wire \tile_x5y7_ss4beg[0] ;
wire \tile_x5y7_ss4beg[10] ;
wire \tile_x5y7_ss4beg[11] ;
wire \tile_x5y7_ss4beg[12] ;
wire \tile_x5y7_ss4beg[13] ;
wire \tile_x5y7_ss4beg[14] ;
wire \tile_x5y7_ss4beg[15] ;
wire \tile_x5y7_ss4beg[1] ;
wire \tile_x5y7_ss4beg[2] ;
wire \tile_x5y7_ss4beg[3] ;
wire \tile_x5y7_ss4beg[4] ;
wire \tile_x5y7_ss4beg[5] ;
wire \tile_x5y7_ss4beg[6] ;
wire \tile_x5y7_ss4beg[7] ;
wire \tile_x5y7_ss4beg[8] ;
wire \tile_x5y7_ss4beg[9] ;
wire tile_x5y7_userclko;
wire \tile_x5y7_w1beg[0] ;
wire \tile_x5y7_w1beg[1] ;
wire \tile_x5y7_w1beg[2] ;
wire \tile_x5y7_w1beg[3] ;
wire \tile_x5y7_w2beg[0] ;
wire \tile_x5y7_w2beg[1] ;
wire \tile_x5y7_w2beg[2] ;
wire \tile_x5y7_w2beg[3] ;
wire \tile_x5y7_w2beg[4] ;
wire \tile_x5y7_w2beg[5] ;
wire \tile_x5y7_w2beg[6] ;
wire \tile_x5y7_w2beg[7] ;
wire \tile_x5y7_w2begb[0] ;
wire \tile_x5y7_w2begb[1] ;
wire \tile_x5y7_w2begb[2] ;
wire \tile_x5y7_w2begb[3] ;
wire \tile_x5y7_w2begb[4] ;
wire \tile_x5y7_w2begb[5] ;
wire \tile_x5y7_w2begb[6] ;
wire \tile_x5y7_w2begb[7] ;
wire \tile_x5y7_w6beg[0] ;
wire \tile_x5y7_w6beg[10] ;
wire \tile_x5y7_w6beg[11] ;
wire \tile_x5y7_w6beg[1] ;
wire \tile_x5y7_w6beg[2] ;
wire \tile_x5y7_w6beg[3] ;
wire \tile_x5y7_w6beg[4] ;
wire \tile_x5y7_w6beg[5] ;
wire \tile_x5y7_w6beg[6] ;
wire \tile_x5y7_w6beg[7] ;
wire \tile_x5y7_w6beg[8] ;
wire \tile_x5y7_w6beg[9] ;
wire \tile_x5y7_ww4beg[0] ;
wire \tile_x5y7_ww4beg[10] ;
wire \tile_x5y7_ww4beg[11] ;
wire \tile_x5y7_ww4beg[12] ;
wire \tile_x5y7_ww4beg[13] ;
wire \tile_x5y7_ww4beg[14] ;
wire \tile_x5y7_ww4beg[15] ;
wire \tile_x5y7_ww4beg[1] ;
wire \tile_x5y7_ww4beg[2] ;
wire \tile_x5y7_ww4beg[3] ;
wire \tile_x5y7_ww4beg[4] ;
wire \tile_x5y7_ww4beg[5] ;
wire \tile_x5y7_ww4beg[6] ;
wire \tile_x5y7_ww4beg[7] ;
wire \tile_x5y7_ww4beg[8] ;
wire \tile_x5y7_ww4beg[9] ;
wire tile_x5y8_co;
wire \tile_x5y8_e1beg[0] ;
wire \tile_x5y8_e1beg[1] ;
wire \tile_x5y8_e1beg[2] ;
wire \tile_x5y8_e1beg[3] ;
wire \tile_x5y8_e2beg[0] ;
wire \tile_x5y8_e2beg[1] ;
wire \tile_x5y8_e2beg[2] ;
wire \tile_x5y8_e2beg[3] ;
wire \tile_x5y8_e2beg[4] ;
wire \tile_x5y8_e2beg[5] ;
wire \tile_x5y8_e2beg[6] ;
wire \tile_x5y8_e2beg[7] ;
wire \tile_x5y8_e2begb[0] ;
wire \tile_x5y8_e2begb[1] ;
wire \tile_x5y8_e2begb[2] ;
wire \tile_x5y8_e2begb[3] ;
wire \tile_x5y8_e2begb[4] ;
wire \tile_x5y8_e2begb[5] ;
wire \tile_x5y8_e2begb[6] ;
wire \tile_x5y8_e2begb[7] ;
wire \tile_x5y8_e6beg[0] ;
wire \tile_x5y8_e6beg[10] ;
wire \tile_x5y8_e6beg[11] ;
wire \tile_x5y8_e6beg[1] ;
wire \tile_x5y8_e6beg[2] ;
wire \tile_x5y8_e6beg[3] ;
wire \tile_x5y8_e6beg[4] ;
wire \tile_x5y8_e6beg[5] ;
wire \tile_x5y8_e6beg[6] ;
wire \tile_x5y8_e6beg[7] ;
wire \tile_x5y8_e6beg[8] ;
wire \tile_x5y8_e6beg[9] ;
wire \tile_x5y8_ee4beg[0] ;
wire \tile_x5y8_ee4beg[10] ;
wire \tile_x5y8_ee4beg[11] ;
wire \tile_x5y8_ee4beg[12] ;
wire \tile_x5y8_ee4beg[13] ;
wire \tile_x5y8_ee4beg[14] ;
wire \tile_x5y8_ee4beg[15] ;
wire \tile_x5y8_ee4beg[1] ;
wire \tile_x5y8_ee4beg[2] ;
wire \tile_x5y8_ee4beg[3] ;
wire \tile_x5y8_ee4beg[4] ;
wire \tile_x5y8_ee4beg[5] ;
wire \tile_x5y8_ee4beg[6] ;
wire \tile_x5y8_ee4beg[7] ;
wire \tile_x5y8_ee4beg[8] ;
wire \tile_x5y8_ee4beg[9] ;
wire \tile_x5y8_framedata_o[0] ;
wire \tile_x5y8_framedata_o[10] ;
wire \tile_x5y8_framedata_o[11] ;
wire \tile_x5y8_framedata_o[12] ;
wire \tile_x5y8_framedata_o[13] ;
wire \tile_x5y8_framedata_o[14] ;
wire \tile_x5y8_framedata_o[15] ;
wire \tile_x5y8_framedata_o[16] ;
wire \tile_x5y8_framedata_o[17] ;
wire \tile_x5y8_framedata_o[18] ;
wire \tile_x5y8_framedata_o[19] ;
wire \tile_x5y8_framedata_o[1] ;
wire \tile_x5y8_framedata_o[20] ;
wire \tile_x5y8_framedata_o[21] ;
wire \tile_x5y8_framedata_o[22] ;
wire \tile_x5y8_framedata_o[23] ;
wire \tile_x5y8_framedata_o[24] ;
wire \tile_x5y8_framedata_o[25] ;
wire \tile_x5y8_framedata_o[26] ;
wire \tile_x5y8_framedata_o[27] ;
wire \tile_x5y8_framedata_o[28] ;
wire \tile_x5y8_framedata_o[29] ;
wire \tile_x5y8_framedata_o[2] ;
wire \tile_x5y8_framedata_o[30] ;
wire \tile_x5y8_framedata_o[31] ;
wire \tile_x5y8_framedata_o[3] ;
wire \tile_x5y8_framedata_o[4] ;
wire \tile_x5y8_framedata_o[5] ;
wire \tile_x5y8_framedata_o[6] ;
wire \tile_x5y8_framedata_o[7] ;
wire \tile_x5y8_framedata_o[8] ;
wire \tile_x5y8_framedata_o[9] ;
wire \tile_x5y8_framestrobe_o[0] ;
wire \tile_x5y8_framestrobe_o[10] ;
wire \tile_x5y8_framestrobe_o[11] ;
wire \tile_x5y8_framestrobe_o[12] ;
wire \tile_x5y8_framestrobe_o[13] ;
wire \tile_x5y8_framestrobe_o[14] ;
wire \tile_x5y8_framestrobe_o[15] ;
wire \tile_x5y8_framestrobe_o[16] ;
wire \tile_x5y8_framestrobe_o[17] ;
wire \tile_x5y8_framestrobe_o[18] ;
wire \tile_x5y8_framestrobe_o[19] ;
wire \tile_x5y8_framestrobe_o[1] ;
wire \tile_x5y8_framestrobe_o[2] ;
wire \tile_x5y8_framestrobe_o[3] ;
wire \tile_x5y8_framestrobe_o[4] ;
wire \tile_x5y8_framestrobe_o[5] ;
wire \tile_x5y8_framestrobe_o[6] ;
wire \tile_x5y8_framestrobe_o[7] ;
wire \tile_x5y8_framestrobe_o[8] ;
wire \tile_x5y8_framestrobe_o[9] ;
wire \tile_x5y8_n1beg[0] ;
wire \tile_x5y8_n1beg[1] ;
wire \tile_x5y8_n1beg[2] ;
wire \tile_x5y8_n1beg[3] ;
wire \tile_x5y8_n2beg[0] ;
wire \tile_x5y8_n2beg[1] ;
wire \tile_x5y8_n2beg[2] ;
wire \tile_x5y8_n2beg[3] ;
wire \tile_x5y8_n2beg[4] ;
wire \tile_x5y8_n2beg[5] ;
wire \tile_x5y8_n2beg[6] ;
wire \tile_x5y8_n2beg[7] ;
wire \tile_x5y8_n2begb[0] ;
wire \tile_x5y8_n2begb[1] ;
wire \tile_x5y8_n2begb[2] ;
wire \tile_x5y8_n2begb[3] ;
wire \tile_x5y8_n2begb[4] ;
wire \tile_x5y8_n2begb[5] ;
wire \tile_x5y8_n2begb[6] ;
wire \tile_x5y8_n2begb[7] ;
wire \tile_x5y8_n4beg[0] ;
wire \tile_x5y8_n4beg[10] ;
wire \tile_x5y8_n4beg[11] ;
wire \tile_x5y8_n4beg[12] ;
wire \tile_x5y8_n4beg[13] ;
wire \tile_x5y8_n4beg[14] ;
wire \tile_x5y8_n4beg[15] ;
wire \tile_x5y8_n4beg[1] ;
wire \tile_x5y8_n4beg[2] ;
wire \tile_x5y8_n4beg[3] ;
wire \tile_x5y8_n4beg[4] ;
wire \tile_x5y8_n4beg[5] ;
wire \tile_x5y8_n4beg[6] ;
wire \tile_x5y8_n4beg[7] ;
wire \tile_x5y8_n4beg[8] ;
wire \tile_x5y8_n4beg[9] ;
wire \tile_x5y8_nn4beg[0] ;
wire \tile_x5y8_nn4beg[10] ;
wire \tile_x5y8_nn4beg[11] ;
wire \tile_x5y8_nn4beg[12] ;
wire \tile_x5y8_nn4beg[13] ;
wire \tile_x5y8_nn4beg[14] ;
wire \tile_x5y8_nn4beg[15] ;
wire \tile_x5y8_nn4beg[1] ;
wire \tile_x5y8_nn4beg[2] ;
wire \tile_x5y8_nn4beg[3] ;
wire \tile_x5y8_nn4beg[4] ;
wire \tile_x5y8_nn4beg[5] ;
wire \tile_x5y8_nn4beg[6] ;
wire \tile_x5y8_nn4beg[7] ;
wire \tile_x5y8_nn4beg[8] ;
wire \tile_x5y8_nn4beg[9] ;
wire \tile_x5y8_s1beg[0] ;
wire \tile_x5y8_s1beg[1] ;
wire \tile_x5y8_s1beg[2] ;
wire \tile_x5y8_s1beg[3] ;
wire \tile_x5y8_s2beg[0] ;
wire \tile_x5y8_s2beg[1] ;
wire \tile_x5y8_s2beg[2] ;
wire \tile_x5y8_s2beg[3] ;
wire \tile_x5y8_s2beg[4] ;
wire \tile_x5y8_s2beg[5] ;
wire \tile_x5y8_s2beg[6] ;
wire \tile_x5y8_s2beg[7] ;
wire \tile_x5y8_s2begb[0] ;
wire \tile_x5y8_s2begb[1] ;
wire \tile_x5y8_s2begb[2] ;
wire \tile_x5y8_s2begb[3] ;
wire \tile_x5y8_s2begb[4] ;
wire \tile_x5y8_s2begb[5] ;
wire \tile_x5y8_s2begb[6] ;
wire \tile_x5y8_s2begb[7] ;
wire \tile_x5y8_s4beg[0] ;
wire \tile_x5y8_s4beg[10] ;
wire \tile_x5y8_s4beg[11] ;
wire \tile_x5y8_s4beg[12] ;
wire \tile_x5y8_s4beg[13] ;
wire \tile_x5y8_s4beg[14] ;
wire \tile_x5y8_s4beg[15] ;
wire \tile_x5y8_s4beg[1] ;
wire \tile_x5y8_s4beg[2] ;
wire \tile_x5y8_s4beg[3] ;
wire \tile_x5y8_s4beg[4] ;
wire \tile_x5y8_s4beg[5] ;
wire \tile_x5y8_s4beg[6] ;
wire \tile_x5y8_s4beg[7] ;
wire \tile_x5y8_s4beg[8] ;
wire \tile_x5y8_s4beg[9] ;
wire \tile_x5y8_ss4beg[0] ;
wire \tile_x5y8_ss4beg[10] ;
wire \tile_x5y8_ss4beg[11] ;
wire \tile_x5y8_ss4beg[12] ;
wire \tile_x5y8_ss4beg[13] ;
wire \tile_x5y8_ss4beg[14] ;
wire \tile_x5y8_ss4beg[15] ;
wire \tile_x5y8_ss4beg[1] ;
wire \tile_x5y8_ss4beg[2] ;
wire \tile_x5y8_ss4beg[3] ;
wire \tile_x5y8_ss4beg[4] ;
wire \tile_x5y8_ss4beg[5] ;
wire \tile_x5y8_ss4beg[6] ;
wire \tile_x5y8_ss4beg[7] ;
wire \tile_x5y8_ss4beg[8] ;
wire \tile_x5y8_ss4beg[9] ;
wire tile_x5y8_userclko;
wire \tile_x5y8_w1beg[0] ;
wire \tile_x5y8_w1beg[1] ;
wire \tile_x5y8_w1beg[2] ;
wire \tile_x5y8_w1beg[3] ;
wire \tile_x5y8_w2beg[0] ;
wire \tile_x5y8_w2beg[1] ;
wire \tile_x5y8_w2beg[2] ;
wire \tile_x5y8_w2beg[3] ;
wire \tile_x5y8_w2beg[4] ;
wire \tile_x5y8_w2beg[5] ;
wire \tile_x5y8_w2beg[6] ;
wire \tile_x5y8_w2beg[7] ;
wire \tile_x5y8_w2begb[0] ;
wire \tile_x5y8_w2begb[1] ;
wire \tile_x5y8_w2begb[2] ;
wire \tile_x5y8_w2begb[3] ;
wire \tile_x5y8_w2begb[4] ;
wire \tile_x5y8_w2begb[5] ;
wire \tile_x5y8_w2begb[6] ;
wire \tile_x5y8_w2begb[7] ;
wire \tile_x5y8_w6beg[0] ;
wire \tile_x5y8_w6beg[10] ;
wire \tile_x5y8_w6beg[11] ;
wire \tile_x5y8_w6beg[1] ;
wire \tile_x5y8_w6beg[2] ;
wire \tile_x5y8_w6beg[3] ;
wire \tile_x5y8_w6beg[4] ;
wire \tile_x5y8_w6beg[5] ;
wire \tile_x5y8_w6beg[6] ;
wire \tile_x5y8_w6beg[7] ;
wire \tile_x5y8_w6beg[8] ;
wire \tile_x5y8_w6beg[9] ;
wire \tile_x5y8_ww4beg[0] ;
wire \tile_x5y8_ww4beg[10] ;
wire \tile_x5y8_ww4beg[11] ;
wire \tile_x5y8_ww4beg[12] ;
wire \tile_x5y8_ww4beg[13] ;
wire \tile_x5y8_ww4beg[14] ;
wire \tile_x5y8_ww4beg[15] ;
wire \tile_x5y8_ww4beg[1] ;
wire \tile_x5y8_ww4beg[2] ;
wire \tile_x5y8_ww4beg[3] ;
wire \tile_x5y8_ww4beg[4] ;
wire \tile_x5y8_ww4beg[5] ;
wire \tile_x5y8_ww4beg[6] ;
wire \tile_x5y8_ww4beg[7] ;
wire \tile_x5y8_ww4beg[8] ;
wire \tile_x5y8_ww4beg[9] ;
wire tile_x5y9_co;
wire \tile_x5y9_e1beg[0] ;
wire \tile_x5y9_e1beg[1] ;
wire \tile_x5y9_e1beg[2] ;
wire \tile_x5y9_e1beg[3] ;
wire \tile_x5y9_e2beg[0] ;
wire \tile_x5y9_e2beg[1] ;
wire \tile_x5y9_e2beg[2] ;
wire \tile_x5y9_e2beg[3] ;
wire \tile_x5y9_e2beg[4] ;
wire \tile_x5y9_e2beg[5] ;
wire \tile_x5y9_e2beg[6] ;
wire \tile_x5y9_e2beg[7] ;
wire \tile_x5y9_e2begb[0] ;
wire \tile_x5y9_e2begb[1] ;
wire \tile_x5y9_e2begb[2] ;
wire \tile_x5y9_e2begb[3] ;
wire \tile_x5y9_e2begb[4] ;
wire \tile_x5y9_e2begb[5] ;
wire \tile_x5y9_e2begb[6] ;
wire \tile_x5y9_e2begb[7] ;
wire \tile_x5y9_e6beg[0] ;
wire \tile_x5y9_e6beg[10] ;
wire \tile_x5y9_e6beg[11] ;
wire \tile_x5y9_e6beg[1] ;
wire \tile_x5y9_e6beg[2] ;
wire \tile_x5y9_e6beg[3] ;
wire \tile_x5y9_e6beg[4] ;
wire \tile_x5y9_e6beg[5] ;
wire \tile_x5y9_e6beg[6] ;
wire \tile_x5y9_e6beg[7] ;
wire \tile_x5y9_e6beg[8] ;
wire \tile_x5y9_e6beg[9] ;
wire \tile_x5y9_ee4beg[0] ;
wire \tile_x5y9_ee4beg[10] ;
wire \tile_x5y9_ee4beg[11] ;
wire \tile_x5y9_ee4beg[12] ;
wire \tile_x5y9_ee4beg[13] ;
wire \tile_x5y9_ee4beg[14] ;
wire \tile_x5y9_ee4beg[15] ;
wire \tile_x5y9_ee4beg[1] ;
wire \tile_x5y9_ee4beg[2] ;
wire \tile_x5y9_ee4beg[3] ;
wire \tile_x5y9_ee4beg[4] ;
wire \tile_x5y9_ee4beg[5] ;
wire \tile_x5y9_ee4beg[6] ;
wire \tile_x5y9_ee4beg[7] ;
wire \tile_x5y9_ee4beg[8] ;
wire \tile_x5y9_ee4beg[9] ;
wire \tile_x5y9_framedata_o[0] ;
wire \tile_x5y9_framedata_o[10] ;
wire \tile_x5y9_framedata_o[11] ;
wire \tile_x5y9_framedata_o[12] ;
wire \tile_x5y9_framedata_o[13] ;
wire \tile_x5y9_framedata_o[14] ;
wire \tile_x5y9_framedata_o[15] ;
wire \tile_x5y9_framedata_o[16] ;
wire \tile_x5y9_framedata_o[17] ;
wire \tile_x5y9_framedata_o[18] ;
wire \tile_x5y9_framedata_o[19] ;
wire \tile_x5y9_framedata_o[1] ;
wire \tile_x5y9_framedata_o[20] ;
wire \tile_x5y9_framedata_o[21] ;
wire \tile_x5y9_framedata_o[22] ;
wire \tile_x5y9_framedata_o[23] ;
wire \tile_x5y9_framedata_o[24] ;
wire \tile_x5y9_framedata_o[25] ;
wire \tile_x5y9_framedata_o[26] ;
wire \tile_x5y9_framedata_o[27] ;
wire \tile_x5y9_framedata_o[28] ;
wire \tile_x5y9_framedata_o[29] ;
wire \tile_x5y9_framedata_o[2] ;
wire \tile_x5y9_framedata_o[30] ;
wire \tile_x5y9_framedata_o[31] ;
wire \tile_x5y9_framedata_o[3] ;
wire \tile_x5y9_framedata_o[4] ;
wire \tile_x5y9_framedata_o[5] ;
wire \tile_x5y9_framedata_o[6] ;
wire \tile_x5y9_framedata_o[7] ;
wire \tile_x5y9_framedata_o[8] ;
wire \tile_x5y9_framedata_o[9] ;
wire \tile_x5y9_framestrobe_o[0] ;
wire \tile_x5y9_framestrobe_o[10] ;
wire \tile_x5y9_framestrobe_o[11] ;
wire \tile_x5y9_framestrobe_o[12] ;
wire \tile_x5y9_framestrobe_o[13] ;
wire \tile_x5y9_framestrobe_o[14] ;
wire \tile_x5y9_framestrobe_o[15] ;
wire \tile_x5y9_framestrobe_o[16] ;
wire \tile_x5y9_framestrobe_o[17] ;
wire \tile_x5y9_framestrobe_o[18] ;
wire \tile_x5y9_framestrobe_o[19] ;
wire \tile_x5y9_framestrobe_o[1] ;
wire \tile_x5y9_framestrobe_o[2] ;
wire \tile_x5y9_framestrobe_o[3] ;
wire \tile_x5y9_framestrobe_o[4] ;
wire \tile_x5y9_framestrobe_o[5] ;
wire \tile_x5y9_framestrobe_o[6] ;
wire \tile_x5y9_framestrobe_o[7] ;
wire \tile_x5y9_framestrobe_o[8] ;
wire \tile_x5y9_framestrobe_o[9] ;
wire \tile_x5y9_n1beg[0] ;
wire \tile_x5y9_n1beg[1] ;
wire \tile_x5y9_n1beg[2] ;
wire \tile_x5y9_n1beg[3] ;
wire \tile_x5y9_n2beg[0] ;
wire \tile_x5y9_n2beg[1] ;
wire \tile_x5y9_n2beg[2] ;
wire \tile_x5y9_n2beg[3] ;
wire \tile_x5y9_n2beg[4] ;
wire \tile_x5y9_n2beg[5] ;
wire \tile_x5y9_n2beg[6] ;
wire \tile_x5y9_n2beg[7] ;
wire \tile_x5y9_n2begb[0] ;
wire \tile_x5y9_n2begb[1] ;
wire \tile_x5y9_n2begb[2] ;
wire \tile_x5y9_n2begb[3] ;
wire \tile_x5y9_n2begb[4] ;
wire \tile_x5y9_n2begb[5] ;
wire \tile_x5y9_n2begb[6] ;
wire \tile_x5y9_n2begb[7] ;
wire \tile_x5y9_n4beg[0] ;
wire \tile_x5y9_n4beg[10] ;
wire \tile_x5y9_n4beg[11] ;
wire \tile_x5y9_n4beg[12] ;
wire \tile_x5y9_n4beg[13] ;
wire \tile_x5y9_n4beg[14] ;
wire \tile_x5y9_n4beg[15] ;
wire \tile_x5y9_n4beg[1] ;
wire \tile_x5y9_n4beg[2] ;
wire \tile_x5y9_n4beg[3] ;
wire \tile_x5y9_n4beg[4] ;
wire \tile_x5y9_n4beg[5] ;
wire \tile_x5y9_n4beg[6] ;
wire \tile_x5y9_n4beg[7] ;
wire \tile_x5y9_n4beg[8] ;
wire \tile_x5y9_n4beg[9] ;
wire \tile_x5y9_nn4beg[0] ;
wire \tile_x5y9_nn4beg[10] ;
wire \tile_x5y9_nn4beg[11] ;
wire \tile_x5y9_nn4beg[12] ;
wire \tile_x5y9_nn4beg[13] ;
wire \tile_x5y9_nn4beg[14] ;
wire \tile_x5y9_nn4beg[15] ;
wire \tile_x5y9_nn4beg[1] ;
wire \tile_x5y9_nn4beg[2] ;
wire \tile_x5y9_nn4beg[3] ;
wire \tile_x5y9_nn4beg[4] ;
wire \tile_x5y9_nn4beg[5] ;
wire \tile_x5y9_nn4beg[6] ;
wire \tile_x5y9_nn4beg[7] ;
wire \tile_x5y9_nn4beg[8] ;
wire \tile_x5y9_nn4beg[9] ;
wire \tile_x5y9_s1beg[0] ;
wire \tile_x5y9_s1beg[1] ;
wire \tile_x5y9_s1beg[2] ;
wire \tile_x5y9_s1beg[3] ;
wire \tile_x5y9_s2beg[0] ;
wire \tile_x5y9_s2beg[1] ;
wire \tile_x5y9_s2beg[2] ;
wire \tile_x5y9_s2beg[3] ;
wire \tile_x5y9_s2beg[4] ;
wire \tile_x5y9_s2beg[5] ;
wire \tile_x5y9_s2beg[6] ;
wire \tile_x5y9_s2beg[7] ;
wire \tile_x5y9_s2begb[0] ;
wire \tile_x5y9_s2begb[1] ;
wire \tile_x5y9_s2begb[2] ;
wire \tile_x5y9_s2begb[3] ;
wire \tile_x5y9_s2begb[4] ;
wire \tile_x5y9_s2begb[5] ;
wire \tile_x5y9_s2begb[6] ;
wire \tile_x5y9_s2begb[7] ;
wire \tile_x5y9_s4beg[0] ;
wire \tile_x5y9_s4beg[10] ;
wire \tile_x5y9_s4beg[11] ;
wire \tile_x5y9_s4beg[12] ;
wire \tile_x5y9_s4beg[13] ;
wire \tile_x5y9_s4beg[14] ;
wire \tile_x5y9_s4beg[15] ;
wire \tile_x5y9_s4beg[1] ;
wire \tile_x5y9_s4beg[2] ;
wire \tile_x5y9_s4beg[3] ;
wire \tile_x5y9_s4beg[4] ;
wire \tile_x5y9_s4beg[5] ;
wire \tile_x5y9_s4beg[6] ;
wire \tile_x5y9_s4beg[7] ;
wire \tile_x5y9_s4beg[8] ;
wire \tile_x5y9_s4beg[9] ;
wire \tile_x5y9_ss4beg[0] ;
wire \tile_x5y9_ss4beg[10] ;
wire \tile_x5y9_ss4beg[11] ;
wire \tile_x5y9_ss4beg[12] ;
wire \tile_x5y9_ss4beg[13] ;
wire \tile_x5y9_ss4beg[14] ;
wire \tile_x5y9_ss4beg[15] ;
wire \tile_x5y9_ss4beg[1] ;
wire \tile_x5y9_ss4beg[2] ;
wire \tile_x5y9_ss4beg[3] ;
wire \tile_x5y9_ss4beg[4] ;
wire \tile_x5y9_ss4beg[5] ;
wire \tile_x5y9_ss4beg[6] ;
wire \tile_x5y9_ss4beg[7] ;
wire \tile_x5y9_ss4beg[8] ;
wire \tile_x5y9_ss4beg[9] ;
wire tile_x5y9_userclko;
wire \tile_x5y9_w1beg[0] ;
wire \tile_x5y9_w1beg[1] ;
wire \tile_x5y9_w1beg[2] ;
wire \tile_x5y9_w1beg[3] ;
wire \tile_x5y9_w2beg[0] ;
wire \tile_x5y9_w2beg[1] ;
wire \tile_x5y9_w2beg[2] ;
wire \tile_x5y9_w2beg[3] ;
wire \tile_x5y9_w2beg[4] ;
wire \tile_x5y9_w2beg[5] ;
wire \tile_x5y9_w2beg[6] ;
wire \tile_x5y9_w2beg[7] ;
wire \tile_x5y9_w2begb[0] ;
wire \tile_x5y9_w2begb[1] ;
wire \tile_x5y9_w2begb[2] ;
wire \tile_x5y9_w2begb[3] ;
wire \tile_x5y9_w2begb[4] ;
wire \tile_x5y9_w2begb[5] ;
wire \tile_x5y9_w2begb[6] ;
wire \tile_x5y9_w2begb[7] ;
wire \tile_x5y9_w6beg[0] ;
wire \tile_x5y9_w6beg[10] ;
wire \tile_x5y9_w6beg[11] ;
wire \tile_x5y9_w6beg[1] ;
wire \tile_x5y9_w6beg[2] ;
wire \tile_x5y9_w6beg[3] ;
wire \tile_x5y9_w6beg[4] ;
wire \tile_x5y9_w6beg[5] ;
wire \tile_x5y9_w6beg[6] ;
wire \tile_x5y9_w6beg[7] ;
wire \tile_x5y9_w6beg[8] ;
wire \tile_x5y9_w6beg[9] ;
wire \tile_x5y9_ww4beg[0] ;
wire \tile_x5y9_ww4beg[10] ;
wire \tile_x5y9_ww4beg[11] ;
wire \tile_x5y9_ww4beg[12] ;
wire \tile_x5y9_ww4beg[13] ;
wire \tile_x5y9_ww4beg[14] ;
wire \tile_x5y9_ww4beg[15] ;
wire \tile_x5y9_ww4beg[1] ;
wire \tile_x5y9_ww4beg[2] ;
wire \tile_x5y9_ww4beg[3] ;
wire \tile_x5y9_ww4beg[4] ;
wire \tile_x5y9_ww4beg[5] ;
wire \tile_x5y9_ww4beg[6] ;
wire \tile_x5y9_ww4beg[7] ;
wire \tile_x5y9_ww4beg[8] ;
wire \tile_x5y9_ww4beg[9] ;
wire \tile_x6y0_framestrobe_o[0] ;
wire \tile_x6y0_framestrobe_o[10] ;
wire \tile_x6y0_framestrobe_o[11] ;
wire \tile_x6y0_framestrobe_o[12] ;
wire \tile_x6y0_framestrobe_o[13] ;
wire \tile_x6y0_framestrobe_o[14] ;
wire \tile_x6y0_framestrobe_o[15] ;
wire \tile_x6y0_framestrobe_o[16] ;
wire \tile_x6y0_framestrobe_o[17] ;
wire \tile_x6y0_framestrobe_o[18] ;
wire \tile_x6y0_framestrobe_o[19] ;
wire \tile_x6y0_framestrobe_o[1] ;
wire \tile_x6y0_framestrobe_o[2] ;
wire \tile_x6y0_framestrobe_o[3] ;
wire \tile_x6y0_framestrobe_o[4] ;
wire \tile_x6y0_framestrobe_o[5] ;
wire \tile_x6y0_framestrobe_o[6] ;
wire \tile_x6y0_framestrobe_o[7] ;
wire \tile_x6y0_framestrobe_o[8] ;
wire \tile_x6y0_framestrobe_o[9] ;
wire \tile_x6y0_s1beg[0] ;
wire \tile_x6y0_s1beg[1] ;
wire \tile_x6y0_s1beg[2] ;
wire \tile_x6y0_s1beg[3] ;
wire \tile_x6y0_s2beg[0] ;
wire \tile_x6y0_s2beg[1] ;
wire \tile_x6y0_s2beg[2] ;
wire \tile_x6y0_s2beg[3] ;
wire \tile_x6y0_s2beg[4] ;
wire \tile_x6y0_s2beg[5] ;
wire \tile_x6y0_s2beg[6] ;
wire \tile_x6y0_s2beg[7] ;
wire \tile_x6y0_s2begb[0] ;
wire \tile_x6y0_s2begb[1] ;
wire \tile_x6y0_s2begb[2] ;
wire \tile_x6y0_s2begb[3] ;
wire \tile_x6y0_s2begb[4] ;
wire \tile_x6y0_s2begb[5] ;
wire \tile_x6y0_s2begb[6] ;
wire \tile_x6y0_s2begb[7] ;
wire \tile_x6y0_s4beg[0] ;
wire \tile_x6y0_s4beg[10] ;
wire \tile_x6y0_s4beg[11] ;
wire \tile_x6y0_s4beg[12] ;
wire \tile_x6y0_s4beg[13] ;
wire \tile_x6y0_s4beg[14] ;
wire \tile_x6y0_s4beg[15] ;
wire \tile_x6y0_s4beg[1] ;
wire \tile_x6y0_s4beg[2] ;
wire \tile_x6y0_s4beg[3] ;
wire \tile_x6y0_s4beg[4] ;
wire \tile_x6y0_s4beg[5] ;
wire \tile_x6y0_s4beg[6] ;
wire \tile_x6y0_s4beg[7] ;
wire \tile_x6y0_s4beg[8] ;
wire \tile_x6y0_s4beg[9] ;
wire \tile_x6y0_ss4beg[0] ;
wire \tile_x6y0_ss4beg[10] ;
wire \tile_x6y0_ss4beg[11] ;
wire \tile_x6y0_ss4beg[12] ;
wire \tile_x6y0_ss4beg[13] ;
wire \tile_x6y0_ss4beg[14] ;
wire \tile_x6y0_ss4beg[15] ;
wire \tile_x6y0_ss4beg[1] ;
wire \tile_x6y0_ss4beg[2] ;
wire \tile_x6y0_ss4beg[3] ;
wire \tile_x6y0_ss4beg[4] ;
wire \tile_x6y0_ss4beg[5] ;
wire \tile_x6y0_ss4beg[6] ;
wire \tile_x6y0_ss4beg[7] ;
wire \tile_x6y0_ss4beg[8] ;
wire \tile_x6y0_ss4beg[9] ;
wire tile_x6y0_userclko;
wire tile_x6y10_co;
wire \tile_x6y10_e1beg[0] ;
wire \tile_x6y10_e1beg[1] ;
wire \tile_x6y10_e1beg[2] ;
wire \tile_x6y10_e1beg[3] ;
wire \tile_x6y10_e2beg[0] ;
wire \tile_x6y10_e2beg[1] ;
wire \tile_x6y10_e2beg[2] ;
wire \tile_x6y10_e2beg[3] ;
wire \tile_x6y10_e2beg[4] ;
wire \tile_x6y10_e2beg[5] ;
wire \tile_x6y10_e2beg[6] ;
wire \tile_x6y10_e2beg[7] ;
wire \tile_x6y10_e2begb[0] ;
wire \tile_x6y10_e2begb[1] ;
wire \tile_x6y10_e2begb[2] ;
wire \tile_x6y10_e2begb[3] ;
wire \tile_x6y10_e2begb[4] ;
wire \tile_x6y10_e2begb[5] ;
wire \tile_x6y10_e2begb[6] ;
wire \tile_x6y10_e2begb[7] ;
wire \tile_x6y10_e6beg[0] ;
wire \tile_x6y10_e6beg[10] ;
wire \tile_x6y10_e6beg[11] ;
wire \tile_x6y10_e6beg[1] ;
wire \tile_x6y10_e6beg[2] ;
wire \tile_x6y10_e6beg[3] ;
wire \tile_x6y10_e6beg[4] ;
wire \tile_x6y10_e6beg[5] ;
wire \tile_x6y10_e6beg[6] ;
wire \tile_x6y10_e6beg[7] ;
wire \tile_x6y10_e6beg[8] ;
wire \tile_x6y10_e6beg[9] ;
wire \tile_x6y10_ee4beg[0] ;
wire \tile_x6y10_ee4beg[10] ;
wire \tile_x6y10_ee4beg[11] ;
wire \tile_x6y10_ee4beg[12] ;
wire \tile_x6y10_ee4beg[13] ;
wire \tile_x6y10_ee4beg[14] ;
wire \tile_x6y10_ee4beg[15] ;
wire \tile_x6y10_ee4beg[1] ;
wire \tile_x6y10_ee4beg[2] ;
wire \tile_x6y10_ee4beg[3] ;
wire \tile_x6y10_ee4beg[4] ;
wire \tile_x6y10_ee4beg[5] ;
wire \tile_x6y10_ee4beg[6] ;
wire \tile_x6y10_ee4beg[7] ;
wire \tile_x6y10_ee4beg[8] ;
wire \tile_x6y10_ee4beg[9] ;
wire \tile_x6y10_framedata_o[0] ;
wire \tile_x6y10_framedata_o[10] ;
wire \tile_x6y10_framedata_o[11] ;
wire \tile_x6y10_framedata_o[12] ;
wire \tile_x6y10_framedata_o[13] ;
wire \tile_x6y10_framedata_o[14] ;
wire \tile_x6y10_framedata_o[15] ;
wire \tile_x6y10_framedata_o[16] ;
wire \tile_x6y10_framedata_o[17] ;
wire \tile_x6y10_framedata_o[18] ;
wire \tile_x6y10_framedata_o[19] ;
wire \tile_x6y10_framedata_o[1] ;
wire \tile_x6y10_framedata_o[20] ;
wire \tile_x6y10_framedata_o[21] ;
wire \tile_x6y10_framedata_o[22] ;
wire \tile_x6y10_framedata_o[23] ;
wire \tile_x6y10_framedata_o[24] ;
wire \tile_x6y10_framedata_o[25] ;
wire \tile_x6y10_framedata_o[26] ;
wire \tile_x6y10_framedata_o[27] ;
wire \tile_x6y10_framedata_o[28] ;
wire \tile_x6y10_framedata_o[29] ;
wire \tile_x6y10_framedata_o[2] ;
wire \tile_x6y10_framedata_o[30] ;
wire \tile_x6y10_framedata_o[31] ;
wire \tile_x6y10_framedata_o[3] ;
wire \tile_x6y10_framedata_o[4] ;
wire \tile_x6y10_framedata_o[5] ;
wire \tile_x6y10_framedata_o[6] ;
wire \tile_x6y10_framedata_o[7] ;
wire \tile_x6y10_framedata_o[8] ;
wire \tile_x6y10_framedata_o[9] ;
wire \tile_x6y10_framestrobe_o[0] ;
wire \tile_x6y10_framestrobe_o[10] ;
wire \tile_x6y10_framestrobe_o[11] ;
wire \tile_x6y10_framestrobe_o[12] ;
wire \tile_x6y10_framestrobe_o[13] ;
wire \tile_x6y10_framestrobe_o[14] ;
wire \tile_x6y10_framestrobe_o[15] ;
wire \tile_x6y10_framestrobe_o[16] ;
wire \tile_x6y10_framestrobe_o[17] ;
wire \tile_x6y10_framestrobe_o[18] ;
wire \tile_x6y10_framestrobe_o[19] ;
wire \tile_x6y10_framestrobe_o[1] ;
wire \tile_x6y10_framestrobe_o[2] ;
wire \tile_x6y10_framestrobe_o[3] ;
wire \tile_x6y10_framestrobe_o[4] ;
wire \tile_x6y10_framestrobe_o[5] ;
wire \tile_x6y10_framestrobe_o[6] ;
wire \tile_x6y10_framestrobe_o[7] ;
wire \tile_x6y10_framestrobe_o[8] ;
wire \tile_x6y10_framestrobe_o[9] ;
wire \tile_x6y10_n1beg[0] ;
wire \tile_x6y10_n1beg[1] ;
wire \tile_x6y10_n1beg[2] ;
wire \tile_x6y10_n1beg[3] ;
wire \tile_x6y10_n2beg[0] ;
wire \tile_x6y10_n2beg[1] ;
wire \tile_x6y10_n2beg[2] ;
wire \tile_x6y10_n2beg[3] ;
wire \tile_x6y10_n2beg[4] ;
wire \tile_x6y10_n2beg[5] ;
wire \tile_x6y10_n2beg[6] ;
wire \tile_x6y10_n2beg[7] ;
wire \tile_x6y10_n2begb[0] ;
wire \tile_x6y10_n2begb[1] ;
wire \tile_x6y10_n2begb[2] ;
wire \tile_x6y10_n2begb[3] ;
wire \tile_x6y10_n2begb[4] ;
wire \tile_x6y10_n2begb[5] ;
wire \tile_x6y10_n2begb[6] ;
wire \tile_x6y10_n2begb[7] ;
wire \tile_x6y10_n4beg[0] ;
wire \tile_x6y10_n4beg[10] ;
wire \tile_x6y10_n4beg[11] ;
wire \tile_x6y10_n4beg[12] ;
wire \tile_x6y10_n4beg[13] ;
wire \tile_x6y10_n4beg[14] ;
wire \tile_x6y10_n4beg[15] ;
wire \tile_x6y10_n4beg[1] ;
wire \tile_x6y10_n4beg[2] ;
wire \tile_x6y10_n4beg[3] ;
wire \tile_x6y10_n4beg[4] ;
wire \tile_x6y10_n4beg[5] ;
wire \tile_x6y10_n4beg[6] ;
wire \tile_x6y10_n4beg[7] ;
wire \tile_x6y10_n4beg[8] ;
wire \tile_x6y10_n4beg[9] ;
wire \tile_x6y10_nn4beg[0] ;
wire \tile_x6y10_nn4beg[10] ;
wire \tile_x6y10_nn4beg[11] ;
wire \tile_x6y10_nn4beg[12] ;
wire \tile_x6y10_nn4beg[13] ;
wire \tile_x6y10_nn4beg[14] ;
wire \tile_x6y10_nn4beg[15] ;
wire \tile_x6y10_nn4beg[1] ;
wire \tile_x6y10_nn4beg[2] ;
wire \tile_x6y10_nn4beg[3] ;
wire \tile_x6y10_nn4beg[4] ;
wire \tile_x6y10_nn4beg[5] ;
wire \tile_x6y10_nn4beg[6] ;
wire \tile_x6y10_nn4beg[7] ;
wire \tile_x6y10_nn4beg[8] ;
wire \tile_x6y10_nn4beg[9] ;
wire \tile_x6y10_s1beg[0] ;
wire \tile_x6y10_s1beg[1] ;
wire \tile_x6y10_s1beg[2] ;
wire \tile_x6y10_s1beg[3] ;
wire \tile_x6y10_s2beg[0] ;
wire \tile_x6y10_s2beg[1] ;
wire \tile_x6y10_s2beg[2] ;
wire \tile_x6y10_s2beg[3] ;
wire \tile_x6y10_s2beg[4] ;
wire \tile_x6y10_s2beg[5] ;
wire \tile_x6y10_s2beg[6] ;
wire \tile_x6y10_s2beg[7] ;
wire \tile_x6y10_s2begb[0] ;
wire \tile_x6y10_s2begb[1] ;
wire \tile_x6y10_s2begb[2] ;
wire \tile_x6y10_s2begb[3] ;
wire \tile_x6y10_s2begb[4] ;
wire \tile_x6y10_s2begb[5] ;
wire \tile_x6y10_s2begb[6] ;
wire \tile_x6y10_s2begb[7] ;
wire \tile_x6y10_s4beg[0] ;
wire \tile_x6y10_s4beg[10] ;
wire \tile_x6y10_s4beg[11] ;
wire \tile_x6y10_s4beg[12] ;
wire \tile_x6y10_s4beg[13] ;
wire \tile_x6y10_s4beg[14] ;
wire \tile_x6y10_s4beg[15] ;
wire \tile_x6y10_s4beg[1] ;
wire \tile_x6y10_s4beg[2] ;
wire \tile_x6y10_s4beg[3] ;
wire \tile_x6y10_s4beg[4] ;
wire \tile_x6y10_s4beg[5] ;
wire \tile_x6y10_s4beg[6] ;
wire \tile_x6y10_s4beg[7] ;
wire \tile_x6y10_s4beg[8] ;
wire \tile_x6y10_s4beg[9] ;
wire \tile_x6y10_ss4beg[0] ;
wire \tile_x6y10_ss4beg[10] ;
wire \tile_x6y10_ss4beg[11] ;
wire \tile_x6y10_ss4beg[12] ;
wire \tile_x6y10_ss4beg[13] ;
wire \tile_x6y10_ss4beg[14] ;
wire \tile_x6y10_ss4beg[15] ;
wire \tile_x6y10_ss4beg[1] ;
wire \tile_x6y10_ss4beg[2] ;
wire \tile_x6y10_ss4beg[3] ;
wire \tile_x6y10_ss4beg[4] ;
wire \tile_x6y10_ss4beg[5] ;
wire \tile_x6y10_ss4beg[6] ;
wire \tile_x6y10_ss4beg[7] ;
wire \tile_x6y10_ss4beg[8] ;
wire \tile_x6y10_ss4beg[9] ;
wire tile_x6y10_userclko;
wire \tile_x6y10_w1beg[0] ;
wire \tile_x6y10_w1beg[1] ;
wire \tile_x6y10_w1beg[2] ;
wire \tile_x6y10_w1beg[3] ;
wire \tile_x6y10_w2beg[0] ;
wire \tile_x6y10_w2beg[1] ;
wire \tile_x6y10_w2beg[2] ;
wire \tile_x6y10_w2beg[3] ;
wire \tile_x6y10_w2beg[4] ;
wire \tile_x6y10_w2beg[5] ;
wire \tile_x6y10_w2beg[6] ;
wire \tile_x6y10_w2beg[7] ;
wire \tile_x6y10_w2begb[0] ;
wire \tile_x6y10_w2begb[1] ;
wire \tile_x6y10_w2begb[2] ;
wire \tile_x6y10_w2begb[3] ;
wire \tile_x6y10_w2begb[4] ;
wire \tile_x6y10_w2begb[5] ;
wire \tile_x6y10_w2begb[6] ;
wire \tile_x6y10_w2begb[7] ;
wire \tile_x6y10_w6beg[0] ;
wire \tile_x6y10_w6beg[10] ;
wire \tile_x6y10_w6beg[11] ;
wire \tile_x6y10_w6beg[1] ;
wire \tile_x6y10_w6beg[2] ;
wire \tile_x6y10_w6beg[3] ;
wire \tile_x6y10_w6beg[4] ;
wire \tile_x6y10_w6beg[5] ;
wire \tile_x6y10_w6beg[6] ;
wire \tile_x6y10_w6beg[7] ;
wire \tile_x6y10_w6beg[8] ;
wire \tile_x6y10_w6beg[9] ;
wire \tile_x6y10_ww4beg[0] ;
wire \tile_x6y10_ww4beg[10] ;
wire \tile_x6y10_ww4beg[11] ;
wire \tile_x6y10_ww4beg[12] ;
wire \tile_x6y10_ww4beg[13] ;
wire \tile_x6y10_ww4beg[14] ;
wire \tile_x6y10_ww4beg[15] ;
wire \tile_x6y10_ww4beg[1] ;
wire \tile_x6y10_ww4beg[2] ;
wire \tile_x6y10_ww4beg[3] ;
wire \tile_x6y10_ww4beg[4] ;
wire \tile_x6y10_ww4beg[5] ;
wire \tile_x6y10_ww4beg[6] ;
wire \tile_x6y10_ww4beg[7] ;
wire \tile_x6y10_ww4beg[8] ;
wire \tile_x6y10_ww4beg[9] ;
wire tile_x6y11_co;
wire \tile_x6y11_e1beg[0] ;
wire \tile_x6y11_e1beg[1] ;
wire \tile_x6y11_e1beg[2] ;
wire \tile_x6y11_e1beg[3] ;
wire \tile_x6y11_e2beg[0] ;
wire \tile_x6y11_e2beg[1] ;
wire \tile_x6y11_e2beg[2] ;
wire \tile_x6y11_e2beg[3] ;
wire \tile_x6y11_e2beg[4] ;
wire \tile_x6y11_e2beg[5] ;
wire \tile_x6y11_e2beg[6] ;
wire \tile_x6y11_e2beg[7] ;
wire \tile_x6y11_e2begb[0] ;
wire \tile_x6y11_e2begb[1] ;
wire \tile_x6y11_e2begb[2] ;
wire \tile_x6y11_e2begb[3] ;
wire \tile_x6y11_e2begb[4] ;
wire \tile_x6y11_e2begb[5] ;
wire \tile_x6y11_e2begb[6] ;
wire \tile_x6y11_e2begb[7] ;
wire \tile_x6y11_e6beg[0] ;
wire \tile_x6y11_e6beg[10] ;
wire \tile_x6y11_e6beg[11] ;
wire \tile_x6y11_e6beg[1] ;
wire \tile_x6y11_e6beg[2] ;
wire \tile_x6y11_e6beg[3] ;
wire \tile_x6y11_e6beg[4] ;
wire \tile_x6y11_e6beg[5] ;
wire \tile_x6y11_e6beg[6] ;
wire \tile_x6y11_e6beg[7] ;
wire \tile_x6y11_e6beg[8] ;
wire \tile_x6y11_e6beg[9] ;
wire \tile_x6y11_ee4beg[0] ;
wire \tile_x6y11_ee4beg[10] ;
wire \tile_x6y11_ee4beg[11] ;
wire \tile_x6y11_ee4beg[12] ;
wire \tile_x6y11_ee4beg[13] ;
wire \tile_x6y11_ee4beg[14] ;
wire \tile_x6y11_ee4beg[15] ;
wire \tile_x6y11_ee4beg[1] ;
wire \tile_x6y11_ee4beg[2] ;
wire \tile_x6y11_ee4beg[3] ;
wire \tile_x6y11_ee4beg[4] ;
wire \tile_x6y11_ee4beg[5] ;
wire \tile_x6y11_ee4beg[6] ;
wire \tile_x6y11_ee4beg[7] ;
wire \tile_x6y11_ee4beg[8] ;
wire \tile_x6y11_ee4beg[9] ;
wire \tile_x6y11_framedata_o[0] ;
wire \tile_x6y11_framedata_o[10] ;
wire \tile_x6y11_framedata_o[11] ;
wire \tile_x6y11_framedata_o[12] ;
wire \tile_x6y11_framedata_o[13] ;
wire \tile_x6y11_framedata_o[14] ;
wire \tile_x6y11_framedata_o[15] ;
wire \tile_x6y11_framedata_o[16] ;
wire \tile_x6y11_framedata_o[17] ;
wire \tile_x6y11_framedata_o[18] ;
wire \tile_x6y11_framedata_o[19] ;
wire \tile_x6y11_framedata_o[1] ;
wire \tile_x6y11_framedata_o[20] ;
wire \tile_x6y11_framedata_o[21] ;
wire \tile_x6y11_framedata_o[22] ;
wire \tile_x6y11_framedata_o[23] ;
wire \tile_x6y11_framedata_o[24] ;
wire \tile_x6y11_framedata_o[25] ;
wire \tile_x6y11_framedata_o[26] ;
wire \tile_x6y11_framedata_o[27] ;
wire \tile_x6y11_framedata_o[28] ;
wire \tile_x6y11_framedata_o[29] ;
wire \tile_x6y11_framedata_o[2] ;
wire \tile_x6y11_framedata_o[30] ;
wire \tile_x6y11_framedata_o[31] ;
wire \tile_x6y11_framedata_o[3] ;
wire \tile_x6y11_framedata_o[4] ;
wire \tile_x6y11_framedata_o[5] ;
wire \tile_x6y11_framedata_o[6] ;
wire \tile_x6y11_framedata_o[7] ;
wire \tile_x6y11_framedata_o[8] ;
wire \tile_x6y11_framedata_o[9] ;
wire \tile_x6y11_framestrobe_o[0] ;
wire \tile_x6y11_framestrobe_o[10] ;
wire \tile_x6y11_framestrobe_o[11] ;
wire \tile_x6y11_framestrobe_o[12] ;
wire \tile_x6y11_framestrobe_o[13] ;
wire \tile_x6y11_framestrobe_o[14] ;
wire \tile_x6y11_framestrobe_o[15] ;
wire \tile_x6y11_framestrobe_o[16] ;
wire \tile_x6y11_framestrobe_o[17] ;
wire \tile_x6y11_framestrobe_o[18] ;
wire \tile_x6y11_framestrobe_o[19] ;
wire \tile_x6y11_framestrobe_o[1] ;
wire \tile_x6y11_framestrobe_o[2] ;
wire \tile_x6y11_framestrobe_o[3] ;
wire \tile_x6y11_framestrobe_o[4] ;
wire \tile_x6y11_framestrobe_o[5] ;
wire \tile_x6y11_framestrobe_o[6] ;
wire \tile_x6y11_framestrobe_o[7] ;
wire \tile_x6y11_framestrobe_o[8] ;
wire \tile_x6y11_framestrobe_o[9] ;
wire \tile_x6y11_n1beg[0] ;
wire \tile_x6y11_n1beg[1] ;
wire \tile_x6y11_n1beg[2] ;
wire \tile_x6y11_n1beg[3] ;
wire \tile_x6y11_n2beg[0] ;
wire \tile_x6y11_n2beg[1] ;
wire \tile_x6y11_n2beg[2] ;
wire \tile_x6y11_n2beg[3] ;
wire \tile_x6y11_n2beg[4] ;
wire \tile_x6y11_n2beg[5] ;
wire \tile_x6y11_n2beg[6] ;
wire \tile_x6y11_n2beg[7] ;
wire \tile_x6y11_n2begb[0] ;
wire \tile_x6y11_n2begb[1] ;
wire \tile_x6y11_n2begb[2] ;
wire \tile_x6y11_n2begb[3] ;
wire \tile_x6y11_n2begb[4] ;
wire \tile_x6y11_n2begb[5] ;
wire \tile_x6y11_n2begb[6] ;
wire \tile_x6y11_n2begb[7] ;
wire \tile_x6y11_n4beg[0] ;
wire \tile_x6y11_n4beg[10] ;
wire \tile_x6y11_n4beg[11] ;
wire \tile_x6y11_n4beg[12] ;
wire \tile_x6y11_n4beg[13] ;
wire \tile_x6y11_n4beg[14] ;
wire \tile_x6y11_n4beg[15] ;
wire \tile_x6y11_n4beg[1] ;
wire \tile_x6y11_n4beg[2] ;
wire \tile_x6y11_n4beg[3] ;
wire \tile_x6y11_n4beg[4] ;
wire \tile_x6y11_n4beg[5] ;
wire \tile_x6y11_n4beg[6] ;
wire \tile_x6y11_n4beg[7] ;
wire \tile_x6y11_n4beg[8] ;
wire \tile_x6y11_n4beg[9] ;
wire \tile_x6y11_nn4beg[0] ;
wire \tile_x6y11_nn4beg[10] ;
wire \tile_x6y11_nn4beg[11] ;
wire \tile_x6y11_nn4beg[12] ;
wire \tile_x6y11_nn4beg[13] ;
wire \tile_x6y11_nn4beg[14] ;
wire \tile_x6y11_nn4beg[15] ;
wire \tile_x6y11_nn4beg[1] ;
wire \tile_x6y11_nn4beg[2] ;
wire \tile_x6y11_nn4beg[3] ;
wire \tile_x6y11_nn4beg[4] ;
wire \tile_x6y11_nn4beg[5] ;
wire \tile_x6y11_nn4beg[6] ;
wire \tile_x6y11_nn4beg[7] ;
wire \tile_x6y11_nn4beg[8] ;
wire \tile_x6y11_nn4beg[9] ;
wire \tile_x6y11_s1beg[0] ;
wire \tile_x6y11_s1beg[1] ;
wire \tile_x6y11_s1beg[2] ;
wire \tile_x6y11_s1beg[3] ;
wire \tile_x6y11_s2beg[0] ;
wire \tile_x6y11_s2beg[1] ;
wire \tile_x6y11_s2beg[2] ;
wire \tile_x6y11_s2beg[3] ;
wire \tile_x6y11_s2beg[4] ;
wire \tile_x6y11_s2beg[5] ;
wire \tile_x6y11_s2beg[6] ;
wire \tile_x6y11_s2beg[7] ;
wire \tile_x6y11_s2begb[0] ;
wire \tile_x6y11_s2begb[1] ;
wire \tile_x6y11_s2begb[2] ;
wire \tile_x6y11_s2begb[3] ;
wire \tile_x6y11_s2begb[4] ;
wire \tile_x6y11_s2begb[5] ;
wire \tile_x6y11_s2begb[6] ;
wire \tile_x6y11_s2begb[7] ;
wire \tile_x6y11_s4beg[0] ;
wire \tile_x6y11_s4beg[10] ;
wire \tile_x6y11_s4beg[11] ;
wire \tile_x6y11_s4beg[12] ;
wire \tile_x6y11_s4beg[13] ;
wire \tile_x6y11_s4beg[14] ;
wire \tile_x6y11_s4beg[15] ;
wire \tile_x6y11_s4beg[1] ;
wire \tile_x6y11_s4beg[2] ;
wire \tile_x6y11_s4beg[3] ;
wire \tile_x6y11_s4beg[4] ;
wire \tile_x6y11_s4beg[5] ;
wire \tile_x6y11_s4beg[6] ;
wire \tile_x6y11_s4beg[7] ;
wire \tile_x6y11_s4beg[8] ;
wire \tile_x6y11_s4beg[9] ;
wire \tile_x6y11_ss4beg[0] ;
wire \tile_x6y11_ss4beg[10] ;
wire \tile_x6y11_ss4beg[11] ;
wire \tile_x6y11_ss4beg[12] ;
wire \tile_x6y11_ss4beg[13] ;
wire \tile_x6y11_ss4beg[14] ;
wire \tile_x6y11_ss4beg[15] ;
wire \tile_x6y11_ss4beg[1] ;
wire \tile_x6y11_ss4beg[2] ;
wire \tile_x6y11_ss4beg[3] ;
wire \tile_x6y11_ss4beg[4] ;
wire \tile_x6y11_ss4beg[5] ;
wire \tile_x6y11_ss4beg[6] ;
wire \tile_x6y11_ss4beg[7] ;
wire \tile_x6y11_ss4beg[8] ;
wire \tile_x6y11_ss4beg[9] ;
wire tile_x6y11_userclko;
wire \tile_x6y11_w1beg[0] ;
wire \tile_x6y11_w1beg[1] ;
wire \tile_x6y11_w1beg[2] ;
wire \tile_x6y11_w1beg[3] ;
wire \tile_x6y11_w2beg[0] ;
wire \tile_x6y11_w2beg[1] ;
wire \tile_x6y11_w2beg[2] ;
wire \tile_x6y11_w2beg[3] ;
wire \tile_x6y11_w2beg[4] ;
wire \tile_x6y11_w2beg[5] ;
wire \tile_x6y11_w2beg[6] ;
wire \tile_x6y11_w2beg[7] ;
wire \tile_x6y11_w2begb[0] ;
wire \tile_x6y11_w2begb[1] ;
wire \tile_x6y11_w2begb[2] ;
wire \tile_x6y11_w2begb[3] ;
wire \tile_x6y11_w2begb[4] ;
wire \tile_x6y11_w2begb[5] ;
wire \tile_x6y11_w2begb[6] ;
wire \tile_x6y11_w2begb[7] ;
wire \tile_x6y11_w6beg[0] ;
wire \tile_x6y11_w6beg[10] ;
wire \tile_x6y11_w6beg[11] ;
wire \tile_x6y11_w6beg[1] ;
wire \tile_x6y11_w6beg[2] ;
wire \tile_x6y11_w6beg[3] ;
wire \tile_x6y11_w6beg[4] ;
wire \tile_x6y11_w6beg[5] ;
wire \tile_x6y11_w6beg[6] ;
wire \tile_x6y11_w6beg[7] ;
wire \tile_x6y11_w6beg[8] ;
wire \tile_x6y11_w6beg[9] ;
wire \tile_x6y11_ww4beg[0] ;
wire \tile_x6y11_ww4beg[10] ;
wire \tile_x6y11_ww4beg[11] ;
wire \tile_x6y11_ww4beg[12] ;
wire \tile_x6y11_ww4beg[13] ;
wire \tile_x6y11_ww4beg[14] ;
wire \tile_x6y11_ww4beg[15] ;
wire \tile_x6y11_ww4beg[1] ;
wire \tile_x6y11_ww4beg[2] ;
wire \tile_x6y11_ww4beg[3] ;
wire \tile_x6y11_ww4beg[4] ;
wire \tile_x6y11_ww4beg[5] ;
wire \tile_x6y11_ww4beg[6] ;
wire \tile_x6y11_ww4beg[7] ;
wire \tile_x6y11_ww4beg[8] ;
wire \tile_x6y11_ww4beg[9] ;
wire tile_x6y12_co;
wire \tile_x6y12_e1beg[0] ;
wire \tile_x6y12_e1beg[1] ;
wire \tile_x6y12_e1beg[2] ;
wire \tile_x6y12_e1beg[3] ;
wire \tile_x6y12_e2beg[0] ;
wire \tile_x6y12_e2beg[1] ;
wire \tile_x6y12_e2beg[2] ;
wire \tile_x6y12_e2beg[3] ;
wire \tile_x6y12_e2beg[4] ;
wire \tile_x6y12_e2beg[5] ;
wire \tile_x6y12_e2beg[6] ;
wire \tile_x6y12_e2beg[7] ;
wire \tile_x6y12_e2begb[0] ;
wire \tile_x6y12_e2begb[1] ;
wire \tile_x6y12_e2begb[2] ;
wire \tile_x6y12_e2begb[3] ;
wire \tile_x6y12_e2begb[4] ;
wire \tile_x6y12_e2begb[5] ;
wire \tile_x6y12_e2begb[6] ;
wire \tile_x6y12_e2begb[7] ;
wire \tile_x6y12_e6beg[0] ;
wire \tile_x6y12_e6beg[10] ;
wire \tile_x6y12_e6beg[11] ;
wire \tile_x6y12_e6beg[1] ;
wire \tile_x6y12_e6beg[2] ;
wire \tile_x6y12_e6beg[3] ;
wire \tile_x6y12_e6beg[4] ;
wire \tile_x6y12_e6beg[5] ;
wire \tile_x6y12_e6beg[6] ;
wire \tile_x6y12_e6beg[7] ;
wire \tile_x6y12_e6beg[8] ;
wire \tile_x6y12_e6beg[9] ;
wire \tile_x6y12_ee4beg[0] ;
wire \tile_x6y12_ee4beg[10] ;
wire \tile_x6y12_ee4beg[11] ;
wire \tile_x6y12_ee4beg[12] ;
wire \tile_x6y12_ee4beg[13] ;
wire \tile_x6y12_ee4beg[14] ;
wire \tile_x6y12_ee4beg[15] ;
wire \tile_x6y12_ee4beg[1] ;
wire \tile_x6y12_ee4beg[2] ;
wire \tile_x6y12_ee4beg[3] ;
wire \tile_x6y12_ee4beg[4] ;
wire \tile_x6y12_ee4beg[5] ;
wire \tile_x6y12_ee4beg[6] ;
wire \tile_x6y12_ee4beg[7] ;
wire \tile_x6y12_ee4beg[8] ;
wire \tile_x6y12_ee4beg[9] ;
wire \tile_x6y12_framedata_o[0] ;
wire \tile_x6y12_framedata_o[10] ;
wire \tile_x6y12_framedata_o[11] ;
wire \tile_x6y12_framedata_o[12] ;
wire \tile_x6y12_framedata_o[13] ;
wire \tile_x6y12_framedata_o[14] ;
wire \tile_x6y12_framedata_o[15] ;
wire \tile_x6y12_framedata_o[16] ;
wire \tile_x6y12_framedata_o[17] ;
wire \tile_x6y12_framedata_o[18] ;
wire \tile_x6y12_framedata_o[19] ;
wire \tile_x6y12_framedata_o[1] ;
wire \tile_x6y12_framedata_o[20] ;
wire \tile_x6y12_framedata_o[21] ;
wire \tile_x6y12_framedata_o[22] ;
wire \tile_x6y12_framedata_o[23] ;
wire \tile_x6y12_framedata_o[24] ;
wire \tile_x6y12_framedata_o[25] ;
wire \tile_x6y12_framedata_o[26] ;
wire \tile_x6y12_framedata_o[27] ;
wire \tile_x6y12_framedata_o[28] ;
wire \tile_x6y12_framedata_o[29] ;
wire \tile_x6y12_framedata_o[2] ;
wire \tile_x6y12_framedata_o[30] ;
wire \tile_x6y12_framedata_o[31] ;
wire \tile_x6y12_framedata_o[3] ;
wire \tile_x6y12_framedata_o[4] ;
wire \tile_x6y12_framedata_o[5] ;
wire \tile_x6y12_framedata_o[6] ;
wire \tile_x6y12_framedata_o[7] ;
wire \tile_x6y12_framedata_o[8] ;
wire \tile_x6y12_framedata_o[9] ;
wire \tile_x6y12_framestrobe_o[0] ;
wire \tile_x6y12_framestrobe_o[10] ;
wire \tile_x6y12_framestrobe_o[11] ;
wire \tile_x6y12_framestrobe_o[12] ;
wire \tile_x6y12_framestrobe_o[13] ;
wire \tile_x6y12_framestrobe_o[14] ;
wire \tile_x6y12_framestrobe_o[15] ;
wire \tile_x6y12_framestrobe_o[16] ;
wire \tile_x6y12_framestrobe_o[17] ;
wire \tile_x6y12_framestrobe_o[18] ;
wire \tile_x6y12_framestrobe_o[19] ;
wire \tile_x6y12_framestrobe_o[1] ;
wire \tile_x6y12_framestrobe_o[2] ;
wire \tile_x6y12_framestrobe_o[3] ;
wire \tile_x6y12_framestrobe_o[4] ;
wire \tile_x6y12_framestrobe_o[5] ;
wire \tile_x6y12_framestrobe_o[6] ;
wire \tile_x6y12_framestrobe_o[7] ;
wire \tile_x6y12_framestrobe_o[8] ;
wire \tile_x6y12_framestrobe_o[9] ;
wire \tile_x6y12_n1beg[0] ;
wire \tile_x6y12_n1beg[1] ;
wire \tile_x6y12_n1beg[2] ;
wire \tile_x6y12_n1beg[3] ;
wire \tile_x6y12_n2beg[0] ;
wire \tile_x6y12_n2beg[1] ;
wire \tile_x6y12_n2beg[2] ;
wire \tile_x6y12_n2beg[3] ;
wire \tile_x6y12_n2beg[4] ;
wire \tile_x6y12_n2beg[5] ;
wire \tile_x6y12_n2beg[6] ;
wire \tile_x6y12_n2beg[7] ;
wire \tile_x6y12_n2begb[0] ;
wire \tile_x6y12_n2begb[1] ;
wire \tile_x6y12_n2begb[2] ;
wire \tile_x6y12_n2begb[3] ;
wire \tile_x6y12_n2begb[4] ;
wire \tile_x6y12_n2begb[5] ;
wire \tile_x6y12_n2begb[6] ;
wire \tile_x6y12_n2begb[7] ;
wire \tile_x6y12_n4beg[0] ;
wire \tile_x6y12_n4beg[10] ;
wire \tile_x6y12_n4beg[11] ;
wire \tile_x6y12_n4beg[12] ;
wire \tile_x6y12_n4beg[13] ;
wire \tile_x6y12_n4beg[14] ;
wire \tile_x6y12_n4beg[15] ;
wire \tile_x6y12_n4beg[1] ;
wire \tile_x6y12_n4beg[2] ;
wire \tile_x6y12_n4beg[3] ;
wire \tile_x6y12_n4beg[4] ;
wire \tile_x6y12_n4beg[5] ;
wire \tile_x6y12_n4beg[6] ;
wire \tile_x6y12_n4beg[7] ;
wire \tile_x6y12_n4beg[8] ;
wire \tile_x6y12_n4beg[9] ;
wire \tile_x6y12_nn4beg[0] ;
wire \tile_x6y12_nn4beg[10] ;
wire \tile_x6y12_nn4beg[11] ;
wire \tile_x6y12_nn4beg[12] ;
wire \tile_x6y12_nn4beg[13] ;
wire \tile_x6y12_nn4beg[14] ;
wire \tile_x6y12_nn4beg[15] ;
wire \tile_x6y12_nn4beg[1] ;
wire \tile_x6y12_nn4beg[2] ;
wire \tile_x6y12_nn4beg[3] ;
wire \tile_x6y12_nn4beg[4] ;
wire \tile_x6y12_nn4beg[5] ;
wire \tile_x6y12_nn4beg[6] ;
wire \tile_x6y12_nn4beg[7] ;
wire \tile_x6y12_nn4beg[8] ;
wire \tile_x6y12_nn4beg[9] ;
wire \tile_x6y12_s1beg[0] ;
wire \tile_x6y12_s1beg[1] ;
wire \tile_x6y12_s1beg[2] ;
wire \tile_x6y12_s1beg[3] ;
wire \tile_x6y12_s2beg[0] ;
wire \tile_x6y12_s2beg[1] ;
wire \tile_x6y12_s2beg[2] ;
wire \tile_x6y12_s2beg[3] ;
wire \tile_x6y12_s2beg[4] ;
wire \tile_x6y12_s2beg[5] ;
wire \tile_x6y12_s2beg[6] ;
wire \tile_x6y12_s2beg[7] ;
wire \tile_x6y12_s2begb[0] ;
wire \tile_x6y12_s2begb[1] ;
wire \tile_x6y12_s2begb[2] ;
wire \tile_x6y12_s2begb[3] ;
wire \tile_x6y12_s2begb[4] ;
wire \tile_x6y12_s2begb[5] ;
wire \tile_x6y12_s2begb[6] ;
wire \tile_x6y12_s2begb[7] ;
wire \tile_x6y12_s4beg[0] ;
wire \tile_x6y12_s4beg[10] ;
wire \tile_x6y12_s4beg[11] ;
wire \tile_x6y12_s4beg[12] ;
wire \tile_x6y12_s4beg[13] ;
wire \tile_x6y12_s4beg[14] ;
wire \tile_x6y12_s4beg[15] ;
wire \tile_x6y12_s4beg[1] ;
wire \tile_x6y12_s4beg[2] ;
wire \tile_x6y12_s4beg[3] ;
wire \tile_x6y12_s4beg[4] ;
wire \tile_x6y12_s4beg[5] ;
wire \tile_x6y12_s4beg[6] ;
wire \tile_x6y12_s4beg[7] ;
wire \tile_x6y12_s4beg[8] ;
wire \tile_x6y12_s4beg[9] ;
wire \tile_x6y12_ss4beg[0] ;
wire \tile_x6y12_ss4beg[10] ;
wire \tile_x6y12_ss4beg[11] ;
wire \tile_x6y12_ss4beg[12] ;
wire \tile_x6y12_ss4beg[13] ;
wire \tile_x6y12_ss4beg[14] ;
wire \tile_x6y12_ss4beg[15] ;
wire \tile_x6y12_ss4beg[1] ;
wire \tile_x6y12_ss4beg[2] ;
wire \tile_x6y12_ss4beg[3] ;
wire \tile_x6y12_ss4beg[4] ;
wire \tile_x6y12_ss4beg[5] ;
wire \tile_x6y12_ss4beg[6] ;
wire \tile_x6y12_ss4beg[7] ;
wire \tile_x6y12_ss4beg[8] ;
wire \tile_x6y12_ss4beg[9] ;
wire tile_x6y12_userclko;
wire \tile_x6y12_w1beg[0] ;
wire \tile_x6y12_w1beg[1] ;
wire \tile_x6y12_w1beg[2] ;
wire \tile_x6y12_w1beg[3] ;
wire \tile_x6y12_w2beg[0] ;
wire \tile_x6y12_w2beg[1] ;
wire \tile_x6y12_w2beg[2] ;
wire \tile_x6y12_w2beg[3] ;
wire \tile_x6y12_w2beg[4] ;
wire \tile_x6y12_w2beg[5] ;
wire \tile_x6y12_w2beg[6] ;
wire \tile_x6y12_w2beg[7] ;
wire \tile_x6y12_w2begb[0] ;
wire \tile_x6y12_w2begb[1] ;
wire \tile_x6y12_w2begb[2] ;
wire \tile_x6y12_w2begb[3] ;
wire \tile_x6y12_w2begb[4] ;
wire \tile_x6y12_w2begb[5] ;
wire \tile_x6y12_w2begb[6] ;
wire \tile_x6y12_w2begb[7] ;
wire \tile_x6y12_w6beg[0] ;
wire \tile_x6y12_w6beg[10] ;
wire \tile_x6y12_w6beg[11] ;
wire \tile_x6y12_w6beg[1] ;
wire \tile_x6y12_w6beg[2] ;
wire \tile_x6y12_w6beg[3] ;
wire \tile_x6y12_w6beg[4] ;
wire \tile_x6y12_w6beg[5] ;
wire \tile_x6y12_w6beg[6] ;
wire \tile_x6y12_w6beg[7] ;
wire \tile_x6y12_w6beg[8] ;
wire \tile_x6y12_w6beg[9] ;
wire \tile_x6y12_ww4beg[0] ;
wire \tile_x6y12_ww4beg[10] ;
wire \tile_x6y12_ww4beg[11] ;
wire \tile_x6y12_ww4beg[12] ;
wire \tile_x6y12_ww4beg[13] ;
wire \tile_x6y12_ww4beg[14] ;
wire \tile_x6y12_ww4beg[15] ;
wire \tile_x6y12_ww4beg[1] ;
wire \tile_x6y12_ww4beg[2] ;
wire \tile_x6y12_ww4beg[3] ;
wire \tile_x6y12_ww4beg[4] ;
wire \tile_x6y12_ww4beg[5] ;
wire \tile_x6y12_ww4beg[6] ;
wire \tile_x6y12_ww4beg[7] ;
wire \tile_x6y12_ww4beg[8] ;
wire \tile_x6y12_ww4beg[9] ;
wire tile_x6y13_co;
wire \tile_x6y13_e1beg[0] ;
wire \tile_x6y13_e1beg[1] ;
wire \tile_x6y13_e1beg[2] ;
wire \tile_x6y13_e1beg[3] ;
wire \tile_x6y13_e2beg[0] ;
wire \tile_x6y13_e2beg[1] ;
wire \tile_x6y13_e2beg[2] ;
wire \tile_x6y13_e2beg[3] ;
wire \tile_x6y13_e2beg[4] ;
wire \tile_x6y13_e2beg[5] ;
wire \tile_x6y13_e2beg[6] ;
wire \tile_x6y13_e2beg[7] ;
wire \tile_x6y13_e2begb[0] ;
wire \tile_x6y13_e2begb[1] ;
wire \tile_x6y13_e2begb[2] ;
wire \tile_x6y13_e2begb[3] ;
wire \tile_x6y13_e2begb[4] ;
wire \tile_x6y13_e2begb[5] ;
wire \tile_x6y13_e2begb[6] ;
wire \tile_x6y13_e2begb[7] ;
wire \tile_x6y13_e6beg[0] ;
wire \tile_x6y13_e6beg[10] ;
wire \tile_x6y13_e6beg[11] ;
wire \tile_x6y13_e6beg[1] ;
wire \tile_x6y13_e6beg[2] ;
wire \tile_x6y13_e6beg[3] ;
wire \tile_x6y13_e6beg[4] ;
wire \tile_x6y13_e6beg[5] ;
wire \tile_x6y13_e6beg[6] ;
wire \tile_x6y13_e6beg[7] ;
wire \tile_x6y13_e6beg[8] ;
wire \tile_x6y13_e6beg[9] ;
wire \tile_x6y13_ee4beg[0] ;
wire \tile_x6y13_ee4beg[10] ;
wire \tile_x6y13_ee4beg[11] ;
wire \tile_x6y13_ee4beg[12] ;
wire \tile_x6y13_ee4beg[13] ;
wire \tile_x6y13_ee4beg[14] ;
wire \tile_x6y13_ee4beg[15] ;
wire \tile_x6y13_ee4beg[1] ;
wire \tile_x6y13_ee4beg[2] ;
wire \tile_x6y13_ee4beg[3] ;
wire \tile_x6y13_ee4beg[4] ;
wire \tile_x6y13_ee4beg[5] ;
wire \tile_x6y13_ee4beg[6] ;
wire \tile_x6y13_ee4beg[7] ;
wire \tile_x6y13_ee4beg[8] ;
wire \tile_x6y13_ee4beg[9] ;
wire \tile_x6y13_framedata_o[0] ;
wire \tile_x6y13_framedata_o[10] ;
wire \tile_x6y13_framedata_o[11] ;
wire \tile_x6y13_framedata_o[12] ;
wire \tile_x6y13_framedata_o[13] ;
wire \tile_x6y13_framedata_o[14] ;
wire \tile_x6y13_framedata_o[15] ;
wire \tile_x6y13_framedata_o[16] ;
wire \tile_x6y13_framedata_o[17] ;
wire \tile_x6y13_framedata_o[18] ;
wire \tile_x6y13_framedata_o[19] ;
wire \tile_x6y13_framedata_o[1] ;
wire \tile_x6y13_framedata_o[20] ;
wire \tile_x6y13_framedata_o[21] ;
wire \tile_x6y13_framedata_o[22] ;
wire \tile_x6y13_framedata_o[23] ;
wire \tile_x6y13_framedata_o[24] ;
wire \tile_x6y13_framedata_o[25] ;
wire \tile_x6y13_framedata_o[26] ;
wire \tile_x6y13_framedata_o[27] ;
wire \tile_x6y13_framedata_o[28] ;
wire \tile_x6y13_framedata_o[29] ;
wire \tile_x6y13_framedata_o[2] ;
wire \tile_x6y13_framedata_o[30] ;
wire \tile_x6y13_framedata_o[31] ;
wire \tile_x6y13_framedata_o[3] ;
wire \tile_x6y13_framedata_o[4] ;
wire \tile_x6y13_framedata_o[5] ;
wire \tile_x6y13_framedata_o[6] ;
wire \tile_x6y13_framedata_o[7] ;
wire \tile_x6y13_framedata_o[8] ;
wire \tile_x6y13_framedata_o[9] ;
wire \tile_x6y13_framestrobe_o[0] ;
wire \tile_x6y13_framestrobe_o[10] ;
wire \tile_x6y13_framestrobe_o[11] ;
wire \tile_x6y13_framestrobe_o[12] ;
wire \tile_x6y13_framestrobe_o[13] ;
wire \tile_x6y13_framestrobe_o[14] ;
wire \tile_x6y13_framestrobe_o[15] ;
wire \tile_x6y13_framestrobe_o[16] ;
wire \tile_x6y13_framestrobe_o[17] ;
wire \tile_x6y13_framestrobe_o[18] ;
wire \tile_x6y13_framestrobe_o[19] ;
wire \tile_x6y13_framestrobe_o[1] ;
wire \tile_x6y13_framestrobe_o[2] ;
wire \tile_x6y13_framestrobe_o[3] ;
wire \tile_x6y13_framestrobe_o[4] ;
wire \tile_x6y13_framestrobe_o[5] ;
wire \tile_x6y13_framestrobe_o[6] ;
wire \tile_x6y13_framestrobe_o[7] ;
wire \tile_x6y13_framestrobe_o[8] ;
wire \tile_x6y13_framestrobe_o[9] ;
wire \tile_x6y13_n1beg[0] ;
wire \tile_x6y13_n1beg[1] ;
wire \tile_x6y13_n1beg[2] ;
wire \tile_x6y13_n1beg[3] ;
wire \tile_x6y13_n2beg[0] ;
wire \tile_x6y13_n2beg[1] ;
wire \tile_x6y13_n2beg[2] ;
wire \tile_x6y13_n2beg[3] ;
wire \tile_x6y13_n2beg[4] ;
wire \tile_x6y13_n2beg[5] ;
wire \tile_x6y13_n2beg[6] ;
wire \tile_x6y13_n2beg[7] ;
wire \tile_x6y13_n2begb[0] ;
wire \tile_x6y13_n2begb[1] ;
wire \tile_x6y13_n2begb[2] ;
wire \tile_x6y13_n2begb[3] ;
wire \tile_x6y13_n2begb[4] ;
wire \tile_x6y13_n2begb[5] ;
wire \tile_x6y13_n2begb[6] ;
wire \tile_x6y13_n2begb[7] ;
wire \tile_x6y13_n4beg[0] ;
wire \tile_x6y13_n4beg[10] ;
wire \tile_x6y13_n4beg[11] ;
wire \tile_x6y13_n4beg[12] ;
wire \tile_x6y13_n4beg[13] ;
wire \tile_x6y13_n4beg[14] ;
wire \tile_x6y13_n4beg[15] ;
wire \tile_x6y13_n4beg[1] ;
wire \tile_x6y13_n4beg[2] ;
wire \tile_x6y13_n4beg[3] ;
wire \tile_x6y13_n4beg[4] ;
wire \tile_x6y13_n4beg[5] ;
wire \tile_x6y13_n4beg[6] ;
wire \tile_x6y13_n4beg[7] ;
wire \tile_x6y13_n4beg[8] ;
wire \tile_x6y13_n4beg[9] ;
wire \tile_x6y13_nn4beg[0] ;
wire \tile_x6y13_nn4beg[10] ;
wire \tile_x6y13_nn4beg[11] ;
wire \tile_x6y13_nn4beg[12] ;
wire \tile_x6y13_nn4beg[13] ;
wire \tile_x6y13_nn4beg[14] ;
wire \tile_x6y13_nn4beg[15] ;
wire \tile_x6y13_nn4beg[1] ;
wire \tile_x6y13_nn4beg[2] ;
wire \tile_x6y13_nn4beg[3] ;
wire \tile_x6y13_nn4beg[4] ;
wire \tile_x6y13_nn4beg[5] ;
wire \tile_x6y13_nn4beg[6] ;
wire \tile_x6y13_nn4beg[7] ;
wire \tile_x6y13_nn4beg[8] ;
wire \tile_x6y13_nn4beg[9] ;
wire \tile_x6y13_s1beg[0] ;
wire \tile_x6y13_s1beg[1] ;
wire \tile_x6y13_s1beg[2] ;
wire \tile_x6y13_s1beg[3] ;
wire \tile_x6y13_s2beg[0] ;
wire \tile_x6y13_s2beg[1] ;
wire \tile_x6y13_s2beg[2] ;
wire \tile_x6y13_s2beg[3] ;
wire \tile_x6y13_s2beg[4] ;
wire \tile_x6y13_s2beg[5] ;
wire \tile_x6y13_s2beg[6] ;
wire \tile_x6y13_s2beg[7] ;
wire \tile_x6y13_s2begb[0] ;
wire \tile_x6y13_s2begb[1] ;
wire \tile_x6y13_s2begb[2] ;
wire \tile_x6y13_s2begb[3] ;
wire \tile_x6y13_s2begb[4] ;
wire \tile_x6y13_s2begb[5] ;
wire \tile_x6y13_s2begb[6] ;
wire \tile_x6y13_s2begb[7] ;
wire \tile_x6y13_s4beg[0] ;
wire \tile_x6y13_s4beg[10] ;
wire \tile_x6y13_s4beg[11] ;
wire \tile_x6y13_s4beg[12] ;
wire \tile_x6y13_s4beg[13] ;
wire \tile_x6y13_s4beg[14] ;
wire \tile_x6y13_s4beg[15] ;
wire \tile_x6y13_s4beg[1] ;
wire \tile_x6y13_s4beg[2] ;
wire \tile_x6y13_s4beg[3] ;
wire \tile_x6y13_s4beg[4] ;
wire \tile_x6y13_s4beg[5] ;
wire \tile_x6y13_s4beg[6] ;
wire \tile_x6y13_s4beg[7] ;
wire \tile_x6y13_s4beg[8] ;
wire \tile_x6y13_s4beg[9] ;
wire \tile_x6y13_ss4beg[0] ;
wire \tile_x6y13_ss4beg[10] ;
wire \tile_x6y13_ss4beg[11] ;
wire \tile_x6y13_ss4beg[12] ;
wire \tile_x6y13_ss4beg[13] ;
wire \tile_x6y13_ss4beg[14] ;
wire \tile_x6y13_ss4beg[15] ;
wire \tile_x6y13_ss4beg[1] ;
wire \tile_x6y13_ss4beg[2] ;
wire \tile_x6y13_ss4beg[3] ;
wire \tile_x6y13_ss4beg[4] ;
wire \tile_x6y13_ss4beg[5] ;
wire \tile_x6y13_ss4beg[6] ;
wire \tile_x6y13_ss4beg[7] ;
wire \tile_x6y13_ss4beg[8] ;
wire \tile_x6y13_ss4beg[9] ;
wire tile_x6y13_userclko;
wire \tile_x6y13_w1beg[0] ;
wire \tile_x6y13_w1beg[1] ;
wire \tile_x6y13_w1beg[2] ;
wire \tile_x6y13_w1beg[3] ;
wire \tile_x6y13_w2beg[0] ;
wire \tile_x6y13_w2beg[1] ;
wire \tile_x6y13_w2beg[2] ;
wire \tile_x6y13_w2beg[3] ;
wire \tile_x6y13_w2beg[4] ;
wire \tile_x6y13_w2beg[5] ;
wire \tile_x6y13_w2beg[6] ;
wire \tile_x6y13_w2beg[7] ;
wire \tile_x6y13_w2begb[0] ;
wire \tile_x6y13_w2begb[1] ;
wire \tile_x6y13_w2begb[2] ;
wire \tile_x6y13_w2begb[3] ;
wire \tile_x6y13_w2begb[4] ;
wire \tile_x6y13_w2begb[5] ;
wire \tile_x6y13_w2begb[6] ;
wire \tile_x6y13_w2begb[7] ;
wire \tile_x6y13_w6beg[0] ;
wire \tile_x6y13_w6beg[10] ;
wire \tile_x6y13_w6beg[11] ;
wire \tile_x6y13_w6beg[1] ;
wire \tile_x6y13_w6beg[2] ;
wire \tile_x6y13_w6beg[3] ;
wire \tile_x6y13_w6beg[4] ;
wire \tile_x6y13_w6beg[5] ;
wire \tile_x6y13_w6beg[6] ;
wire \tile_x6y13_w6beg[7] ;
wire \tile_x6y13_w6beg[8] ;
wire \tile_x6y13_w6beg[9] ;
wire \tile_x6y13_ww4beg[0] ;
wire \tile_x6y13_ww4beg[10] ;
wire \tile_x6y13_ww4beg[11] ;
wire \tile_x6y13_ww4beg[12] ;
wire \tile_x6y13_ww4beg[13] ;
wire \tile_x6y13_ww4beg[14] ;
wire \tile_x6y13_ww4beg[15] ;
wire \tile_x6y13_ww4beg[1] ;
wire \tile_x6y13_ww4beg[2] ;
wire \tile_x6y13_ww4beg[3] ;
wire \tile_x6y13_ww4beg[4] ;
wire \tile_x6y13_ww4beg[5] ;
wire \tile_x6y13_ww4beg[6] ;
wire \tile_x6y13_ww4beg[7] ;
wire \tile_x6y13_ww4beg[8] ;
wire \tile_x6y13_ww4beg[9] ;
wire tile_x6y14_co;
wire \tile_x6y14_e1beg[0] ;
wire \tile_x6y14_e1beg[1] ;
wire \tile_x6y14_e1beg[2] ;
wire \tile_x6y14_e1beg[3] ;
wire \tile_x6y14_e2beg[0] ;
wire \tile_x6y14_e2beg[1] ;
wire \tile_x6y14_e2beg[2] ;
wire \tile_x6y14_e2beg[3] ;
wire \tile_x6y14_e2beg[4] ;
wire \tile_x6y14_e2beg[5] ;
wire \tile_x6y14_e2beg[6] ;
wire \tile_x6y14_e2beg[7] ;
wire \tile_x6y14_e2begb[0] ;
wire \tile_x6y14_e2begb[1] ;
wire \tile_x6y14_e2begb[2] ;
wire \tile_x6y14_e2begb[3] ;
wire \tile_x6y14_e2begb[4] ;
wire \tile_x6y14_e2begb[5] ;
wire \tile_x6y14_e2begb[6] ;
wire \tile_x6y14_e2begb[7] ;
wire \tile_x6y14_e6beg[0] ;
wire \tile_x6y14_e6beg[10] ;
wire \tile_x6y14_e6beg[11] ;
wire \tile_x6y14_e6beg[1] ;
wire \tile_x6y14_e6beg[2] ;
wire \tile_x6y14_e6beg[3] ;
wire \tile_x6y14_e6beg[4] ;
wire \tile_x6y14_e6beg[5] ;
wire \tile_x6y14_e6beg[6] ;
wire \tile_x6y14_e6beg[7] ;
wire \tile_x6y14_e6beg[8] ;
wire \tile_x6y14_e6beg[9] ;
wire \tile_x6y14_ee4beg[0] ;
wire \tile_x6y14_ee4beg[10] ;
wire \tile_x6y14_ee4beg[11] ;
wire \tile_x6y14_ee4beg[12] ;
wire \tile_x6y14_ee4beg[13] ;
wire \tile_x6y14_ee4beg[14] ;
wire \tile_x6y14_ee4beg[15] ;
wire \tile_x6y14_ee4beg[1] ;
wire \tile_x6y14_ee4beg[2] ;
wire \tile_x6y14_ee4beg[3] ;
wire \tile_x6y14_ee4beg[4] ;
wire \tile_x6y14_ee4beg[5] ;
wire \tile_x6y14_ee4beg[6] ;
wire \tile_x6y14_ee4beg[7] ;
wire \tile_x6y14_ee4beg[8] ;
wire \tile_x6y14_ee4beg[9] ;
wire \tile_x6y14_framedata_o[0] ;
wire \tile_x6y14_framedata_o[10] ;
wire \tile_x6y14_framedata_o[11] ;
wire \tile_x6y14_framedata_o[12] ;
wire \tile_x6y14_framedata_o[13] ;
wire \tile_x6y14_framedata_o[14] ;
wire \tile_x6y14_framedata_o[15] ;
wire \tile_x6y14_framedata_o[16] ;
wire \tile_x6y14_framedata_o[17] ;
wire \tile_x6y14_framedata_o[18] ;
wire \tile_x6y14_framedata_o[19] ;
wire \tile_x6y14_framedata_o[1] ;
wire \tile_x6y14_framedata_o[20] ;
wire \tile_x6y14_framedata_o[21] ;
wire \tile_x6y14_framedata_o[22] ;
wire \tile_x6y14_framedata_o[23] ;
wire \tile_x6y14_framedata_o[24] ;
wire \tile_x6y14_framedata_o[25] ;
wire \tile_x6y14_framedata_o[26] ;
wire \tile_x6y14_framedata_o[27] ;
wire \tile_x6y14_framedata_o[28] ;
wire \tile_x6y14_framedata_o[29] ;
wire \tile_x6y14_framedata_o[2] ;
wire \tile_x6y14_framedata_o[30] ;
wire \tile_x6y14_framedata_o[31] ;
wire \tile_x6y14_framedata_o[3] ;
wire \tile_x6y14_framedata_o[4] ;
wire \tile_x6y14_framedata_o[5] ;
wire \tile_x6y14_framedata_o[6] ;
wire \tile_x6y14_framedata_o[7] ;
wire \tile_x6y14_framedata_o[8] ;
wire \tile_x6y14_framedata_o[9] ;
wire \tile_x6y14_framestrobe_o[0] ;
wire \tile_x6y14_framestrobe_o[10] ;
wire \tile_x6y14_framestrobe_o[11] ;
wire \tile_x6y14_framestrobe_o[12] ;
wire \tile_x6y14_framestrobe_o[13] ;
wire \tile_x6y14_framestrobe_o[14] ;
wire \tile_x6y14_framestrobe_o[15] ;
wire \tile_x6y14_framestrobe_o[16] ;
wire \tile_x6y14_framestrobe_o[17] ;
wire \tile_x6y14_framestrobe_o[18] ;
wire \tile_x6y14_framestrobe_o[19] ;
wire \tile_x6y14_framestrobe_o[1] ;
wire \tile_x6y14_framestrobe_o[2] ;
wire \tile_x6y14_framestrobe_o[3] ;
wire \tile_x6y14_framestrobe_o[4] ;
wire \tile_x6y14_framestrobe_o[5] ;
wire \tile_x6y14_framestrobe_o[6] ;
wire \tile_x6y14_framestrobe_o[7] ;
wire \tile_x6y14_framestrobe_o[8] ;
wire \tile_x6y14_framestrobe_o[9] ;
wire \tile_x6y14_n1beg[0] ;
wire \tile_x6y14_n1beg[1] ;
wire \tile_x6y14_n1beg[2] ;
wire \tile_x6y14_n1beg[3] ;
wire \tile_x6y14_n2beg[0] ;
wire \tile_x6y14_n2beg[1] ;
wire \tile_x6y14_n2beg[2] ;
wire \tile_x6y14_n2beg[3] ;
wire \tile_x6y14_n2beg[4] ;
wire \tile_x6y14_n2beg[5] ;
wire \tile_x6y14_n2beg[6] ;
wire \tile_x6y14_n2beg[7] ;
wire \tile_x6y14_n2begb[0] ;
wire \tile_x6y14_n2begb[1] ;
wire \tile_x6y14_n2begb[2] ;
wire \tile_x6y14_n2begb[3] ;
wire \tile_x6y14_n2begb[4] ;
wire \tile_x6y14_n2begb[5] ;
wire \tile_x6y14_n2begb[6] ;
wire \tile_x6y14_n2begb[7] ;
wire \tile_x6y14_n4beg[0] ;
wire \tile_x6y14_n4beg[10] ;
wire \tile_x6y14_n4beg[11] ;
wire \tile_x6y14_n4beg[12] ;
wire \tile_x6y14_n4beg[13] ;
wire \tile_x6y14_n4beg[14] ;
wire \tile_x6y14_n4beg[15] ;
wire \tile_x6y14_n4beg[1] ;
wire \tile_x6y14_n4beg[2] ;
wire \tile_x6y14_n4beg[3] ;
wire \tile_x6y14_n4beg[4] ;
wire \tile_x6y14_n4beg[5] ;
wire \tile_x6y14_n4beg[6] ;
wire \tile_x6y14_n4beg[7] ;
wire \tile_x6y14_n4beg[8] ;
wire \tile_x6y14_n4beg[9] ;
wire \tile_x6y14_nn4beg[0] ;
wire \tile_x6y14_nn4beg[10] ;
wire \tile_x6y14_nn4beg[11] ;
wire \tile_x6y14_nn4beg[12] ;
wire \tile_x6y14_nn4beg[13] ;
wire \tile_x6y14_nn4beg[14] ;
wire \tile_x6y14_nn4beg[15] ;
wire \tile_x6y14_nn4beg[1] ;
wire \tile_x6y14_nn4beg[2] ;
wire \tile_x6y14_nn4beg[3] ;
wire \tile_x6y14_nn4beg[4] ;
wire \tile_x6y14_nn4beg[5] ;
wire \tile_x6y14_nn4beg[6] ;
wire \tile_x6y14_nn4beg[7] ;
wire \tile_x6y14_nn4beg[8] ;
wire \tile_x6y14_nn4beg[9] ;
wire \tile_x6y14_s1beg[0] ;
wire \tile_x6y14_s1beg[1] ;
wire \tile_x6y14_s1beg[2] ;
wire \tile_x6y14_s1beg[3] ;
wire \tile_x6y14_s2beg[0] ;
wire \tile_x6y14_s2beg[1] ;
wire \tile_x6y14_s2beg[2] ;
wire \tile_x6y14_s2beg[3] ;
wire \tile_x6y14_s2beg[4] ;
wire \tile_x6y14_s2beg[5] ;
wire \tile_x6y14_s2beg[6] ;
wire \tile_x6y14_s2beg[7] ;
wire \tile_x6y14_s2begb[0] ;
wire \tile_x6y14_s2begb[1] ;
wire \tile_x6y14_s2begb[2] ;
wire \tile_x6y14_s2begb[3] ;
wire \tile_x6y14_s2begb[4] ;
wire \tile_x6y14_s2begb[5] ;
wire \tile_x6y14_s2begb[6] ;
wire \tile_x6y14_s2begb[7] ;
wire \tile_x6y14_s4beg[0] ;
wire \tile_x6y14_s4beg[10] ;
wire \tile_x6y14_s4beg[11] ;
wire \tile_x6y14_s4beg[12] ;
wire \tile_x6y14_s4beg[13] ;
wire \tile_x6y14_s4beg[14] ;
wire \tile_x6y14_s4beg[15] ;
wire \tile_x6y14_s4beg[1] ;
wire \tile_x6y14_s4beg[2] ;
wire \tile_x6y14_s4beg[3] ;
wire \tile_x6y14_s4beg[4] ;
wire \tile_x6y14_s4beg[5] ;
wire \tile_x6y14_s4beg[6] ;
wire \tile_x6y14_s4beg[7] ;
wire \tile_x6y14_s4beg[8] ;
wire \tile_x6y14_s4beg[9] ;
wire \tile_x6y14_ss4beg[0] ;
wire \tile_x6y14_ss4beg[10] ;
wire \tile_x6y14_ss4beg[11] ;
wire \tile_x6y14_ss4beg[12] ;
wire \tile_x6y14_ss4beg[13] ;
wire \tile_x6y14_ss4beg[14] ;
wire \tile_x6y14_ss4beg[15] ;
wire \tile_x6y14_ss4beg[1] ;
wire \tile_x6y14_ss4beg[2] ;
wire \tile_x6y14_ss4beg[3] ;
wire \tile_x6y14_ss4beg[4] ;
wire \tile_x6y14_ss4beg[5] ;
wire \tile_x6y14_ss4beg[6] ;
wire \tile_x6y14_ss4beg[7] ;
wire \tile_x6y14_ss4beg[8] ;
wire \tile_x6y14_ss4beg[9] ;
wire tile_x6y14_userclko;
wire \tile_x6y14_w1beg[0] ;
wire \tile_x6y14_w1beg[1] ;
wire \tile_x6y14_w1beg[2] ;
wire \tile_x6y14_w1beg[3] ;
wire \tile_x6y14_w2beg[0] ;
wire \tile_x6y14_w2beg[1] ;
wire \tile_x6y14_w2beg[2] ;
wire \tile_x6y14_w2beg[3] ;
wire \tile_x6y14_w2beg[4] ;
wire \tile_x6y14_w2beg[5] ;
wire \tile_x6y14_w2beg[6] ;
wire \tile_x6y14_w2beg[7] ;
wire \tile_x6y14_w2begb[0] ;
wire \tile_x6y14_w2begb[1] ;
wire \tile_x6y14_w2begb[2] ;
wire \tile_x6y14_w2begb[3] ;
wire \tile_x6y14_w2begb[4] ;
wire \tile_x6y14_w2begb[5] ;
wire \tile_x6y14_w2begb[6] ;
wire \tile_x6y14_w2begb[7] ;
wire \tile_x6y14_w6beg[0] ;
wire \tile_x6y14_w6beg[10] ;
wire \tile_x6y14_w6beg[11] ;
wire \tile_x6y14_w6beg[1] ;
wire \tile_x6y14_w6beg[2] ;
wire \tile_x6y14_w6beg[3] ;
wire \tile_x6y14_w6beg[4] ;
wire \tile_x6y14_w6beg[5] ;
wire \tile_x6y14_w6beg[6] ;
wire \tile_x6y14_w6beg[7] ;
wire \tile_x6y14_w6beg[8] ;
wire \tile_x6y14_w6beg[9] ;
wire \tile_x6y14_ww4beg[0] ;
wire \tile_x6y14_ww4beg[10] ;
wire \tile_x6y14_ww4beg[11] ;
wire \tile_x6y14_ww4beg[12] ;
wire \tile_x6y14_ww4beg[13] ;
wire \tile_x6y14_ww4beg[14] ;
wire \tile_x6y14_ww4beg[15] ;
wire \tile_x6y14_ww4beg[1] ;
wire \tile_x6y14_ww4beg[2] ;
wire \tile_x6y14_ww4beg[3] ;
wire \tile_x6y14_ww4beg[4] ;
wire \tile_x6y14_ww4beg[5] ;
wire \tile_x6y14_ww4beg[6] ;
wire \tile_x6y14_ww4beg[7] ;
wire \tile_x6y14_ww4beg[8] ;
wire \tile_x6y14_ww4beg[9] ;
wire tile_x6y15_co;
wire \tile_x6y15_framestrobe_o[0] ;
wire \tile_x6y15_framestrobe_o[10] ;
wire \tile_x6y15_framestrobe_o[11] ;
wire \tile_x6y15_framestrobe_o[12] ;
wire \tile_x6y15_framestrobe_o[13] ;
wire \tile_x6y15_framestrobe_o[14] ;
wire \tile_x6y15_framestrobe_o[15] ;
wire \tile_x6y15_framestrobe_o[16] ;
wire \tile_x6y15_framestrobe_o[17] ;
wire \tile_x6y15_framestrobe_o[18] ;
wire \tile_x6y15_framestrobe_o[19] ;
wire \tile_x6y15_framestrobe_o[1] ;
wire \tile_x6y15_framestrobe_o[2] ;
wire \tile_x6y15_framestrobe_o[3] ;
wire \tile_x6y15_framestrobe_o[4] ;
wire \tile_x6y15_framestrobe_o[5] ;
wire \tile_x6y15_framestrobe_o[6] ;
wire \tile_x6y15_framestrobe_o[7] ;
wire \tile_x6y15_framestrobe_o[8] ;
wire \tile_x6y15_framestrobe_o[9] ;
wire \tile_x6y15_n1beg[0] ;
wire \tile_x6y15_n1beg[1] ;
wire \tile_x6y15_n1beg[2] ;
wire \tile_x6y15_n1beg[3] ;
wire \tile_x6y15_n2beg[0] ;
wire \tile_x6y15_n2beg[1] ;
wire \tile_x6y15_n2beg[2] ;
wire \tile_x6y15_n2beg[3] ;
wire \tile_x6y15_n2beg[4] ;
wire \tile_x6y15_n2beg[5] ;
wire \tile_x6y15_n2beg[6] ;
wire \tile_x6y15_n2beg[7] ;
wire \tile_x6y15_n2begb[0] ;
wire \tile_x6y15_n2begb[1] ;
wire \tile_x6y15_n2begb[2] ;
wire \tile_x6y15_n2begb[3] ;
wire \tile_x6y15_n2begb[4] ;
wire \tile_x6y15_n2begb[5] ;
wire \tile_x6y15_n2begb[6] ;
wire \tile_x6y15_n2begb[7] ;
wire \tile_x6y15_n4beg[0] ;
wire \tile_x6y15_n4beg[10] ;
wire \tile_x6y15_n4beg[11] ;
wire \tile_x6y15_n4beg[12] ;
wire \tile_x6y15_n4beg[13] ;
wire \tile_x6y15_n4beg[14] ;
wire \tile_x6y15_n4beg[15] ;
wire \tile_x6y15_n4beg[1] ;
wire \tile_x6y15_n4beg[2] ;
wire \tile_x6y15_n4beg[3] ;
wire \tile_x6y15_n4beg[4] ;
wire \tile_x6y15_n4beg[5] ;
wire \tile_x6y15_n4beg[6] ;
wire \tile_x6y15_n4beg[7] ;
wire \tile_x6y15_n4beg[8] ;
wire \tile_x6y15_n4beg[9] ;
wire \tile_x6y15_nn4beg[0] ;
wire \tile_x6y15_nn4beg[10] ;
wire \tile_x6y15_nn4beg[11] ;
wire \tile_x6y15_nn4beg[12] ;
wire \tile_x6y15_nn4beg[13] ;
wire \tile_x6y15_nn4beg[14] ;
wire \tile_x6y15_nn4beg[15] ;
wire \tile_x6y15_nn4beg[1] ;
wire \tile_x6y15_nn4beg[2] ;
wire \tile_x6y15_nn4beg[3] ;
wire \tile_x6y15_nn4beg[4] ;
wire \tile_x6y15_nn4beg[5] ;
wire \tile_x6y15_nn4beg[6] ;
wire \tile_x6y15_nn4beg[7] ;
wire \tile_x6y15_nn4beg[8] ;
wire \tile_x6y15_nn4beg[9] ;
wire tile_x6y15_userclko;
wire tile_x6y1_co;
wire \tile_x6y1_e1beg[0] ;
wire \tile_x6y1_e1beg[1] ;
wire \tile_x6y1_e1beg[2] ;
wire \tile_x6y1_e1beg[3] ;
wire \tile_x6y1_e2beg[0] ;
wire \tile_x6y1_e2beg[1] ;
wire \tile_x6y1_e2beg[2] ;
wire \tile_x6y1_e2beg[3] ;
wire \tile_x6y1_e2beg[4] ;
wire \tile_x6y1_e2beg[5] ;
wire \tile_x6y1_e2beg[6] ;
wire \tile_x6y1_e2beg[7] ;
wire \tile_x6y1_e2begb[0] ;
wire \tile_x6y1_e2begb[1] ;
wire \tile_x6y1_e2begb[2] ;
wire \tile_x6y1_e2begb[3] ;
wire \tile_x6y1_e2begb[4] ;
wire \tile_x6y1_e2begb[5] ;
wire \tile_x6y1_e2begb[6] ;
wire \tile_x6y1_e2begb[7] ;
wire \tile_x6y1_e6beg[0] ;
wire \tile_x6y1_e6beg[10] ;
wire \tile_x6y1_e6beg[11] ;
wire \tile_x6y1_e6beg[1] ;
wire \tile_x6y1_e6beg[2] ;
wire \tile_x6y1_e6beg[3] ;
wire \tile_x6y1_e6beg[4] ;
wire \tile_x6y1_e6beg[5] ;
wire \tile_x6y1_e6beg[6] ;
wire \tile_x6y1_e6beg[7] ;
wire \tile_x6y1_e6beg[8] ;
wire \tile_x6y1_e6beg[9] ;
wire \tile_x6y1_ee4beg[0] ;
wire \tile_x6y1_ee4beg[10] ;
wire \tile_x6y1_ee4beg[11] ;
wire \tile_x6y1_ee4beg[12] ;
wire \tile_x6y1_ee4beg[13] ;
wire \tile_x6y1_ee4beg[14] ;
wire \tile_x6y1_ee4beg[15] ;
wire \tile_x6y1_ee4beg[1] ;
wire \tile_x6y1_ee4beg[2] ;
wire \tile_x6y1_ee4beg[3] ;
wire \tile_x6y1_ee4beg[4] ;
wire \tile_x6y1_ee4beg[5] ;
wire \tile_x6y1_ee4beg[6] ;
wire \tile_x6y1_ee4beg[7] ;
wire \tile_x6y1_ee4beg[8] ;
wire \tile_x6y1_ee4beg[9] ;
wire \tile_x6y1_framedata_o[0] ;
wire \tile_x6y1_framedata_o[10] ;
wire \tile_x6y1_framedata_o[11] ;
wire \tile_x6y1_framedata_o[12] ;
wire \tile_x6y1_framedata_o[13] ;
wire \tile_x6y1_framedata_o[14] ;
wire \tile_x6y1_framedata_o[15] ;
wire \tile_x6y1_framedata_o[16] ;
wire \tile_x6y1_framedata_o[17] ;
wire \tile_x6y1_framedata_o[18] ;
wire \tile_x6y1_framedata_o[19] ;
wire \tile_x6y1_framedata_o[1] ;
wire \tile_x6y1_framedata_o[20] ;
wire \tile_x6y1_framedata_o[21] ;
wire \tile_x6y1_framedata_o[22] ;
wire \tile_x6y1_framedata_o[23] ;
wire \tile_x6y1_framedata_o[24] ;
wire \tile_x6y1_framedata_o[25] ;
wire \tile_x6y1_framedata_o[26] ;
wire \tile_x6y1_framedata_o[27] ;
wire \tile_x6y1_framedata_o[28] ;
wire \tile_x6y1_framedata_o[29] ;
wire \tile_x6y1_framedata_o[2] ;
wire \tile_x6y1_framedata_o[30] ;
wire \tile_x6y1_framedata_o[31] ;
wire \tile_x6y1_framedata_o[3] ;
wire \tile_x6y1_framedata_o[4] ;
wire \tile_x6y1_framedata_o[5] ;
wire \tile_x6y1_framedata_o[6] ;
wire \tile_x6y1_framedata_o[7] ;
wire \tile_x6y1_framedata_o[8] ;
wire \tile_x6y1_framedata_o[9] ;
wire \tile_x6y1_framestrobe_o[0] ;
wire \tile_x6y1_framestrobe_o[10] ;
wire \tile_x6y1_framestrobe_o[11] ;
wire \tile_x6y1_framestrobe_o[12] ;
wire \tile_x6y1_framestrobe_o[13] ;
wire \tile_x6y1_framestrobe_o[14] ;
wire \tile_x6y1_framestrobe_o[15] ;
wire \tile_x6y1_framestrobe_o[16] ;
wire \tile_x6y1_framestrobe_o[17] ;
wire \tile_x6y1_framestrobe_o[18] ;
wire \tile_x6y1_framestrobe_o[19] ;
wire \tile_x6y1_framestrobe_o[1] ;
wire \tile_x6y1_framestrobe_o[2] ;
wire \tile_x6y1_framestrobe_o[3] ;
wire \tile_x6y1_framestrobe_o[4] ;
wire \tile_x6y1_framestrobe_o[5] ;
wire \tile_x6y1_framestrobe_o[6] ;
wire \tile_x6y1_framestrobe_o[7] ;
wire \tile_x6y1_framestrobe_o[8] ;
wire \tile_x6y1_framestrobe_o[9] ;
wire \tile_x6y1_n1beg[0] ;
wire \tile_x6y1_n1beg[1] ;
wire \tile_x6y1_n1beg[2] ;
wire \tile_x6y1_n1beg[3] ;
wire \tile_x6y1_n2beg[0] ;
wire \tile_x6y1_n2beg[1] ;
wire \tile_x6y1_n2beg[2] ;
wire \tile_x6y1_n2beg[3] ;
wire \tile_x6y1_n2beg[4] ;
wire \tile_x6y1_n2beg[5] ;
wire \tile_x6y1_n2beg[6] ;
wire \tile_x6y1_n2beg[7] ;
wire \tile_x6y1_n2begb[0] ;
wire \tile_x6y1_n2begb[1] ;
wire \tile_x6y1_n2begb[2] ;
wire \tile_x6y1_n2begb[3] ;
wire \tile_x6y1_n2begb[4] ;
wire \tile_x6y1_n2begb[5] ;
wire \tile_x6y1_n2begb[6] ;
wire \tile_x6y1_n2begb[7] ;
wire \tile_x6y1_n4beg[0] ;
wire \tile_x6y1_n4beg[10] ;
wire \tile_x6y1_n4beg[11] ;
wire \tile_x6y1_n4beg[12] ;
wire \tile_x6y1_n4beg[13] ;
wire \tile_x6y1_n4beg[14] ;
wire \tile_x6y1_n4beg[15] ;
wire \tile_x6y1_n4beg[1] ;
wire \tile_x6y1_n4beg[2] ;
wire \tile_x6y1_n4beg[3] ;
wire \tile_x6y1_n4beg[4] ;
wire \tile_x6y1_n4beg[5] ;
wire \tile_x6y1_n4beg[6] ;
wire \tile_x6y1_n4beg[7] ;
wire \tile_x6y1_n4beg[8] ;
wire \tile_x6y1_n4beg[9] ;
wire \tile_x6y1_nn4beg[0] ;
wire \tile_x6y1_nn4beg[10] ;
wire \tile_x6y1_nn4beg[11] ;
wire \tile_x6y1_nn4beg[12] ;
wire \tile_x6y1_nn4beg[13] ;
wire \tile_x6y1_nn4beg[14] ;
wire \tile_x6y1_nn4beg[15] ;
wire \tile_x6y1_nn4beg[1] ;
wire \tile_x6y1_nn4beg[2] ;
wire \tile_x6y1_nn4beg[3] ;
wire \tile_x6y1_nn4beg[4] ;
wire \tile_x6y1_nn4beg[5] ;
wire \tile_x6y1_nn4beg[6] ;
wire \tile_x6y1_nn4beg[7] ;
wire \tile_x6y1_nn4beg[8] ;
wire \tile_x6y1_nn4beg[9] ;
wire \tile_x6y1_s1beg[0] ;
wire \tile_x6y1_s1beg[1] ;
wire \tile_x6y1_s1beg[2] ;
wire \tile_x6y1_s1beg[3] ;
wire \tile_x6y1_s2beg[0] ;
wire \tile_x6y1_s2beg[1] ;
wire \tile_x6y1_s2beg[2] ;
wire \tile_x6y1_s2beg[3] ;
wire \tile_x6y1_s2beg[4] ;
wire \tile_x6y1_s2beg[5] ;
wire \tile_x6y1_s2beg[6] ;
wire \tile_x6y1_s2beg[7] ;
wire \tile_x6y1_s2begb[0] ;
wire \tile_x6y1_s2begb[1] ;
wire \tile_x6y1_s2begb[2] ;
wire \tile_x6y1_s2begb[3] ;
wire \tile_x6y1_s2begb[4] ;
wire \tile_x6y1_s2begb[5] ;
wire \tile_x6y1_s2begb[6] ;
wire \tile_x6y1_s2begb[7] ;
wire \tile_x6y1_s4beg[0] ;
wire \tile_x6y1_s4beg[10] ;
wire \tile_x6y1_s4beg[11] ;
wire \tile_x6y1_s4beg[12] ;
wire \tile_x6y1_s4beg[13] ;
wire \tile_x6y1_s4beg[14] ;
wire \tile_x6y1_s4beg[15] ;
wire \tile_x6y1_s4beg[1] ;
wire \tile_x6y1_s4beg[2] ;
wire \tile_x6y1_s4beg[3] ;
wire \tile_x6y1_s4beg[4] ;
wire \tile_x6y1_s4beg[5] ;
wire \tile_x6y1_s4beg[6] ;
wire \tile_x6y1_s4beg[7] ;
wire \tile_x6y1_s4beg[8] ;
wire \tile_x6y1_s4beg[9] ;
wire \tile_x6y1_ss4beg[0] ;
wire \tile_x6y1_ss4beg[10] ;
wire \tile_x6y1_ss4beg[11] ;
wire \tile_x6y1_ss4beg[12] ;
wire \tile_x6y1_ss4beg[13] ;
wire \tile_x6y1_ss4beg[14] ;
wire \tile_x6y1_ss4beg[15] ;
wire \tile_x6y1_ss4beg[1] ;
wire \tile_x6y1_ss4beg[2] ;
wire \tile_x6y1_ss4beg[3] ;
wire \tile_x6y1_ss4beg[4] ;
wire \tile_x6y1_ss4beg[5] ;
wire \tile_x6y1_ss4beg[6] ;
wire \tile_x6y1_ss4beg[7] ;
wire \tile_x6y1_ss4beg[8] ;
wire \tile_x6y1_ss4beg[9] ;
wire tile_x6y1_userclko;
wire \tile_x6y1_w1beg[0] ;
wire \tile_x6y1_w1beg[1] ;
wire \tile_x6y1_w1beg[2] ;
wire \tile_x6y1_w1beg[3] ;
wire \tile_x6y1_w2beg[0] ;
wire \tile_x6y1_w2beg[1] ;
wire \tile_x6y1_w2beg[2] ;
wire \tile_x6y1_w2beg[3] ;
wire \tile_x6y1_w2beg[4] ;
wire \tile_x6y1_w2beg[5] ;
wire \tile_x6y1_w2beg[6] ;
wire \tile_x6y1_w2beg[7] ;
wire \tile_x6y1_w2begb[0] ;
wire \tile_x6y1_w2begb[1] ;
wire \tile_x6y1_w2begb[2] ;
wire \tile_x6y1_w2begb[3] ;
wire \tile_x6y1_w2begb[4] ;
wire \tile_x6y1_w2begb[5] ;
wire \tile_x6y1_w2begb[6] ;
wire \tile_x6y1_w2begb[7] ;
wire \tile_x6y1_w6beg[0] ;
wire \tile_x6y1_w6beg[10] ;
wire \tile_x6y1_w6beg[11] ;
wire \tile_x6y1_w6beg[1] ;
wire \tile_x6y1_w6beg[2] ;
wire \tile_x6y1_w6beg[3] ;
wire \tile_x6y1_w6beg[4] ;
wire \tile_x6y1_w6beg[5] ;
wire \tile_x6y1_w6beg[6] ;
wire \tile_x6y1_w6beg[7] ;
wire \tile_x6y1_w6beg[8] ;
wire \tile_x6y1_w6beg[9] ;
wire \tile_x6y1_ww4beg[0] ;
wire \tile_x6y1_ww4beg[10] ;
wire \tile_x6y1_ww4beg[11] ;
wire \tile_x6y1_ww4beg[12] ;
wire \tile_x6y1_ww4beg[13] ;
wire \tile_x6y1_ww4beg[14] ;
wire \tile_x6y1_ww4beg[15] ;
wire \tile_x6y1_ww4beg[1] ;
wire \tile_x6y1_ww4beg[2] ;
wire \tile_x6y1_ww4beg[3] ;
wire \tile_x6y1_ww4beg[4] ;
wire \tile_x6y1_ww4beg[5] ;
wire \tile_x6y1_ww4beg[6] ;
wire \tile_x6y1_ww4beg[7] ;
wire \tile_x6y1_ww4beg[8] ;
wire \tile_x6y1_ww4beg[9] ;
wire tile_x6y2_co;
wire \tile_x6y2_e1beg[0] ;
wire \tile_x6y2_e1beg[1] ;
wire \tile_x6y2_e1beg[2] ;
wire \tile_x6y2_e1beg[3] ;
wire \tile_x6y2_e2beg[0] ;
wire \tile_x6y2_e2beg[1] ;
wire \tile_x6y2_e2beg[2] ;
wire \tile_x6y2_e2beg[3] ;
wire \tile_x6y2_e2beg[4] ;
wire \tile_x6y2_e2beg[5] ;
wire \tile_x6y2_e2beg[6] ;
wire \tile_x6y2_e2beg[7] ;
wire \tile_x6y2_e2begb[0] ;
wire \tile_x6y2_e2begb[1] ;
wire \tile_x6y2_e2begb[2] ;
wire \tile_x6y2_e2begb[3] ;
wire \tile_x6y2_e2begb[4] ;
wire \tile_x6y2_e2begb[5] ;
wire \tile_x6y2_e2begb[6] ;
wire \tile_x6y2_e2begb[7] ;
wire \tile_x6y2_e6beg[0] ;
wire \tile_x6y2_e6beg[10] ;
wire \tile_x6y2_e6beg[11] ;
wire \tile_x6y2_e6beg[1] ;
wire \tile_x6y2_e6beg[2] ;
wire \tile_x6y2_e6beg[3] ;
wire \tile_x6y2_e6beg[4] ;
wire \tile_x6y2_e6beg[5] ;
wire \tile_x6y2_e6beg[6] ;
wire \tile_x6y2_e6beg[7] ;
wire \tile_x6y2_e6beg[8] ;
wire \tile_x6y2_e6beg[9] ;
wire \tile_x6y2_ee4beg[0] ;
wire \tile_x6y2_ee4beg[10] ;
wire \tile_x6y2_ee4beg[11] ;
wire \tile_x6y2_ee4beg[12] ;
wire \tile_x6y2_ee4beg[13] ;
wire \tile_x6y2_ee4beg[14] ;
wire \tile_x6y2_ee4beg[15] ;
wire \tile_x6y2_ee4beg[1] ;
wire \tile_x6y2_ee4beg[2] ;
wire \tile_x6y2_ee4beg[3] ;
wire \tile_x6y2_ee4beg[4] ;
wire \tile_x6y2_ee4beg[5] ;
wire \tile_x6y2_ee4beg[6] ;
wire \tile_x6y2_ee4beg[7] ;
wire \tile_x6y2_ee4beg[8] ;
wire \tile_x6y2_ee4beg[9] ;
wire \tile_x6y2_framedata_o[0] ;
wire \tile_x6y2_framedata_o[10] ;
wire \tile_x6y2_framedata_o[11] ;
wire \tile_x6y2_framedata_o[12] ;
wire \tile_x6y2_framedata_o[13] ;
wire \tile_x6y2_framedata_o[14] ;
wire \tile_x6y2_framedata_o[15] ;
wire \tile_x6y2_framedata_o[16] ;
wire \tile_x6y2_framedata_o[17] ;
wire \tile_x6y2_framedata_o[18] ;
wire \tile_x6y2_framedata_o[19] ;
wire \tile_x6y2_framedata_o[1] ;
wire \tile_x6y2_framedata_o[20] ;
wire \tile_x6y2_framedata_o[21] ;
wire \tile_x6y2_framedata_o[22] ;
wire \tile_x6y2_framedata_o[23] ;
wire \tile_x6y2_framedata_o[24] ;
wire \tile_x6y2_framedata_o[25] ;
wire \tile_x6y2_framedata_o[26] ;
wire \tile_x6y2_framedata_o[27] ;
wire \tile_x6y2_framedata_o[28] ;
wire \tile_x6y2_framedata_o[29] ;
wire \tile_x6y2_framedata_o[2] ;
wire \tile_x6y2_framedata_o[30] ;
wire \tile_x6y2_framedata_o[31] ;
wire \tile_x6y2_framedata_o[3] ;
wire \tile_x6y2_framedata_o[4] ;
wire \tile_x6y2_framedata_o[5] ;
wire \tile_x6y2_framedata_o[6] ;
wire \tile_x6y2_framedata_o[7] ;
wire \tile_x6y2_framedata_o[8] ;
wire \tile_x6y2_framedata_o[9] ;
wire \tile_x6y2_framestrobe_o[0] ;
wire \tile_x6y2_framestrobe_o[10] ;
wire \tile_x6y2_framestrobe_o[11] ;
wire \tile_x6y2_framestrobe_o[12] ;
wire \tile_x6y2_framestrobe_o[13] ;
wire \tile_x6y2_framestrobe_o[14] ;
wire \tile_x6y2_framestrobe_o[15] ;
wire \tile_x6y2_framestrobe_o[16] ;
wire \tile_x6y2_framestrobe_o[17] ;
wire \tile_x6y2_framestrobe_o[18] ;
wire \tile_x6y2_framestrobe_o[19] ;
wire \tile_x6y2_framestrobe_o[1] ;
wire \tile_x6y2_framestrobe_o[2] ;
wire \tile_x6y2_framestrobe_o[3] ;
wire \tile_x6y2_framestrobe_o[4] ;
wire \tile_x6y2_framestrobe_o[5] ;
wire \tile_x6y2_framestrobe_o[6] ;
wire \tile_x6y2_framestrobe_o[7] ;
wire \tile_x6y2_framestrobe_o[8] ;
wire \tile_x6y2_framestrobe_o[9] ;
wire \tile_x6y2_n1beg[0] ;
wire \tile_x6y2_n1beg[1] ;
wire \tile_x6y2_n1beg[2] ;
wire \tile_x6y2_n1beg[3] ;
wire \tile_x6y2_n2beg[0] ;
wire \tile_x6y2_n2beg[1] ;
wire \tile_x6y2_n2beg[2] ;
wire \tile_x6y2_n2beg[3] ;
wire \tile_x6y2_n2beg[4] ;
wire \tile_x6y2_n2beg[5] ;
wire \tile_x6y2_n2beg[6] ;
wire \tile_x6y2_n2beg[7] ;
wire \tile_x6y2_n2begb[0] ;
wire \tile_x6y2_n2begb[1] ;
wire \tile_x6y2_n2begb[2] ;
wire \tile_x6y2_n2begb[3] ;
wire \tile_x6y2_n2begb[4] ;
wire \tile_x6y2_n2begb[5] ;
wire \tile_x6y2_n2begb[6] ;
wire \tile_x6y2_n2begb[7] ;
wire \tile_x6y2_n4beg[0] ;
wire \tile_x6y2_n4beg[10] ;
wire \tile_x6y2_n4beg[11] ;
wire \tile_x6y2_n4beg[12] ;
wire \tile_x6y2_n4beg[13] ;
wire \tile_x6y2_n4beg[14] ;
wire \tile_x6y2_n4beg[15] ;
wire \tile_x6y2_n4beg[1] ;
wire \tile_x6y2_n4beg[2] ;
wire \tile_x6y2_n4beg[3] ;
wire \tile_x6y2_n4beg[4] ;
wire \tile_x6y2_n4beg[5] ;
wire \tile_x6y2_n4beg[6] ;
wire \tile_x6y2_n4beg[7] ;
wire \tile_x6y2_n4beg[8] ;
wire \tile_x6y2_n4beg[9] ;
wire \tile_x6y2_nn4beg[0] ;
wire \tile_x6y2_nn4beg[10] ;
wire \tile_x6y2_nn4beg[11] ;
wire \tile_x6y2_nn4beg[12] ;
wire \tile_x6y2_nn4beg[13] ;
wire \tile_x6y2_nn4beg[14] ;
wire \tile_x6y2_nn4beg[15] ;
wire \tile_x6y2_nn4beg[1] ;
wire \tile_x6y2_nn4beg[2] ;
wire \tile_x6y2_nn4beg[3] ;
wire \tile_x6y2_nn4beg[4] ;
wire \tile_x6y2_nn4beg[5] ;
wire \tile_x6y2_nn4beg[6] ;
wire \tile_x6y2_nn4beg[7] ;
wire \tile_x6y2_nn4beg[8] ;
wire \tile_x6y2_nn4beg[9] ;
wire \tile_x6y2_s1beg[0] ;
wire \tile_x6y2_s1beg[1] ;
wire \tile_x6y2_s1beg[2] ;
wire \tile_x6y2_s1beg[3] ;
wire \tile_x6y2_s2beg[0] ;
wire \tile_x6y2_s2beg[1] ;
wire \tile_x6y2_s2beg[2] ;
wire \tile_x6y2_s2beg[3] ;
wire \tile_x6y2_s2beg[4] ;
wire \tile_x6y2_s2beg[5] ;
wire \tile_x6y2_s2beg[6] ;
wire \tile_x6y2_s2beg[7] ;
wire \tile_x6y2_s2begb[0] ;
wire \tile_x6y2_s2begb[1] ;
wire \tile_x6y2_s2begb[2] ;
wire \tile_x6y2_s2begb[3] ;
wire \tile_x6y2_s2begb[4] ;
wire \tile_x6y2_s2begb[5] ;
wire \tile_x6y2_s2begb[6] ;
wire \tile_x6y2_s2begb[7] ;
wire \tile_x6y2_s4beg[0] ;
wire \tile_x6y2_s4beg[10] ;
wire \tile_x6y2_s4beg[11] ;
wire \tile_x6y2_s4beg[12] ;
wire \tile_x6y2_s4beg[13] ;
wire \tile_x6y2_s4beg[14] ;
wire \tile_x6y2_s4beg[15] ;
wire \tile_x6y2_s4beg[1] ;
wire \tile_x6y2_s4beg[2] ;
wire \tile_x6y2_s4beg[3] ;
wire \tile_x6y2_s4beg[4] ;
wire \tile_x6y2_s4beg[5] ;
wire \tile_x6y2_s4beg[6] ;
wire \tile_x6y2_s4beg[7] ;
wire \tile_x6y2_s4beg[8] ;
wire \tile_x6y2_s4beg[9] ;
wire \tile_x6y2_ss4beg[0] ;
wire \tile_x6y2_ss4beg[10] ;
wire \tile_x6y2_ss4beg[11] ;
wire \tile_x6y2_ss4beg[12] ;
wire \tile_x6y2_ss4beg[13] ;
wire \tile_x6y2_ss4beg[14] ;
wire \tile_x6y2_ss4beg[15] ;
wire \tile_x6y2_ss4beg[1] ;
wire \tile_x6y2_ss4beg[2] ;
wire \tile_x6y2_ss4beg[3] ;
wire \tile_x6y2_ss4beg[4] ;
wire \tile_x6y2_ss4beg[5] ;
wire \tile_x6y2_ss4beg[6] ;
wire \tile_x6y2_ss4beg[7] ;
wire \tile_x6y2_ss4beg[8] ;
wire \tile_x6y2_ss4beg[9] ;
wire tile_x6y2_userclko;
wire \tile_x6y2_w1beg[0] ;
wire \tile_x6y2_w1beg[1] ;
wire \tile_x6y2_w1beg[2] ;
wire \tile_x6y2_w1beg[3] ;
wire \tile_x6y2_w2beg[0] ;
wire \tile_x6y2_w2beg[1] ;
wire \tile_x6y2_w2beg[2] ;
wire \tile_x6y2_w2beg[3] ;
wire \tile_x6y2_w2beg[4] ;
wire \tile_x6y2_w2beg[5] ;
wire \tile_x6y2_w2beg[6] ;
wire \tile_x6y2_w2beg[7] ;
wire \tile_x6y2_w2begb[0] ;
wire \tile_x6y2_w2begb[1] ;
wire \tile_x6y2_w2begb[2] ;
wire \tile_x6y2_w2begb[3] ;
wire \tile_x6y2_w2begb[4] ;
wire \tile_x6y2_w2begb[5] ;
wire \tile_x6y2_w2begb[6] ;
wire \tile_x6y2_w2begb[7] ;
wire \tile_x6y2_w6beg[0] ;
wire \tile_x6y2_w6beg[10] ;
wire \tile_x6y2_w6beg[11] ;
wire \tile_x6y2_w6beg[1] ;
wire \tile_x6y2_w6beg[2] ;
wire \tile_x6y2_w6beg[3] ;
wire \tile_x6y2_w6beg[4] ;
wire \tile_x6y2_w6beg[5] ;
wire \tile_x6y2_w6beg[6] ;
wire \tile_x6y2_w6beg[7] ;
wire \tile_x6y2_w6beg[8] ;
wire \tile_x6y2_w6beg[9] ;
wire \tile_x6y2_ww4beg[0] ;
wire \tile_x6y2_ww4beg[10] ;
wire \tile_x6y2_ww4beg[11] ;
wire \tile_x6y2_ww4beg[12] ;
wire \tile_x6y2_ww4beg[13] ;
wire \tile_x6y2_ww4beg[14] ;
wire \tile_x6y2_ww4beg[15] ;
wire \tile_x6y2_ww4beg[1] ;
wire \tile_x6y2_ww4beg[2] ;
wire \tile_x6y2_ww4beg[3] ;
wire \tile_x6y2_ww4beg[4] ;
wire \tile_x6y2_ww4beg[5] ;
wire \tile_x6y2_ww4beg[6] ;
wire \tile_x6y2_ww4beg[7] ;
wire \tile_x6y2_ww4beg[8] ;
wire \tile_x6y2_ww4beg[9] ;
wire tile_x6y3_co;
wire \tile_x6y3_e1beg[0] ;
wire \tile_x6y3_e1beg[1] ;
wire \tile_x6y3_e1beg[2] ;
wire \tile_x6y3_e1beg[3] ;
wire \tile_x6y3_e2beg[0] ;
wire \tile_x6y3_e2beg[1] ;
wire \tile_x6y3_e2beg[2] ;
wire \tile_x6y3_e2beg[3] ;
wire \tile_x6y3_e2beg[4] ;
wire \tile_x6y3_e2beg[5] ;
wire \tile_x6y3_e2beg[6] ;
wire \tile_x6y3_e2beg[7] ;
wire \tile_x6y3_e2begb[0] ;
wire \tile_x6y3_e2begb[1] ;
wire \tile_x6y3_e2begb[2] ;
wire \tile_x6y3_e2begb[3] ;
wire \tile_x6y3_e2begb[4] ;
wire \tile_x6y3_e2begb[5] ;
wire \tile_x6y3_e2begb[6] ;
wire \tile_x6y3_e2begb[7] ;
wire \tile_x6y3_e6beg[0] ;
wire \tile_x6y3_e6beg[10] ;
wire \tile_x6y3_e6beg[11] ;
wire \tile_x6y3_e6beg[1] ;
wire \tile_x6y3_e6beg[2] ;
wire \tile_x6y3_e6beg[3] ;
wire \tile_x6y3_e6beg[4] ;
wire \tile_x6y3_e6beg[5] ;
wire \tile_x6y3_e6beg[6] ;
wire \tile_x6y3_e6beg[7] ;
wire \tile_x6y3_e6beg[8] ;
wire \tile_x6y3_e6beg[9] ;
wire \tile_x6y3_ee4beg[0] ;
wire \tile_x6y3_ee4beg[10] ;
wire \tile_x6y3_ee4beg[11] ;
wire \tile_x6y3_ee4beg[12] ;
wire \tile_x6y3_ee4beg[13] ;
wire \tile_x6y3_ee4beg[14] ;
wire \tile_x6y3_ee4beg[15] ;
wire \tile_x6y3_ee4beg[1] ;
wire \tile_x6y3_ee4beg[2] ;
wire \tile_x6y3_ee4beg[3] ;
wire \tile_x6y3_ee4beg[4] ;
wire \tile_x6y3_ee4beg[5] ;
wire \tile_x6y3_ee4beg[6] ;
wire \tile_x6y3_ee4beg[7] ;
wire \tile_x6y3_ee4beg[8] ;
wire \tile_x6y3_ee4beg[9] ;
wire \tile_x6y3_framedata_o[0] ;
wire \tile_x6y3_framedata_o[10] ;
wire \tile_x6y3_framedata_o[11] ;
wire \tile_x6y3_framedata_o[12] ;
wire \tile_x6y3_framedata_o[13] ;
wire \tile_x6y3_framedata_o[14] ;
wire \tile_x6y3_framedata_o[15] ;
wire \tile_x6y3_framedata_o[16] ;
wire \tile_x6y3_framedata_o[17] ;
wire \tile_x6y3_framedata_o[18] ;
wire \tile_x6y3_framedata_o[19] ;
wire \tile_x6y3_framedata_o[1] ;
wire \tile_x6y3_framedata_o[20] ;
wire \tile_x6y3_framedata_o[21] ;
wire \tile_x6y3_framedata_o[22] ;
wire \tile_x6y3_framedata_o[23] ;
wire \tile_x6y3_framedata_o[24] ;
wire \tile_x6y3_framedata_o[25] ;
wire \tile_x6y3_framedata_o[26] ;
wire \tile_x6y3_framedata_o[27] ;
wire \tile_x6y3_framedata_o[28] ;
wire \tile_x6y3_framedata_o[29] ;
wire \tile_x6y3_framedata_o[2] ;
wire \tile_x6y3_framedata_o[30] ;
wire \tile_x6y3_framedata_o[31] ;
wire \tile_x6y3_framedata_o[3] ;
wire \tile_x6y3_framedata_o[4] ;
wire \tile_x6y3_framedata_o[5] ;
wire \tile_x6y3_framedata_o[6] ;
wire \tile_x6y3_framedata_o[7] ;
wire \tile_x6y3_framedata_o[8] ;
wire \tile_x6y3_framedata_o[9] ;
wire \tile_x6y3_framestrobe_o[0] ;
wire \tile_x6y3_framestrobe_o[10] ;
wire \tile_x6y3_framestrobe_o[11] ;
wire \tile_x6y3_framestrobe_o[12] ;
wire \tile_x6y3_framestrobe_o[13] ;
wire \tile_x6y3_framestrobe_o[14] ;
wire \tile_x6y3_framestrobe_o[15] ;
wire \tile_x6y3_framestrobe_o[16] ;
wire \tile_x6y3_framestrobe_o[17] ;
wire \tile_x6y3_framestrobe_o[18] ;
wire \tile_x6y3_framestrobe_o[19] ;
wire \tile_x6y3_framestrobe_o[1] ;
wire \tile_x6y3_framestrobe_o[2] ;
wire \tile_x6y3_framestrobe_o[3] ;
wire \tile_x6y3_framestrobe_o[4] ;
wire \tile_x6y3_framestrobe_o[5] ;
wire \tile_x6y3_framestrobe_o[6] ;
wire \tile_x6y3_framestrobe_o[7] ;
wire \tile_x6y3_framestrobe_o[8] ;
wire \tile_x6y3_framestrobe_o[9] ;
wire \tile_x6y3_n1beg[0] ;
wire \tile_x6y3_n1beg[1] ;
wire \tile_x6y3_n1beg[2] ;
wire \tile_x6y3_n1beg[3] ;
wire \tile_x6y3_n2beg[0] ;
wire \tile_x6y3_n2beg[1] ;
wire \tile_x6y3_n2beg[2] ;
wire \tile_x6y3_n2beg[3] ;
wire \tile_x6y3_n2beg[4] ;
wire \tile_x6y3_n2beg[5] ;
wire \tile_x6y3_n2beg[6] ;
wire \tile_x6y3_n2beg[7] ;
wire \tile_x6y3_n2begb[0] ;
wire \tile_x6y3_n2begb[1] ;
wire \tile_x6y3_n2begb[2] ;
wire \tile_x6y3_n2begb[3] ;
wire \tile_x6y3_n2begb[4] ;
wire \tile_x6y3_n2begb[5] ;
wire \tile_x6y3_n2begb[6] ;
wire \tile_x6y3_n2begb[7] ;
wire \tile_x6y3_n4beg[0] ;
wire \tile_x6y3_n4beg[10] ;
wire \tile_x6y3_n4beg[11] ;
wire \tile_x6y3_n4beg[12] ;
wire \tile_x6y3_n4beg[13] ;
wire \tile_x6y3_n4beg[14] ;
wire \tile_x6y3_n4beg[15] ;
wire \tile_x6y3_n4beg[1] ;
wire \tile_x6y3_n4beg[2] ;
wire \tile_x6y3_n4beg[3] ;
wire \tile_x6y3_n4beg[4] ;
wire \tile_x6y3_n4beg[5] ;
wire \tile_x6y3_n4beg[6] ;
wire \tile_x6y3_n4beg[7] ;
wire \tile_x6y3_n4beg[8] ;
wire \tile_x6y3_n4beg[9] ;
wire \tile_x6y3_nn4beg[0] ;
wire \tile_x6y3_nn4beg[10] ;
wire \tile_x6y3_nn4beg[11] ;
wire \tile_x6y3_nn4beg[12] ;
wire \tile_x6y3_nn4beg[13] ;
wire \tile_x6y3_nn4beg[14] ;
wire \tile_x6y3_nn4beg[15] ;
wire \tile_x6y3_nn4beg[1] ;
wire \tile_x6y3_nn4beg[2] ;
wire \tile_x6y3_nn4beg[3] ;
wire \tile_x6y3_nn4beg[4] ;
wire \tile_x6y3_nn4beg[5] ;
wire \tile_x6y3_nn4beg[6] ;
wire \tile_x6y3_nn4beg[7] ;
wire \tile_x6y3_nn4beg[8] ;
wire \tile_x6y3_nn4beg[9] ;
wire \tile_x6y3_s1beg[0] ;
wire \tile_x6y3_s1beg[1] ;
wire \tile_x6y3_s1beg[2] ;
wire \tile_x6y3_s1beg[3] ;
wire \tile_x6y3_s2beg[0] ;
wire \tile_x6y3_s2beg[1] ;
wire \tile_x6y3_s2beg[2] ;
wire \tile_x6y3_s2beg[3] ;
wire \tile_x6y3_s2beg[4] ;
wire \tile_x6y3_s2beg[5] ;
wire \tile_x6y3_s2beg[6] ;
wire \tile_x6y3_s2beg[7] ;
wire \tile_x6y3_s2begb[0] ;
wire \tile_x6y3_s2begb[1] ;
wire \tile_x6y3_s2begb[2] ;
wire \tile_x6y3_s2begb[3] ;
wire \tile_x6y3_s2begb[4] ;
wire \tile_x6y3_s2begb[5] ;
wire \tile_x6y3_s2begb[6] ;
wire \tile_x6y3_s2begb[7] ;
wire \tile_x6y3_s4beg[0] ;
wire \tile_x6y3_s4beg[10] ;
wire \tile_x6y3_s4beg[11] ;
wire \tile_x6y3_s4beg[12] ;
wire \tile_x6y3_s4beg[13] ;
wire \tile_x6y3_s4beg[14] ;
wire \tile_x6y3_s4beg[15] ;
wire \tile_x6y3_s4beg[1] ;
wire \tile_x6y3_s4beg[2] ;
wire \tile_x6y3_s4beg[3] ;
wire \tile_x6y3_s4beg[4] ;
wire \tile_x6y3_s4beg[5] ;
wire \tile_x6y3_s4beg[6] ;
wire \tile_x6y3_s4beg[7] ;
wire \tile_x6y3_s4beg[8] ;
wire \tile_x6y3_s4beg[9] ;
wire \tile_x6y3_ss4beg[0] ;
wire \tile_x6y3_ss4beg[10] ;
wire \tile_x6y3_ss4beg[11] ;
wire \tile_x6y3_ss4beg[12] ;
wire \tile_x6y3_ss4beg[13] ;
wire \tile_x6y3_ss4beg[14] ;
wire \tile_x6y3_ss4beg[15] ;
wire \tile_x6y3_ss4beg[1] ;
wire \tile_x6y3_ss4beg[2] ;
wire \tile_x6y3_ss4beg[3] ;
wire \tile_x6y3_ss4beg[4] ;
wire \tile_x6y3_ss4beg[5] ;
wire \tile_x6y3_ss4beg[6] ;
wire \tile_x6y3_ss4beg[7] ;
wire \tile_x6y3_ss4beg[8] ;
wire \tile_x6y3_ss4beg[9] ;
wire tile_x6y3_userclko;
wire \tile_x6y3_w1beg[0] ;
wire \tile_x6y3_w1beg[1] ;
wire \tile_x6y3_w1beg[2] ;
wire \tile_x6y3_w1beg[3] ;
wire \tile_x6y3_w2beg[0] ;
wire \tile_x6y3_w2beg[1] ;
wire \tile_x6y3_w2beg[2] ;
wire \tile_x6y3_w2beg[3] ;
wire \tile_x6y3_w2beg[4] ;
wire \tile_x6y3_w2beg[5] ;
wire \tile_x6y3_w2beg[6] ;
wire \tile_x6y3_w2beg[7] ;
wire \tile_x6y3_w2begb[0] ;
wire \tile_x6y3_w2begb[1] ;
wire \tile_x6y3_w2begb[2] ;
wire \tile_x6y3_w2begb[3] ;
wire \tile_x6y3_w2begb[4] ;
wire \tile_x6y3_w2begb[5] ;
wire \tile_x6y3_w2begb[6] ;
wire \tile_x6y3_w2begb[7] ;
wire \tile_x6y3_w6beg[0] ;
wire \tile_x6y3_w6beg[10] ;
wire \tile_x6y3_w6beg[11] ;
wire \tile_x6y3_w6beg[1] ;
wire \tile_x6y3_w6beg[2] ;
wire \tile_x6y3_w6beg[3] ;
wire \tile_x6y3_w6beg[4] ;
wire \tile_x6y3_w6beg[5] ;
wire \tile_x6y3_w6beg[6] ;
wire \tile_x6y3_w6beg[7] ;
wire \tile_x6y3_w6beg[8] ;
wire \tile_x6y3_w6beg[9] ;
wire \tile_x6y3_ww4beg[0] ;
wire \tile_x6y3_ww4beg[10] ;
wire \tile_x6y3_ww4beg[11] ;
wire \tile_x6y3_ww4beg[12] ;
wire \tile_x6y3_ww4beg[13] ;
wire \tile_x6y3_ww4beg[14] ;
wire \tile_x6y3_ww4beg[15] ;
wire \tile_x6y3_ww4beg[1] ;
wire \tile_x6y3_ww4beg[2] ;
wire \tile_x6y3_ww4beg[3] ;
wire \tile_x6y3_ww4beg[4] ;
wire \tile_x6y3_ww4beg[5] ;
wire \tile_x6y3_ww4beg[6] ;
wire \tile_x6y3_ww4beg[7] ;
wire \tile_x6y3_ww4beg[8] ;
wire \tile_x6y3_ww4beg[9] ;
wire tile_x6y4_co;
wire \tile_x6y4_e1beg[0] ;
wire \tile_x6y4_e1beg[1] ;
wire \tile_x6y4_e1beg[2] ;
wire \tile_x6y4_e1beg[3] ;
wire \tile_x6y4_e2beg[0] ;
wire \tile_x6y4_e2beg[1] ;
wire \tile_x6y4_e2beg[2] ;
wire \tile_x6y4_e2beg[3] ;
wire \tile_x6y4_e2beg[4] ;
wire \tile_x6y4_e2beg[5] ;
wire \tile_x6y4_e2beg[6] ;
wire \tile_x6y4_e2beg[7] ;
wire \tile_x6y4_e2begb[0] ;
wire \tile_x6y4_e2begb[1] ;
wire \tile_x6y4_e2begb[2] ;
wire \tile_x6y4_e2begb[3] ;
wire \tile_x6y4_e2begb[4] ;
wire \tile_x6y4_e2begb[5] ;
wire \tile_x6y4_e2begb[6] ;
wire \tile_x6y4_e2begb[7] ;
wire \tile_x6y4_e6beg[0] ;
wire \tile_x6y4_e6beg[10] ;
wire \tile_x6y4_e6beg[11] ;
wire \tile_x6y4_e6beg[1] ;
wire \tile_x6y4_e6beg[2] ;
wire \tile_x6y4_e6beg[3] ;
wire \tile_x6y4_e6beg[4] ;
wire \tile_x6y4_e6beg[5] ;
wire \tile_x6y4_e6beg[6] ;
wire \tile_x6y4_e6beg[7] ;
wire \tile_x6y4_e6beg[8] ;
wire \tile_x6y4_e6beg[9] ;
wire \tile_x6y4_ee4beg[0] ;
wire \tile_x6y4_ee4beg[10] ;
wire \tile_x6y4_ee4beg[11] ;
wire \tile_x6y4_ee4beg[12] ;
wire \tile_x6y4_ee4beg[13] ;
wire \tile_x6y4_ee4beg[14] ;
wire \tile_x6y4_ee4beg[15] ;
wire \tile_x6y4_ee4beg[1] ;
wire \tile_x6y4_ee4beg[2] ;
wire \tile_x6y4_ee4beg[3] ;
wire \tile_x6y4_ee4beg[4] ;
wire \tile_x6y4_ee4beg[5] ;
wire \tile_x6y4_ee4beg[6] ;
wire \tile_x6y4_ee4beg[7] ;
wire \tile_x6y4_ee4beg[8] ;
wire \tile_x6y4_ee4beg[9] ;
wire \tile_x6y4_framedata_o[0] ;
wire \tile_x6y4_framedata_o[10] ;
wire \tile_x6y4_framedata_o[11] ;
wire \tile_x6y4_framedata_o[12] ;
wire \tile_x6y4_framedata_o[13] ;
wire \tile_x6y4_framedata_o[14] ;
wire \tile_x6y4_framedata_o[15] ;
wire \tile_x6y4_framedata_o[16] ;
wire \tile_x6y4_framedata_o[17] ;
wire \tile_x6y4_framedata_o[18] ;
wire \tile_x6y4_framedata_o[19] ;
wire \tile_x6y4_framedata_o[1] ;
wire \tile_x6y4_framedata_o[20] ;
wire \tile_x6y4_framedata_o[21] ;
wire \tile_x6y4_framedata_o[22] ;
wire \tile_x6y4_framedata_o[23] ;
wire \tile_x6y4_framedata_o[24] ;
wire \tile_x6y4_framedata_o[25] ;
wire \tile_x6y4_framedata_o[26] ;
wire \tile_x6y4_framedata_o[27] ;
wire \tile_x6y4_framedata_o[28] ;
wire \tile_x6y4_framedata_o[29] ;
wire \tile_x6y4_framedata_o[2] ;
wire \tile_x6y4_framedata_o[30] ;
wire \tile_x6y4_framedata_o[31] ;
wire \tile_x6y4_framedata_o[3] ;
wire \tile_x6y4_framedata_o[4] ;
wire \tile_x6y4_framedata_o[5] ;
wire \tile_x6y4_framedata_o[6] ;
wire \tile_x6y4_framedata_o[7] ;
wire \tile_x6y4_framedata_o[8] ;
wire \tile_x6y4_framedata_o[9] ;
wire \tile_x6y4_framestrobe_o[0] ;
wire \tile_x6y4_framestrobe_o[10] ;
wire \tile_x6y4_framestrobe_o[11] ;
wire \tile_x6y4_framestrobe_o[12] ;
wire \tile_x6y4_framestrobe_o[13] ;
wire \tile_x6y4_framestrobe_o[14] ;
wire \tile_x6y4_framestrobe_o[15] ;
wire \tile_x6y4_framestrobe_o[16] ;
wire \tile_x6y4_framestrobe_o[17] ;
wire \tile_x6y4_framestrobe_o[18] ;
wire \tile_x6y4_framestrobe_o[19] ;
wire \tile_x6y4_framestrobe_o[1] ;
wire \tile_x6y4_framestrobe_o[2] ;
wire \tile_x6y4_framestrobe_o[3] ;
wire \tile_x6y4_framestrobe_o[4] ;
wire \tile_x6y4_framestrobe_o[5] ;
wire \tile_x6y4_framestrobe_o[6] ;
wire \tile_x6y4_framestrobe_o[7] ;
wire \tile_x6y4_framestrobe_o[8] ;
wire \tile_x6y4_framestrobe_o[9] ;
wire \tile_x6y4_n1beg[0] ;
wire \tile_x6y4_n1beg[1] ;
wire \tile_x6y4_n1beg[2] ;
wire \tile_x6y4_n1beg[3] ;
wire \tile_x6y4_n2beg[0] ;
wire \tile_x6y4_n2beg[1] ;
wire \tile_x6y4_n2beg[2] ;
wire \tile_x6y4_n2beg[3] ;
wire \tile_x6y4_n2beg[4] ;
wire \tile_x6y4_n2beg[5] ;
wire \tile_x6y4_n2beg[6] ;
wire \tile_x6y4_n2beg[7] ;
wire \tile_x6y4_n2begb[0] ;
wire \tile_x6y4_n2begb[1] ;
wire \tile_x6y4_n2begb[2] ;
wire \tile_x6y4_n2begb[3] ;
wire \tile_x6y4_n2begb[4] ;
wire \tile_x6y4_n2begb[5] ;
wire \tile_x6y4_n2begb[6] ;
wire \tile_x6y4_n2begb[7] ;
wire \tile_x6y4_n4beg[0] ;
wire \tile_x6y4_n4beg[10] ;
wire \tile_x6y4_n4beg[11] ;
wire \tile_x6y4_n4beg[12] ;
wire \tile_x6y4_n4beg[13] ;
wire \tile_x6y4_n4beg[14] ;
wire \tile_x6y4_n4beg[15] ;
wire \tile_x6y4_n4beg[1] ;
wire \tile_x6y4_n4beg[2] ;
wire \tile_x6y4_n4beg[3] ;
wire \tile_x6y4_n4beg[4] ;
wire \tile_x6y4_n4beg[5] ;
wire \tile_x6y4_n4beg[6] ;
wire \tile_x6y4_n4beg[7] ;
wire \tile_x6y4_n4beg[8] ;
wire \tile_x6y4_n4beg[9] ;
wire \tile_x6y4_nn4beg[0] ;
wire \tile_x6y4_nn4beg[10] ;
wire \tile_x6y4_nn4beg[11] ;
wire \tile_x6y4_nn4beg[12] ;
wire \tile_x6y4_nn4beg[13] ;
wire \tile_x6y4_nn4beg[14] ;
wire \tile_x6y4_nn4beg[15] ;
wire \tile_x6y4_nn4beg[1] ;
wire \tile_x6y4_nn4beg[2] ;
wire \tile_x6y4_nn4beg[3] ;
wire \tile_x6y4_nn4beg[4] ;
wire \tile_x6y4_nn4beg[5] ;
wire \tile_x6y4_nn4beg[6] ;
wire \tile_x6y4_nn4beg[7] ;
wire \tile_x6y4_nn4beg[8] ;
wire \tile_x6y4_nn4beg[9] ;
wire \tile_x6y4_s1beg[0] ;
wire \tile_x6y4_s1beg[1] ;
wire \tile_x6y4_s1beg[2] ;
wire \tile_x6y4_s1beg[3] ;
wire \tile_x6y4_s2beg[0] ;
wire \tile_x6y4_s2beg[1] ;
wire \tile_x6y4_s2beg[2] ;
wire \tile_x6y4_s2beg[3] ;
wire \tile_x6y4_s2beg[4] ;
wire \tile_x6y4_s2beg[5] ;
wire \tile_x6y4_s2beg[6] ;
wire \tile_x6y4_s2beg[7] ;
wire \tile_x6y4_s2begb[0] ;
wire \tile_x6y4_s2begb[1] ;
wire \tile_x6y4_s2begb[2] ;
wire \tile_x6y4_s2begb[3] ;
wire \tile_x6y4_s2begb[4] ;
wire \tile_x6y4_s2begb[5] ;
wire \tile_x6y4_s2begb[6] ;
wire \tile_x6y4_s2begb[7] ;
wire \tile_x6y4_s4beg[0] ;
wire \tile_x6y4_s4beg[10] ;
wire \tile_x6y4_s4beg[11] ;
wire \tile_x6y4_s4beg[12] ;
wire \tile_x6y4_s4beg[13] ;
wire \tile_x6y4_s4beg[14] ;
wire \tile_x6y4_s4beg[15] ;
wire \tile_x6y4_s4beg[1] ;
wire \tile_x6y4_s4beg[2] ;
wire \tile_x6y4_s4beg[3] ;
wire \tile_x6y4_s4beg[4] ;
wire \tile_x6y4_s4beg[5] ;
wire \tile_x6y4_s4beg[6] ;
wire \tile_x6y4_s4beg[7] ;
wire \tile_x6y4_s4beg[8] ;
wire \tile_x6y4_s4beg[9] ;
wire \tile_x6y4_ss4beg[0] ;
wire \tile_x6y4_ss4beg[10] ;
wire \tile_x6y4_ss4beg[11] ;
wire \tile_x6y4_ss4beg[12] ;
wire \tile_x6y4_ss4beg[13] ;
wire \tile_x6y4_ss4beg[14] ;
wire \tile_x6y4_ss4beg[15] ;
wire \tile_x6y4_ss4beg[1] ;
wire \tile_x6y4_ss4beg[2] ;
wire \tile_x6y4_ss4beg[3] ;
wire \tile_x6y4_ss4beg[4] ;
wire \tile_x6y4_ss4beg[5] ;
wire \tile_x6y4_ss4beg[6] ;
wire \tile_x6y4_ss4beg[7] ;
wire \tile_x6y4_ss4beg[8] ;
wire \tile_x6y4_ss4beg[9] ;
wire tile_x6y4_userclko;
wire \tile_x6y4_w1beg[0] ;
wire \tile_x6y4_w1beg[1] ;
wire \tile_x6y4_w1beg[2] ;
wire \tile_x6y4_w1beg[3] ;
wire \tile_x6y4_w2beg[0] ;
wire \tile_x6y4_w2beg[1] ;
wire \tile_x6y4_w2beg[2] ;
wire \tile_x6y4_w2beg[3] ;
wire \tile_x6y4_w2beg[4] ;
wire \tile_x6y4_w2beg[5] ;
wire \tile_x6y4_w2beg[6] ;
wire \tile_x6y4_w2beg[7] ;
wire \tile_x6y4_w2begb[0] ;
wire \tile_x6y4_w2begb[1] ;
wire \tile_x6y4_w2begb[2] ;
wire \tile_x6y4_w2begb[3] ;
wire \tile_x6y4_w2begb[4] ;
wire \tile_x6y4_w2begb[5] ;
wire \tile_x6y4_w2begb[6] ;
wire \tile_x6y4_w2begb[7] ;
wire \tile_x6y4_w6beg[0] ;
wire \tile_x6y4_w6beg[10] ;
wire \tile_x6y4_w6beg[11] ;
wire \tile_x6y4_w6beg[1] ;
wire \tile_x6y4_w6beg[2] ;
wire \tile_x6y4_w6beg[3] ;
wire \tile_x6y4_w6beg[4] ;
wire \tile_x6y4_w6beg[5] ;
wire \tile_x6y4_w6beg[6] ;
wire \tile_x6y4_w6beg[7] ;
wire \tile_x6y4_w6beg[8] ;
wire \tile_x6y4_w6beg[9] ;
wire \tile_x6y4_ww4beg[0] ;
wire \tile_x6y4_ww4beg[10] ;
wire \tile_x6y4_ww4beg[11] ;
wire \tile_x6y4_ww4beg[12] ;
wire \tile_x6y4_ww4beg[13] ;
wire \tile_x6y4_ww4beg[14] ;
wire \tile_x6y4_ww4beg[15] ;
wire \tile_x6y4_ww4beg[1] ;
wire \tile_x6y4_ww4beg[2] ;
wire \tile_x6y4_ww4beg[3] ;
wire \tile_x6y4_ww4beg[4] ;
wire \tile_x6y4_ww4beg[5] ;
wire \tile_x6y4_ww4beg[6] ;
wire \tile_x6y4_ww4beg[7] ;
wire \tile_x6y4_ww4beg[8] ;
wire \tile_x6y4_ww4beg[9] ;
wire tile_x6y5_co;
wire \tile_x6y5_e1beg[0] ;
wire \tile_x6y5_e1beg[1] ;
wire \tile_x6y5_e1beg[2] ;
wire \tile_x6y5_e1beg[3] ;
wire \tile_x6y5_e2beg[0] ;
wire \tile_x6y5_e2beg[1] ;
wire \tile_x6y5_e2beg[2] ;
wire \tile_x6y5_e2beg[3] ;
wire \tile_x6y5_e2beg[4] ;
wire \tile_x6y5_e2beg[5] ;
wire \tile_x6y5_e2beg[6] ;
wire \tile_x6y5_e2beg[7] ;
wire \tile_x6y5_e2begb[0] ;
wire \tile_x6y5_e2begb[1] ;
wire \tile_x6y5_e2begb[2] ;
wire \tile_x6y5_e2begb[3] ;
wire \tile_x6y5_e2begb[4] ;
wire \tile_x6y5_e2begb[5] ;
wire \tile_x6y5_e2begb[6] ;
wire \tile_x6y5_e2begb[7] ;
wire \tile_x6y5_e6beg[0] ;
wire \tile_x6y5_e6beg[10] ;
wire \tile_x6y5_e6beg[11] ;
wire \tile_x6y5_e6beg[1] ;
wire \tile_x6y5_e6beg[2] ;
wire \tile_x6y5_e6beg[3] ;
wire \tile_x6y5_e6beg[4] ;
wire \tile_x6y5_e6beg[5] ;
wire \tile_x6y5_e6beg[6] ;
wire \tile_x6y5_e6beg[7] ;
wire \tile_x6y5_e6beg[8] ;
wire \tile_x6y5_e6beg[9] ;
wire \tile_x6y5_ee4beg[0] ;
wire \tile_x6y5_ee4beg[10] ;
wire \tile_x6y5_ee4beg[11] ;
wire \tile_x6y5_ee4beg[12] ;
wire \tile_x6y5_ee4beg[13] ;
wire \tile_x6y5_ee4beg[14] ;
wire \tile_x6y5_ee4beg[15] ;
wire \tile_x6y5_ee4beg[1] ;
wire \tile_x6y5_ee4beg[2] ;
wire \tile_x6y5_ee4beg[3] ;
wire \tile_x6y5_ee4beg[4] ;
wire \tile_x6y5_ee4beg[5] ;
wire \tile_x6y5_ee4beg[6] ;
wire \tile_x6y5_ee4beg[7] ;
wire \tile_x6y5_ee4beg[8] ;
wire \tile_x6y5_ee4beg[9] ;
wire \tile_x6y5_framedata_o[0] ;
wire \tile_x6y5_framedata_o[10] ;
wire \tile_x6y5_framedata_o[11] ;
wire \tile_x6y5_framedata_o[12] ;
wire \tile_x6y5_framedata_o[13] ;
wire \tile_x6y5_framedata_o[14] ;
wire \tile_x6y5_framedata_o[15] ;
wire \tile_x6y5_framedata_o[16] ;
wire \tile_x6y5_framedata_o[17] ;
wire \tile_x6y5_framedata_o[18] ;
wire \tile_x6y5_framedata_o[19] ;
wire \tile_x6y5_framedata_o[1] ;
wire \tile_x6y5_framedata_o[20] ;
wire \tile_x6y5_framedata_o[21] ;
wire \tile_x6y5_framedata_o[22] ;
wire \tile_x6y5_framedata_o[23] ;
wire \tile_x6y5_framedata_o[24] ;
wire \tile_x6y5_framedata_o[25] ;
wire \tile_x6y5_framedata_o[26] ;
wire \tile_x6y5_framedata_o[27] ;
wire \tile_x6y5_framedata_o[28] ;
wire \tile_x6y5_framedata_o[29] ;
wire \tile_x6y5_framedata_o[2] ;
wire \tile_x6y5_framedata_o[30] ;
wire \tile_x6y5_framedata_o[31] ;
wire \tile_x6y5_framedata_o[3] ;
wire \tile_x6y5_framedata_o[4] ;
wire \tile_x6y5_framedata_o[5] ;
wire \tile_x6y5_framedata_o[6] ;
wire \tile_x6y5_framedata_o[7] ;
wire \tile_x6y5_framedata_o[8] ;
wire \tile_x6y5_framedata_o[9] ;
wire \tile_x6y5_framestrobe_o[0] ;
wire \tile_x6y5_framestrobe_o[10] ;
wire \tile_x6y5_framestrobe_o[11] ;
wire \tile_x6y5_framestrobe_o[12] ;
wire \tile_x6y5_framestrobe_o[13] ;
wire \tile_x6y5_framestrobe_o[14] ;
wire \tile_x6y5_framestrobe_o[15] ;
wire \tile_x6y5_framestrobe_o[16] ;
wire \tile_x6y5_framestrobe_o[17] ;
wire \tile_x6y5_framestrobe_o[18] ;
wire \tile_x6y5_framestrobe_o[19] ;
wire \tile_x6y5_framestrobe_o[1] ;
wire \tile_x6y5_framestrobe_o[2] ;
wire \tile_x6y5_framestrobe_o[3] ;
wire \tile_x6y5_framestrobe_o[4] ;
wire \tile_x6y5_framestrobe_o[5] ;
wire \tile_x6y5_framestrobe_o[6] ;
wire \tile_x6y5_framestrobe_o[7] ;
wire \tile_x6y5_framestrobe_o[8] ;
wire \tile_x6y5_framestrobe_o[9] ;
wire \tile_x6y5_n1beg[0] ;
wire \tile_x6y5_n1beg[1] ;
wire \tile_x6y5_n1beg[2] ;
wire \tile_x6y5_n1beg[3] ;
wire \tile_x6y5_n2beg[0] ;
wire \tile_x6y5_n2beg[1] ;
wire \tile_x6y5_n2beg[2] ;
wire \tile_x6y5_n2beg[3] ;
wire \tile_x6y5_n2beg[4] ;
wire \tile_x6y5_n2beg[5] ;
wire \tile_x6y5_n2beg[6] ;
wire \tile_x6y5_n2beg[7] ;
wire \tile_x6y5_n2begb[0] ;
wire \tile_x6y5_n2begb[1] ;
wire \tile_x6y5_n2begb[2] ;
wire \tile_x6y5_n2begb[3] ;
wire \tile_x6y5_n2begb[4] ;
wire \tile_x6y5_n2begb[5] ;
wire \tile_x6y5_n2begb[6] ;
wire \tile_x6y5_n2begb[7] ;
wire \tile_x6y5_n4beg[0] ;
wire \tile_x6y5_n4beg[10] ;
wire \tile_x6y5_n4beg[11] ;
wire \tile_x6y5_n4beg[12] ;
wire \tile_x6y5_n4beg[13] ;
wire \tile_x6y5_n4beg[14] ;
wire \tile_x6y5_n4beg[15] ;
wire \tile_x6y5_n4beg[1] ;
wire \tile_x6y5_n4beg[2] ;
wire \tile_x6y5_n4beg[3] ;
wire \tile_x6y5_n4beg[4] ;
wire \tile_x6y5_n4beg[5] ;
wire \tile_x6y5_n4beg[6] ;
wire \tile_x6y5_n4beg[7] ;
wire \tile_x6y5_n4beg[8] ;
wire \tile_x6y5_n4beg[9] ;
wire \tile_x6y5_nn4beg[0] ;
wire \tile_x6y5_nn4beg[10] ;
wire \tile_x6y5_nn4beg[11] ;
wire \tile_x6y5_nn4beg[12] ;
wire \tile_x6y5_nn4beg[13] ;
wire \tile_x6y5_nn4beg[14] ;
wire \tile_x6y5_nn4beg[15] ;
wire \tile_x6y5_nn4beg[1] ;
wire \tile_x6y5_nn4beg[2] ;
wire \tile_x6y5_nn4beg[3] ;
wire \tile_x6y5_nn4beg[4] ;
wire \tile_x6y5_nn4beg[5] ;
wire \tile_x6y5_nn4beg[6] ;
wire \tile_x6y5_nn4beg[7] ;
wire \tile_x6y5_nn4beg[8] ;
wire \tile_x6y5_nn4beg[9] ;
wire \tile_x6y5_s1beg[0] ;
wire \tile_x6y5_s1beg[1] ;
wire \tile_x6y5_s1beg[2] ;
wire \tile_x6y5_s1beg[3] ;
wire \tile_x6y5_s2beg[0] ;
wire \tile_x6y5_s2beg[1] ;
wire \tile_x6y5_s2beg[2] ;
wire \tile_x6y5_s2beg[3] ;
wire \tile_x6y5_s2beg[4] ;
wire \tile_x6y5_s2beg[5] ;
wire \tile_x6y5_s2beg[6] ;
wire \tile_x6y5_s2beg[7] ;
wire \tile_x6y5_s2begb[0] ;
wire \tile_x6y5_s2begb[1] ;
wire \tile_x6y5_s2begb[2] ;
wire \tile_x6y5_s2begb[3] ;
wire \tile_x6y5_s2begb[4] ;
wire \tile_x6y5_s2begb[5] ;
wire \tile_x6y5_s2begb[6] ;
wire \tile_x6y5_s2begb[7] ;
wire \tile_x6y5_s4beg[0] ;
wire \tile_x6y5_s4beg[10] ;
wire \tile_x6y5_s4beg[11] ;
wire \tile_x6y5_s4beg[12] ;
wire \tile_x6y5_s4beg[13] ;
wire \tile_x6y5_s4beg[14] ;
wire \tile_x6y5_s4beg[15] ;
wire \tile_x6y5_s4beg[1] ;
wire \tile_x6y5_s4beg[2] ;
wire \tile_x6y5_s4beg[3] ;
wire \tile_x6y5_s4beg[4] ;
wire \tile_x6y5_s4beg[5] ;
wire \tile_x6y5_s4beg[6] ;
wire \tile_x6y5_s4beg[7] ;
wire \tile_x6y5_s4beg[8] ;
wire \tile_x6y5_s4beg[9] ;
wire \tile_x6y5_ss4beg[0] ;
wire \tile_x6y5_ss4beg[10] ;
wire \tile_x6y5_ss4beg[11] ;
wire \tile_x6y5_ss4beg[12] ;
wire \tile_x6y5_ss4beg[13] ;
wire \tile_x6y5_ss4beg[14] ;
wire \tile_x6y5_ss4beg[15] ;
wire \tile_x6y5_ss4beg[1] ;
wire \tile_x6y5_ss4beg[2] ;
wire \tile_x6y5_ss4beg[3] ;
wire \tile_x6y5_ss4beg[4] ;
wire \tile_x6y5_ss4beg[5] ;
wire \tile_x6y5_ss4beg[6] ;
wire \tile_x6y5_ss4beg[7] ;
wire \tile_x6y5_ss4beg[8] ;
wire \tile_x6y5_ss4beg[9] ;
wire tile_x6y5_userclko;
wire \tile_x6y5_w1beg[0] ;
wire \tile_x6y5_w1beg[1] ;
wire \tile_x6y5_w1beg[2] ;
wire \tile_x6y5_w1beg[3] ;
wire \tile_x6y5_w2beg[0] ;
wire \tile_x6y5_w2beg[1] ;
wire \tile_x6y5_w2beg[2] ;
wire \tile_x6y5_w2beg[3] ;
wire \tile_x6y5_w2beg[4] ;
wire \tile_x6y5_w2beg[5] ;
wire \tile_x6y5_w2beg[6] ;
wire \tile_x6y5_w2beg[7] ;
wire \tile_x6y5_w2begb[0] ;
wire \tile_x6y5_w2begb[1] ;
wire \tile_x6y5_w2begb[2] ;
wire \tile_x6y5_w2begb[3] ;
wire \tile_x6y5_w2begb[4] ;
wire \tile_x6y5_w2begb[5] ;
wire \tile_x6y5_w2begb[6] ;
wire \tile_x6y5_w2begb[7] ;
wire \tile_x6y5_w6beg[0] ;
wire \tile_x6y5_w6beg[10] ;
wire \tile_x6y5_w6beg[11] ;
wire \tile_x6y5_w6beg[1] ;
wire \tile_x6y5_w6beg[2] ;
wire \tile_x6y5_w6beg[3] ;
wire \tile_x6y5_w6beg[4] ;
wire \tile_x6y5_w6beg[5] ;
wire \tile_x6y5_w6beg[6] ;
wire \tile_x6y5_w6beg[7] ;
wire \tile_x6y5_w6beg[8] ;
wire \tile_x6y5_w6beg[9] ;
wire \tile_x6y5_ww4beg[0] ;
wire \tile_x6y5_ww4beg[10] ;
wire \tile_x6y5_ww4beg[11] ;
wire \tile_x6y5_ww4beg[12] ;
wire \tile_x6y5_ww4beg[13] ;
wire \tile_x6y5_ww4beg[14] ;
wire \tile_x6y5_ww4beg[15] ;
wire \tile_x6y5_ww4beg[1] ;
wire \tile_x6y5_ww4beg[2] ;
wire \tile_x6y5_ww4beg[3] ;
wire \tile_x6y5_ww4beg[4] ;
wire \tile_x6y5_ww4beg[5] ;
wire \tile_x6y5_ww4beg[6] ;
wire \tile_x6y5_ww4beg[7] ;
wire \tile_x6y5_ww4beg[8] ;
wire \tile_x6y5_ww4beg[9] ;
wire tile_x6y6_co;
wire \tile_x6y6_e1beg[0] ;
wire \tile_x6y6_e1beg[1] ;
wire \tile_x6y6_e1beg[2] ;
wire \tile_x6y6_e1beg[3] ;
wire \tile_x6y6_e2beg[0] ;
wire \tile_x6y6_e2beg[1] ;
wire \tile_x6y6_e2beg[2] ;
wire \tile_x6y6_e2beg[3] ;
wire \tile_x6y6_e2beg[4] ;
wire \tile_x6y6_e2beg[5] ;
wire \tile_x6y6_e2beg[6] ;
wire \tile_x6y6_e2beg[7] ;
wire \tile_x6y6_e2begb[0] ;
wire \tile_x6y6_e2begb[1] ;
wire \tile_x6y6_e2begb[2] ;
wire \tile_x6y6_e2begb[3] ;
wire \tile_x6y6_e2begb[4] ;
wire \tile_x6y6_e2begb[5] ;
wire \tile_x6y6_e2begb[6] ;
wire \tile_x6y6_e2begb[7] ;
wire \tile_x6y6_e6beg[0] ;
wire \tile_x6y6_e6beg[10] ;
wire \tile_x6y6_e6beg[11] ;
wire \tile_x6y6_e6beg[1] ;
wire \tile_x6y6_e6beg[2] ;
wire \tile_x6y6_e6beg[3] ;
wire \tile_x6y6_e6beg[4] ;
wire \tile_x6y6_e6beg[5] ;
wire \tile_x6y6_e6beg[6] ;
wire \tile_x6y6_e6beg[7] ;
wire \tile_x6y6_e6beg[8] ;
wire \tile_x6y6_e6beg[9] ;
wire \tile_x6y6_ee4beg[0] ;
wire \tile_x6y6_ee4beg[10] ;
wire \tile_x6y6_ee4beg[11] ;
wire \tile_x6y6_ee4beg[12] ;
wire \tile_x6y6_ee4beg[13] ;
wire \tile_x6y6_ee4beg[14] ;
wire \tile_x6y6_ee4beg[15] ;
wire \tile_x6y6_ee4beg[1] ;
wire \tile_x6y6_ee4beg[2] ;
wire \tile_x6y6_ee4beg[3] ;
wire \tile_x6y6_ee4beg[4] ;
wire \tile_x6y6_ee4beg[5] ;
wire \tile_x6y6_ee4beg[6] ;
wire \tile_x6y6_ee4beg[7] ;
wire \tile_x6y6_ee4beg[8] ;
wire \tile_x6y6_ee4beg[9] ;
wire \tile_x6y6_framedata_o[0] ;
wire \tile_x6y6_framedata_o[10] ;
wire \tile_x6y6_framedata_o[11] ;
wire \tile_x6y6_framedata_o[12] ;
wire \tile_x6y6_framedata_o[13] ;
wire \tile_x6y6_framedata_o[14] ;
wire \tile_x6y6_framedata_o[15] ;
wire \tile_x6y6_framedata_o[16] ;
wire \tile_x6y6_framedata_o[17] ;
wire \tile_x6y6_framedata_o[18] ;
wire \tile_x6y6_framedata_o[19] ;
wire \tile_x6y6_framedata_o[1] ;
wire \tile_x6y6_framedata_o[20] ;
wire \tile_x6y6_framedata_o[21] ;
wire \tile_x6y6_framedata_o[22] ;
wire \tile_x6y6_framedata_o[23] ;
wire \tile_x6y6_framedata_o[24] ;
wire \tile_x6y6_framedata_o[25] ;
wire \tile_x6y6_framedata_o[26] ;
wire \tile_x6y6_framedata_o[27] ;
wire \tile_x6y6_framedata_o[28] ;
wire \tile_x6y6_framedata_o[29] ;
wire \tile_x6y6_framedata_o[2] ;
wire \tile_x6y6_framedata_o[30] ;
wire \tile_x6y6_framedata_o[31] ;
wire \tile_x6y6_framedata_o[3] ;
wire \tile_x6y6_framedata_o[4] ;
wire \tile_x6y6_framedata_o[5] ;
wire \tile_x6y6_framedata_o[6] ;
wire \tile_x6y6_framedata_o[7] ;
wire \tile_x6y6_framedata_o[8] ;
wire \tile_x6y6_framedata_o[9] ;
wire \tile_x6y6_framestrobe_o[0] ;
wire \tile_x6y6_framestrobe_o[10] ;
wire \tile_x6y6_framestrobe_o[11] ;
wire \tile_x6y6_framestrobe_o[12] ;
wire \tile_x6y6_framestrobe_o[13] ;
wire \tile_x6y6_framestrobe_o[14] ;
wire \tile_x6y6_framestrobe_o[15] ;
wire \tile_x6y6_framestrobe_o[16] ;
wire \tile_x6y6_framestrobe_o[17] ;
wire \tile_x6y6_framestrobe_o[18] ;
wire \tile_x6y6_framestrobe_o[19] ;
wire \tile_x6y6_framestrobe_o[1] ;
wire \tile_x6y6_framestrobe_o[2] ;
wire \tile_x6y6_framestrobe_o[3] ;
wire \tile_x6y6_framestrobe_o[4] ;
wire \tile_x6y6_framestrobe_o[5] ;
wire \tile_x6y6_framestrobe_o[6] ;
wire \tile_x6y6_framestrobe_o[7] ;
wire \tile_x6y6_framestrobe_o[8] ;
wire \tile_x6y6_framestrobe_o[9] ;
wire \tile_x6y6_n1beg[0] ;
wire \tile_x6y6_n1beg[1] ;
wire \tile_x6y6_n1beg[2] ;
wire \tile_x6y6_n1beg[3] ;
wire \tile_x6y6_n2beg[0] ;
wire \tile_x6y6_n2beg[1] ;
wire \tile_x6y6_n2beg[2] ;
wire \tile_x6y6_n2beg[3] ;
wire \tile_x6y6_n2beg[4] ;
wire \tile_x6y6_n2beg[5] ;
wire \tile_x6y6_n2beg[6] ;
wire \tile_x6y6_n2beg[7] ;
wire \tile_x6y6_n2begb[0] ;
wire \tile_x6y6_n2begb[1] ;
wire \tile_x6y6_n2begb[2] ;
wire \tile_x6y6_n2begb[3] ;
wire \tile_x6y6_n2begb[4] ;
wire \tile_x6y6_n2begb[5] ;
wire \tile_x6y6_n2begb[6] ;
wire \tile_x6y6_n2begb[7] ;
wire \tile_x6y6_n4beg[0] ;
wire \tile_x6y6_n4beg[10] ;
wire \tile_x6y6_n4beg[11] ;
wire \tile_x6y6_n4beg[12] ;
wire \tile_x6y6_n4beg[13] ;
wire \tile_x6y6_n4beg[14] ;
wire \tile_x6y6_n4beg[15] ;
wire \tile_x6y6_n4beg[1] ;
wire \tile_x6y6_n4beg[2] ;
wire \tile_x6y6_n4beg[3] ;
wire \tile_x6y6_n4beg[4] ;
wire \tile_x6y6_n4beg[5] ;
wire \tile_x6y6_n4beg[6] ;
wire \tile_x6y6_n4beg[7] ;
wire \tile_x6y6_n4beg[8] ;
wire \tile_x6y6_n4beg[9] ;
wire \tile_x6y6_nn4beg[0] ;
wire \tile_x6y6_nn4beg[10] ;
wire \tile_x6y6_nn4beg[11] ;
wire \tile_x6y6_nn4beg[12] ;
wire \tile_x6y6_nn4beg[13] ;
wire \tile_x6y6_nn4beg[14] ;
wire \tile_x6y6_nn4beg[15] ;
wire \tile_x6y6_nn4beg[1] ;
wire \tile_x6y6_nn4beg[2] ;
wire \tile_x6y6_nn4beg[3] ;
wire \tile_x6y6_nn4beg[4] ;
wire \tile_x6y6_nn4beg[5] ;
wire \tile_x6y6_nn4beg[6] ;
wire \tile_x6y6_nn4beg[7] ;
wire \tile_x6y6_nn4beg[8] ;
wire \tile_x6y6_nn4beg[9] ;
wire \tile_x6y6_s1beg[0] ;
wire \tile_x6y6_s1beg[1] ;
wire \tile_x6y6_s1beg[2] ;
wire \tile_x6y6_s1beg[3] ;
wire \tile_x6y6_s2beg[0] ;
wire \tile_x6y6_s2beg[1] ;
wire \tile_x6y6_s2beg[2] ;
wire \tile_x6y6_s2beg[3] ;
wire \tile_x6y6_s2beg[4] ;
wire \tile_x6y6_s2beg[5] ;
wire \tile_x6y6_s2beg[6] ;
wire \tile_x6y6_s2beg[7] ;
wire \tile_x6y6_s2begb[0] ;
wire \tile_x6y6_s2begb[1] ;
wire \tile_x6y6_s2begb[2] ;
wire \tile_x6y6_s2begb[3] ;
wire \tile_x6y6_s2begb[4] ;
wire \tile_x6y6_s2begb[5] ;
wire \tile_x6y6_s2begb[6] ;
wire \tile_x6y6_s2begb[7] ;
wire \tile_x6y6_s4beg[0] ;
wire \tile_x6y6_s4beg[10] ;
wire \tile_x6y6_s4beg[11] ;
wire \tile_x6y6_s4beg[12] ;
wire \tile_x6y6_s4beg[13] ;
wire \tile_x6y6_s4beg[14] ;
wire \tile_x6y6_s4beg[15] ;
wire \tile_x6y6_s4beg[1] ;
wire \tile_x6y6_s4beg[2] ;
wire \tile_x6y6_s4beg[3] ;
wire \tile_x6y6_s4beg[4] ;
wire \tile_x6y6_s4beg[5] ;
wire \tile_x6y6_s4beg[6] ;
wire \tile_x6y6_s4beg[7] ;
wire \tile_x6y6_s4beg[8] ;
wire \tile_x6y6_s4beg[9] ;
wire \tile_x6y6_ss4beg[0] ;
wire \tile_x6y6_ss4beg[10] ;
wire \tile_x6y6_ss4beg[11] ;
wire \tile_x6y6_ss4beg[12] ;
wire \tile_x6y6_ss4beg[13] ;
wire \tile_x6y6_ss4beg[14] ;
wire \tile_x6y6_ss4beg[15] ;
wire \tile_x6y6_ss4beg[1] ;
wire \tile_x6y6_ss4beg[2] ;
wire \tile_x6y6_ss4beg[3] ;
wire \tile_x6y6_ss4beg[4] ;
wire \tile_x6y6_ss4beg[5] ;
wire \tile_x6y6_ss4beg[6] ;
wire \tile_x6y6_ss4beg[7] ;
wire \tile_x6y6_ss4beg[8] ;
wire \tile_x6y6_ss4beg[9] ;
wire tile_x6y6_userclko;
wire \tile_x6y6_w1beg[0] ;
wire \tile_x6y6_w1beg[1] ;
wire \tile_x6y6_w1beg[2] ;
wire \tile_x6y6_w1beg[3] ;
wire \tile_x6y6_w2beg[0] ;
wire \tile_x6y6_w2beg[1] ;
wire \tile_x6y6_w2beg[2] ;
wire \tile_x6y6_w2beg[3] ;
wire \tile_x6y6_w2beg[4] ;
wire \tile_x6y6_w2beg[5] ;
wire \tile_x6y6_w2beg[6] ;
wire \tile_x6y6_w2beg[7] ;
wire \tile_x6y6_w2begb[0] ;
wire \tile_x6y6_w2begb[1] ;
wire \tile_x6y6_w2begb[2] ;
wire \tile_x6y6_w2begb[3] ;
wire \tile_x6y6_w2begb[4] ;
wire \tile_x6y6_w2begb[5] ;
wire \tile_x6y6_w2begb[6] ;
wire \tile_x6y6_w2begb[7] ;
wire \tile_x6y6_w6beg[0] ;
wire \tile_x6y6_w6beg[10] ;
wire \tile_x6y6_w6beg[11] ;
wire \tile_x6y6_w6beg[1] ;
wire \tile_x6y6_w6beg[2] ;
wire \tile_x6y6_w6beg[3] ;
wire \tile_x6y6_w6beg[4] ;
wire \tile_x6y6_w6beg[5] ;
wire \tile_x6y6_w6beg[6] ;
wire \tile_x6y6_w6beg[7] ;
wire \tile_x6y6_w6beg[8] ;
wire \tile_x6y6_w6beg[9] ;
wire \tile_x6y6_ww4beg[0] ;
wire \tile_x6y6_ww4beg[10] ;
wire \tile_x6y6_ww4beg[11] ;
wire \tile_x6y6_ww4beg[12] ;
wire \tile_x6y6_ww4beg[13] ;
wire \tile_x6y6_ww4beg[14] ;
wire \tile_x6y6_ww4beg[15] ;
wire \tile_x6y6_ww4beg[1] ;
wire \tile_x6y6_ww4beg[2] ;
wire \tile_x6y6_ww4beg[3] ;
wire \tile_x6y6_ww4beg[4] ;
wire \tile_x6y6_ww4beg[5] ;
wire \tile_x6y6_ww4beg[6] ;
wire \tile_x6y6_ww4beg[7] ;
wire \tile_x6y6_ww4beg[8] ;
wire \tile_x6y6_ww4beg[9] ;
wire tile_x6y7_co;
wire \tile_x6y7_e1beg[0] ;
wire \tile_x6y7_e1beg[1] ;
wire \tile_x6y7_e1beg[2] ;
wire \tile_x6y7_e1beg[3] ;
wire \tile_x6y7_e2beg[0] ;
wire \tile_x6y7_e2beg[1] ;
wire \tile_x6y7_e2beg[2] ;
wire \tile_x6y7_e2beg[3] ;
wire \tile_x6y7_e2beg[4] ;
wire \tile_x6y7_e2beg[5] ;
wire \tile_x6y7_e2beg[6] ;
wire \tile_x6y7_e2beg[7] ;
wire \tile_x6y7_e2begb[0] ;
wire \tile_x6y7_e2begb[1] ;
wire \tile_x6y7_e2begb[2] ;
wire \tile_x6y7_e2begb[3] ;
wire \tile_x6y7_e2begb[4] ;
wire \tile_x6y7_e2begb[5] ;
wire \tile_x6y7_e2begb[6] ;
wire \tile_x6y7_e2begb[7] ;
wire \tile_x6y7_e6beg[0] ;
wire \tile_x6y7_e6beg[10] ;
wire \tile_x6y7_e6beg[11] ;
wire \tile_x6y7_e6beg[1] ;
wire \tile_x6y7_e6beg[2] ;
wire \tile_x6y7_e6beg[3] ;
wire \tile_x6y7_e6beg[4] ;
wire \tile_x6y7_e6beg[5] ;
wire \tile_x6y7_e6beg[6] ;
wire \tile_x6y7_e6beg[7] ;
wire \tile_x6y7_e6beg[8] ;
wire \tile_x6y7_e6beg[9] ;
wire \tile_x6y7_ee4beg[0] ;
wire \tile_x6y7_ee4beg[10] ;
wire \tile_x6y7_ee4beg[11] ;
wire \tile_x6y7_ee4beg[12] ;
wire \tile_x6y7_ee4beg[13] ;
wire \tile_x6y7_ee4beg[14] ;
wire \tile_x6y7_ee4beg[15] ;
wire \tile_x6y7_ee4beg[1] ;
wire \tile_x6y7_ee4beg[2] ;
wire \tile_x6y7_ee4beg[3] ;
wire \tile_x6y7_ee4beg[4] ;
wire \tile_x6y7_ee4beg[5] ;
wire \tile_x6y7_ee4beg[6] ;
wire \tile_x6y7_ee4beg[7] ;
wire \tile_x6y7_ee4beg[8] ;
wire \tile_x6y7_ee4beg[9] ;
wire \tile_x6y7_framedata_o[0] ;
wire \tile_x6y7_framedata_o[10] ;
wire \tile_x6y7_framedata_o[11] ;
wire \tile_x6y7_framedata_o[12] ;
wire \tile_x6y7_framedata_o[13] ;
wire \tile_x6y7_framedata_o[14] ;
wire \tile_x6y7_framedata_o[15] ;
wire \tile_x6y7_framedata_o[16] ;
wire \tile_x6y7_framedata_o[17] ;
wire \tile_x6y7_framedata_o[18] ;
wire \tile_x6y7_framedata_o[19] ;
wire \tile_x6y7_framedata_o[1] ;
wire \tile_x6y7_framedata_o[20] ;
wire \tile_x6y7_framedata_o[21] ;
wire \tile_x6y7_framedata_o[22] ;
wire \tile_x6y7_framedata_o[23] ;
wire \tile_x6y7_framedata_o[24] ;
wire \tile_x6y7_framedata_o[25] ;
wire \tile_x6y7_framedata_o[26] ;
wire \tile_x6y7_framedata_o[27] ;
wire \tile_x6y7_framedata_o[28] ;
wire \tile_x6y7_framedata_o[29] ;
wire \tile_x6y7_framedata_o[2] ;
wire \tile_x6y7_framedata_o[30] ;
wire \tile_x6y7_framedata_o[31] ;
wire \tile_x6y7_framedata_o[3] ;
wire \tile_x6y7_framedata_o[4] ;
wire \tile_x6y7_framedata_o[5] ;
wire \tile_x6y7_framedata_o[6] ;
wire \tile_x6y7_framedata_o[7] ;
wire \tile_x6y7_framedata_o[8] ;
wire \tile_x6y7_framedata_o[9] ;
wire \tile_x6y7_framestrobe_o[0] ;
wire \tile_x6y7_framestrobe_o[10] ;
wire \tile_x6y7_framestrobe_o[11] ;
wire \tile_x6y7_framestrobe_o[12] ;
wire \tile_x6y7_framestrobe_o[13] ;
wire \tile_x6y7_framestrobe_o[14] ;
wire \tile_x6y7_framestrobe_o[15] ;
wire \tile_x6y7_framestrobe_o[16] ;
wire \tile_x6y7_framestrobe_o[17] ;
wire \tile_x6y7_framestrobe_o[18] ;
wire \tile_x6y7_framestrobe_o[19] ;
wire \tile_x6y7_framestrobe_o[1] ;
wire \tile_x6y7_framestrobe_o[2] ;
wire \tile_x6y7_framestrobe_o[3] ;
wire \tile_x6y7_framestrobe_o[4] ;
wire \tile_x6y7_framestrobe_o[5] ;
wire \tile_x6y7_framestrobe_o[6] ;
wire \tile_x6y7_framestrobe_o[7] ;
wire \tile_x6y7_framestrobe_o[8] ;
wire \tile_x6y7_framestrobe_o[9] ;
wire \tile_x6y7_n1beg[0] ;
wire \tile_x6y7_n1beg[1] ;
wire \tile_x6y7_n1beg[2] ;
wire \tile_x6y7_n1beg[3] ;
wire \tile_x6y7_n2beg[0] ;
wire \tile_x6y7_n2beg[1] ;
wire \tile_x6y7_n2beg[2] ;
wire \tile_x6y7_n2beg[3] ;
wire \tile_x6y7_n2beg[4] ;
wire \tile_x6y7_n2beg[5] ;
wire \tile_x6y7_n2beg[6] ;
wire \tile_x6y7_n2beg[7] ;
wire \tile_x6y7_n2begb[0] ;
wire \tile_x6y7_n2begb[1] ;
wire \tile_x6y7_n2begb[2] ;
wire \tile_x6y7_n2begb[3] ;
wire \tile_x6y7_n2begb[4] ;
wire \tile_x6y7_n2begb[5] ;
wire \tile_x6y7_n2begb[6] ;
wire \tile_x6y7_n2begb[7] ;
wire \tile_x6y7_n4beg[0] ;
wire \tile_x6y7_n4beg[10] ;
wire \tile_x6y7_n4beg[11] ;
wire \tile_x6y7_n4beg[12] ;
wire \tile_x6y7_n4beg[13] ;
wire \tile_x6y7_n4beg[14] ;
wire \tile_x6y7_n4beg[15] ;
wire \tile_x6y7_n4beg[1] ;
wire \tile_x6y7_n4beg[2] ;
wire \tile_x6y7_n4beg[3] ;
wire \tile_x6y7_n4beg[4] ;
wire \tile_x6y7_n4beg[5] ;
wire \tile_x6y7_n4beg[6] ;
wire \tile_x6y7_n4beg[7] ;
wire \tile_x6y7_n4beg[8] ;
wire \tile_x6y7_n4beg[9] ;
wire \tile_x6y7_nn4beg[0] ;
wire \tile_x6y7_nn4beg[10] ;
wire \tile_x6y7_nn4beg[11] ;
wire \tile_x6y7_nn4beg[12] ;
wire \tile_x6y7_nn4beg[13] ;
wire \tile_x6y7_nn4beg[14] ;
wire \tile_x6y7_nn4beg[15] ;
wire \tile_x6y7_nn4beg[1] ;
wire \tile_x6y7_nn4beg[2] ;
wire \tile_x6y7_nn4beg[3] ;
wire \tile_x6y7_nn4beg[4] ;
wire \tile_x6y7_nn4beg[5] ;
wire \tile_x6y7_nn4beg[6] ;
wire \tile_x6y7_nn4beg[7] ;
wire \tile_x6y7_nn4beg[8] ;
wire \tile_x6y7_nn4beg[9] ;
wire \tile_x6y7_s1beg[0] ;
wire \tile_x6y7_s1beg[1] ;
wire \tile_x6y7_s1beg[2] ;
wire \tile_x6y7_s1beg[3] ;
wire \tile_x6y7_s2beg[0] ;
wire \tile_x6y7_s2beg[1] ;
wire \tile_x6y7_s2beg[2] ;
wire \tile_x6y7_s2beg[3] ;
wire \tile_x6y7_s2beg[4] ;
wire \tile_x6y7_s2beg[5] ;
wire \tile_x6y7_s2beg[6] ;
wire \tile_x6y7_s2beg[7] ;
wire \tile_x6y7_s2begb[0] ;
wire \tile_x6y7_s2begb[1] ;
wire \tile_x6y7_s2begb[2] ;
wire \tile_x6y7_s2begb[3] ;
wire \tile_x6y7_s2begb[4] ;
wire \tile_x6y7_s2begb[5] ;
wire \tile_x6y7_s2begb[6] ;
wire \tile_x6y7_s2begb[7] ;
wire \tile_x6y7_s4beg[0] ;
wire \tile_x6y7_s4beg[10] ;
wire \tile_x6y7_s4beg[11] ;
wire \tile_x6y7_s4beg[12] ;
wire \tile_x6y7_s4beg[13] ;
wire \tile_x6y7_s4beg[14] ;
wire \tile_x6y7_s4beg[15] ;
wire \tile_x6y7_s4beg[1] ;
wire \tile_x6y7_s4beg[2] ;
wire \tile_x6y7_s4beg[3] ;
wire \tile_x6y7_s4beg[4] ;
wire \tile_x6y7_s4beg[5] ;
wire \tile_x6y7_s4beg[6] ;
wire \tile_x6y7_s4beg[7] ;
wire \tile_x6y7_s4beg[8] ;
wire \tile_x6y7_s4beg[9] ;
wire \tile_x6y7_ss4beg[0] ;
wire \tile_x6y7_ss4beg[10] ;
wire \tile_x6y7_ss4beg[11] ;
wire \tile_x6y7_ss4beg[12] ;
wire \tile_x6y7_ss4beg[13] ;
wire \tile_x6y7_ss4beg[14] ;
wire \tile_x6y7_ss4beg[15] ;
wire \tile_x6y7_ss4beg[1] ;
wire \tile_x6y7_ss4beg[2] ;
wire \tile_x6y7_ss4beg[3] ;
wire \tile_x6y7_ss4beg[4] ;
wire \tile_x6y7_ss4beg[5] ;
wire \tile_x6y7_ss4beg[6] ;
wire \tile_x6y7_ss4beg[7] ;
wire \tile_x6y7_ss4beg[8] ;
wire \tile_x6y7_ss4beg[9] ;
wire tile_x6y7_userclko;
wire \tile_x6y7_w1beg[0] ;
wire \tile_x6y7_w1beg[1] ;
wire \tile_x6y7_w1beg[2] ;
wire \tile_x6y7_w1beg[3] ;
wire \tile_x6y7_w2beg[0] ;
wire \tile_x6y7_w2beg[1] ;
wire \tile_x6y7_w2beg[2] ;
wire \tile_x6y7_w2beg[3] ;
wire \tile_x6y7_w2beg[4] ;
wire \tile_x6y7_w2beg[5] ;
wire \tile_x6y7_w2beg[6] ;
wire \tile_x6y7_w2beg[7] ;
wire \tile_x6y7_w2begb[0] ;
wire \tile_x6y7_w2begb[1] ;
wire \tile_x6y7_w2begb[2] ;
wire \tile_x6y7_w2begb[3] ;
wire \tile_x6y7_w2begb[4] ;
wire \tile_x6y7_w2begb[5] ;
wire \tile_x6y7_w2begb[6] ;
wire \tile_x6y7_w2begb[7] ;
wire \tile_x6y7_w6beg[0] ;
wire \tile_x6y7_w6beg[10] ;
wire \tile_x6y7_w6beg[11] ;
wire \tile_x6y7_w6beg[1] ;
wire \tile_x6y7_w6beg[2] ;
wire \tile_x6y7_w6beg[3] ;
wire \tile_x6y7_w6beg[4] ;
wire \tile_x6y7_w6beg[5] ;
wire \tile_x6y7_w6beg[6] ;
wire \tile_x6y7_w6beg[7] ;
wire \tile_x6y7_w6beg[8] ;
wire \tile_x6y7_w6beg[9] ;
wire \tile_x6y7_ww4beg[0] ;
wire \tile_x6y7_ww4beg[10] ;
wire \tile_x6y7_ww4beg[11] ;
wire \tile_x6y7_ww4beg[12] ;
wire \tile_x6y7_ww4beg[13] ;
wire \tile_x6y7_ww4beg[14] ;
wire \tile_x6y7_ww4beg[15] ;
wire \tile_x6y7_ww4beg[1] ;
wire \tile_x6y7_ww4beg[2] ;
wire \tile_x6y7_ww4beg[3] ;
wire \tile_x6y7_ww4beg[4] ;
wire \tile_x6y7_ww4beg[5] ;
wire \tile_x6y7_ww4beg[6] ;
wire \tile_x6y7_ww4beg[7] ;
wire \tile_x6y7_ww4beg[8] ;
wire \tile_x6y7_ww4beg[9] ;
wire tile_x6y8_co;
wire \tile_x6y8_e1beg[0] ;
wire \tile_x6y8_e1beg[1] ;
wire \tile_x6y8_e1beg[2] ;
wire \tile_x6y8_e1beg[3] ;
wire \tile_x6y8_e2beg[0] ;
wire \tile_x6y8_e2beg[1] ;
wire \tile_x6y8_e2beg[2] ;
wire \tile_x6y8_e2beg[3] ;
wire \tile_x6y8_e2beg[4] ;
wire \tile_x6y8_e2beg[5] ;
wire \tile_x6y8_e2beg[6] ;
wire \tile_x6y8_e2beg[7] ;
wire \tile_x6y8_e2begb[0] ;
wire \tile_x6y8_e2begb[1] ;
wire \tile_x6y8_e2begb[2] ;
wire \tile_x6y8_e2begb[3] ;
wire \tile_x6y8_e2begb[4] ;
wire \tile_x6y8_e2begb[5] ;
wire \tile_x6y8_e2begb[6] ;
wire \tile_x6y8_e2begb[7] ;
wire \tile_x6y8_e6beg[0] ;
wire \tile_x6y8_e6beg[10] ;
wire \tile_x6y8_e6beg[11] ;
wire \tile_x6y8_e6beg[1] ;
wire \tile_x6y8_e6beg[2] ;
wire \tile_x6y8_e6beg[3] ;
wire \tile_x6y8_e6beg[4] ;
wire \tile_x6y8_e6beg[5] ;
wire \tile_x6y8_e6beg[6] ;
wire \tile_x6y8_e6beg[7] ;
wire \tile_x6y8_e6beg[8] ;
wire \tile_x6y8_e6beg[9] ;
wire \tile_x6y8_ee4beg[0] ;
wire \tile_x6y8_ee4beg[10] ;
wire \tile_x6y8_ee4beg[11] ;
wire \tile_x6y8_ee4beg[12] ;
wire \tile_x6y8_ee4beg[13] ;
wire \tile_x6y8_ee4beg[14] ;
wire \tile_x6y8_ee4beg[15] ;
wire \tile_x6y8_ee4beg[1] ;
wire \tile_x6y8_ee4beg[2] ;
wire \tile_x6y8_ee4beg[3] ;
wire \tile_x6y8_ee4beg[4] ;
wire \tile_x6y8_ee4beg[5] ;
wire \tile_x6y8_ee4beg[6] ;
wire \tile_x6y8_ee4beg[7] ;
wire \tile_x6y8_ee4beg[8] ;
wire \tile_x6y8_ee4beg[9] ;
wire \tile_x6y8_framedata_o[0] ;
wire \tile_x6y8_framedata_o[10] ;
wire \tile_x6y8_framedata_o[11] ;
wire \tile_x6y8_framedata_o[12] ;
wire \tile_x6y8_framedata_o[13] ;
wire \tile_x6y8_framedata_o[14] ;
wire \tile_x6y8_framedata_o[15] ;
wire \tile_x6y8_framedata_o[16] ;
wire \tile_x6y8_framedata_o[17] ;
wire \tile_x6y8_framedata_o[18] ;
wire \tile_x6y8_framedata_o[19] ;
wire \tile_x6y8_framedata_o[1] ;
wire \tile_x6y8_framedata_o[20] ;
wire \tile_x6y8_framedata_o[21] ;
wire \tile_x6y8_framedata_o[22] ;
wire \tile_x6y8_framedata_o[23] ;
wire \tile_x6y8_framedata_o[24] ;
wire \tile_x6y8_framedata_o[25] ;
wire \tile_x6y8_framedata_o[26] ;
wire \tile_x6y8_framedata_o[27] ;
wire \tile_x6y8_framedata_o[28] ;
wire \tile_x6y8_framedata_o[29] ;
wire \tile_x6y8_framedata_o[2] ;
wire \tile_x6y8_framedata_o[30] ;
wire \tile_x6y8_framedata_o[31] ;
wire \tile_x6y8_framedata_o[3] ;
wire \tile_x6y8_framedata_o[4] ;
wire \tile_x6y8_framedata_o[5] ;
wire \tile_x6y8_framedata_o[6] ;
wire \tile_x6y8_framedata_o[7] ;
wire \tile_x6y8_framedata_o[8] ;
wire \tile_x6y8_framedata_o[9] ;
wire \tile_x6y8_framestrobe_o[0] ;
wire \tile_x6y8_framestrobe_o[10] ;
wire \tile_x6y8_framestrobe_o[11] ;
wire \tile_x6y8_framestrobe_o[12] ;
wire \tile_x6y8_framestrobe_o[13] ;
wire \tile_x6y8_framestrobe_o[14] ;
wire \tile_x6y8_framestrobe_o[15] ;
wire \tile_x6y8_framestrobe_o[16] ;
wire \tile_x6y8_framestrobe_o[17] ;
wire \tile_x6y8_framestrobe_o[18] ;
wire \tile_x6y8_framestrobe_o[19] ;
wire \tile_x6y8_framestrobe_o[1] ;
wire \tile_x6y8_framestrobe_o[2] ;
wire \tile_x6y8_framestrobe_o[3] ;
wire \tile_x6y8_framestrobe_o[4] ;
wire \tile_x6y8_framestrobe_o[5] ;
wire \tile_x6y8_framestrobe_o[6] ;
wire \tile_x6y8_framestrobe_o[7] ;
wire \tile_x6y8_framestrobe_o[8] ;
wire \tile_x6y8_framestrobe_o[9] ;
wire \tile_x6y8_n1beg[0] ;
wire \tile_x6y8_n1beg[1] ;
wire \tile_x6y8_n1beg[2] ;
wire \tile_x6y8_n1beg[3] ;
wire \tile_x6y8_n2beg[0] ;
wire \tile_x6y8_n2beg[1] ;
wire \tile_x6y8_n2beg[2] ;
wire \tile_x6y8_n2beg[3] ;
wire \tile_x6y8_n2beg[4] ;
wire \tile_x6y8_n2beg[5] ;
wire \tile_x6y8_n2beg[6] ;
wire \tile_x6y8_n2beg[7] ;
wire \tile_x6y8_n2begb[0] ;
wire \tile_x6y8_n2begb[1] ;
wire \tile_x6y8_n2begb[2] ;
wire \tile_x6y8_n2begb[3] ;
wire \tile_x6y8_n2begb[4] ;
wire \tile_x6y8_n2begb[5] ;
wire \tile_x6y8_n2begb[6] ;
wire \tile_x6y8_n2begb[7] ;
wire \tile_x6y8_n4beg[0] ;
wire \tile_x6y8_n4beg[10] ;
wire \tile_x6y8_n4beg[11] ;
wire \tile_x6y8_n4beg[12] ;
wire \tile_x6y8_n4beg[13] ;
wire \tile_x6y8_n4beg[14] ;
wire \tile_x6y8_n4beg[15] ;
wire \tile_x6y8_n4beg[1] ;
wire \tile_x6y8_n4beg[2] ;
wire \tile_x6y8_n4beg[3] ;
wire \tile_x6y8_n4beg[4] ;
wire \tile_x6y8_n4beg[5] ;
wire \tile_x6y8_n4beg[6] ;
wire \tile_x6y8_n4beg[7] ;
wire \tile_x6y8_n4beg[8] ;
wire \tile_x6y8_n4beg[9] ;
wire \tile_x6y8_nn4beg[0] ;
wire \tile_x6y8_nn4beg[10] ;
wire \tile_x6y8_nn4beg[11] ;
wire \tile_x6y8_nn4beg[12] ;
wire \tile_x6y8_nn4beg[13] ;
wire \tile_x6y8_nn4beg[14] ;
wire \tile_x6y8_nn4beg[15] ;
wire \tile_x6y8_nn4beg[1] ;
wire \tile_x6y8_nn4beg[2] ;
wire \tile_x6y8_nn4beg[3] ;
wire \tile_x6y8_nn4beg[4] ;
wire \tile_x6y8_nn4beg[5] ;
wire \tile_x6y8_nn4beg[6] ;
wire \tile_x6y8_nn4beg[7] ;
wire \tile_x6y8_nn4beg[8] ;
wire \tile_x6y8_nn4beg[9] ;
wire \tile_x6y8_s1beg[0] ;
wire \tile_x6y8_s1beg[1] ;
wire \tile_x6y8_s1beg[2] ;
wire \tile_x6y8_s1beg[3] ;
wire \tile_x6y8_s2beg[0] ;
wire \tile_x6y8_s2beg[1] ;
wire \tile_x6y8_s2beg[2] ;
wire \tile_x6y8_s2beg[3] ;
wire \tile_x6y8_s2beg[4] ;
wire \tile_x6y8_s2beg[5] ;
wire \tile_x6y8_s2beg[6] ;
wire \tile_x6y8_s2beg[7] ;
wire \tile_x6y8_s2begb[0] ;
wire \tile_x6y8_s2begb[1] ;
wire \tile_x6y8_s2begb[2] ;
wire \tile_x6y8_s2begb[3] ;
wire \tile_x6y8_s2begb[4] ;
wire \tile_x6y8_s2begb[5] ;
wire \tile_x6y8_s2begb[6] ;
wire \tile_x6y8_s2begb[7] ;
wire \tile_x6y8_s4beg[0] ;
wire \tile_x6y8_s4beg[10] ;
wire \tile_x6y8_s4beg[11] ;
wire \tile_x6y8_s4beg[12] ;
wire \tile_x6y8_s4beg[13] ;
wire \tile_x6y8_s4beg[14] ;
wire \tile_x6y8_s4beg[15] ;
wire \tile_x6y8_s4beg[1] ;
wire \tile_x6y8_s4beg[2] ;
wire \tile_x6y8_s4beg[3] ;
wire \tile_x6y8_s4beg[4] ;
wire \tile_x6y8_s4beg[5] ;
wire \tile_x6y8_s4beg[6] ;
wire \tile_x6y8_s4beg[7] ;
wire \tile_x6y8_s4beg[8] ;
wire \tile_x6y8_s4beg[9] ;
wire \tile_x6y8_ss4beg[0] ;
wire \tile_x6y8_ss4beg[10] ;
wire \tile_x6y8_ss4beg[11] ;
wire \tile_x6y8_ss4beg[12] ;
wire \tile_x6y8_ss4beg[13] ;
wire \tile_x6y8_ss4beg[14] ;
wire \tile_x6y8_ss4beg[15] ;
wire \tile_x6y8_ss4beg[1] ;
wire \tile_x6y8_ss4beg[2] ;
wire \tile_x6y8_ss4beg[3] ;
wire \tile_x6y8_ss4beg[4] ;
wire \tile_x6y8_ss4beg[5] ;
wire \tile_x6y8_ss4beg[6] ;
wire \tile_x6y8_ss4beg[7] ;
wire \tile_x6y8_ss4beg[8] ;
wire \tile_x6y8_ss4beg[9] ;
wire tile_x6y8_userclko;
wire \tile_x6y8_w1beg[0] ;
wire \tile_x6y8_w1beg[1] ;
wire \tile_x6y8_w1beg[2] ;
wire \tile_x6y8_w1beg[3] ;
wire \tile_x6y8_w2beg[0] ;
wire \tile_x6y8_w2beg[1] ;
wire \tile_x6y8_w2beg[2] ;
wire \tile_x6y8_w2beg[3] ;
wire \tile_x6y8_w2beg[4] ;
wire \tile_x6y8_w2beg[5] ;
wire \tile_x6y8_w2beg[6] ;
wire \tile_x6y8_w2beg[7] ;
wire \tile_x6y8_w2begb[0] ;
wire \tile_x6y8_w2begb[1] ;
wire \tile_x6y8_w2begb[2] ;
wire \tile_x6y8_w2begb[3] ;
wire \tile_x6y8_w2begb[4] ;
wire \tile_x6y8_w2begb[5] ;
wire \tile_x6y8_w2begb[6] ;
wire \tile_x6y8_w2begb[7] ;
wire \tile_x6y8_w6beg[0] ;
wire \tile_x6y8_w6beg[10] ;
wire \tile_x6y8_w6beg[11] ;
wire \tile_x6y8_w6beg[1] ;
wire \tile_x6y8_w6beg[2] ;
wire \tile_x6y8_w6beg[3] ;
wire \tile_x6y8_w6beg[4] ;
wire \tile_x6y8_w6beg[5] ;
wire \tile_x6y8_w6beg[6] ;
wire \tile_x6y8_w6beg[7] ;
wire \tile_x6y8_w6beg[8] ;
wire \tile_x6y8_w6beg[9] ;
wire \tile_x6y8_ww4beg[0] ;
wire \tile_x6y8_ww4beg[10] ;
wire \tile_x6y8_ww4beg[11] ;
wire \tile_x6y8_ww4beg[12] ;
wire \tile_x6y8_ww4beg[13] ;
wire \tile_x6y8_ww4beg[14] ;
wire \tile_x6y8_ww4beg[15] ;
wire \tile_x6y8_ww4beg[1] ;
wire \tile_x6y8_ww4beg[2] ;
wire \tile_x6y8_ww4beg[3] ;
wire \tile_x6y8_ww4beg[4] ;
wire \tile_x6y8_ww4beg[5] ;
wire \tile_x6y8_ww4beg[6] ;
wire \tile_x6y8_ww4beg[7] ;
wire \tile_x6y8_ww4beg[8] ;
wire \tile_x6y8_ww4beg[9] ;
wire tile_x6y9_co;
wire \tile_x6y9_e1beg[0] ;
wire \tile_x6y9_e1beg[1] ;
wire \tile_x6y9_e1beg[2] ;
wire \tile_x6y9_e1beg[3] ;
wire \tile_x6y9_e2beg[0] ;
wire \tile_x6y9_e2beg[1] ;
wire \tile_x6y9_e2beg[2] ;
wire \tile_x6y9_e2beg[3] ;
wire \tile_x6y9_e2beg[4] ;
wire \tile_x6y9_e2beg[5] ;
wire \tile_x6y9_e2beg[6] ;
wire \tile_x6y9_e2beg[7] ;
wire \tile_x6y9_e2begb[0] ;
wire \tile_x6y9_e2begb[1] ;
wire \tile_x6y9_e2begb[2] ;
wire \tile_x6y9_e2begb[3] ;
wire \tile_x6y9_e2begb[4] ;
wire \tile_x6y9_e2begb[5] ;
wire \tile_x6y9_e2begb[6] ;
wire \tile_x6y9_e2begb[7] ;
wire \tile_x6y9_e6beg[0] ;
wire \tile_x6y9_e6beg[10] ;
wire \tile_x6y9_e6beg[11] ;
wire \tile_x6y9_e6beg[1] ;
wire \tile_x6y9_e6beg[2] ;
wire \tile_x6y9_e6beg[3] ;
wire \tile_x6y9_e6beg[4] ;
wire \tile_x6y9_e6beg[5] ;
wire \tile_x6y9_e6beg[6] ;
wire \tile_x6y9_e6beg[7] ;
wire \tile_x6y9_e6beg[8] ;
wire \tile_x6y9_e6beg[9] ;
wire \tile_x6y9_ee4beg[0] ;
wire \tile_x6y9_ee4beg[10] ;
wire \tile_x6y9_ee4beg[11] ;
wire \tile_x6y9_ee4beg[12] ;
wire \tile_x6y9_ee4beg[13] ;
wire \tile_x6y9_ee4beg[14] ;
wire \tile_x6y9_ee4beg[15] ;
wire \tile_x6y9_ee4beg[1] ;
wire \tile_x6y9_ee4beg[2] ;
wire \tile_x6y9_ee4beg[3] ;
wire \tile_x6y9_ee4beg[4] ;
wire \tile_x6y9_ee4beg[5] ;
wire \tile_x6y9_ee4beg[6] ;
wire \tile_x6y9_ee4beg[7] ;
wire \tile_x6y9_ee4beg[8] ;
wire \tile_x6y9_ee4beg[9] ;
wire \tile_x6y9_framedata_o[0] ;
wire \tile_x6y9_framedata_o[10] ;
wire \tile_x6y9_framedata_o[11] ;
wire \tile_x6y9_framedata_o[12] ;
wire \tile_x6y9_framedata_o[13] ;
wire \tile_x6y9_framedata_o[14] ;
wire \tile_x6y9_framedata_o[15] ;
wire \tile_x6y9_framedata_o[16] ;
wire \tile_x6y9_framedata_o[17] ;
wire \tile_x6y9_framedata_o[18] ;
wire \tile_x6y9_framedata_o[19] ;
wire \tile_x6y9_framedata_o[1] ;
wire \tile_x6y9_framedata_o[20] ;
wire \tile_x6y9_framedata_o[21] ;
wire \tile_x6y9_framedata_o[22] ;
wire \tile_x6y9_framedata_o[23] ;
wire \tile_x6y9_framedata_o[24] ;
wire \tile_x6y9_framedata_o[25] ;
wire \tile_x6y9_framedata_o[26] ;
wire \tile_x6y9_framedata_o[27] ;
wire \tile_x6y9_framedata_o[28] ;
wire \tile_x6y9_framedata_o[29] ;
wire \tile_x6y9_framedata_o[2] ;
wire \tile_x6y9_framedata_o[30] ;
wire \tile_x6y9_framedata_o[31] ;
wire \tile_x6y9_framedata_o[3] ;
wire \tile_x6y9_framedata_o[4] ;
wire \tile_x6y9_framedata_o[5] ;
wire \tile_x6y9_framedata_o[6] ;
wire \tile_x6y9_framedata_o[7] ;
wire \tile_x6y9_framedata_o[8] ;
wire \tile_x6y9_framedata_o[9] ;
wire \tile_x6y9_framestrobe_o[0] ;
wire \tile_x6y9_framestrobe_o[10] ;
wire \tile_x6y9_framestrobe_o[11] ;
wire \tile_x6y9_framestrobe_o[12] ;
wire \tile_x6y9_framestrobe_o[13] ;
wire \tile_x6y9_framestrobe_o[14] ;
wire \tile_x6y9_framestrobe_o[15] ;
wire \tile_x6y9_framestrobe_o[16] ;
wire \tile_x6y9_framestrobe_o[17] ;
wire \tile_x6y9_framestrobe_o[18] ;
wire \tile_x6y9_framestrobe_o[19] ;
wire \tile_x6y9_framestrobe_o[1] ;
wire \tile_x6y9_framestrobe_o[2] ;
wire \tile_x6y9_framestrobe_o[3] ;
wire \tile_x6y9_framestrobe_o[4] ;
wire \tile_x6y9_framestrobe_o[5] ;
wire \tile_x6y9_framestrobe_o[6] ;
wire \tile_x6y9_framestrobe_o[7] ;
wire \tile_x6y9_framestrobe_o[8] ;
wire \tile_x6y9_framestrobe_o[9] ;
wire \tile_x6y9_n1beg[0] ;
wire \tile_x6y9_n1beg[1] ;
wire \tile_x6y9_n1beg[2] ;
wire \tile_x6y9_n1beg[3] ;
wire \tile_x6y9_n2beg[0] ;
wire \tile_x6y9_n2beg[1] ;
wire \tile_x6y9_n2beg[2] ;
wire \tile_x6y9_n2beg[3] ;
wire \tile_x6y9_n2beg[4] ;
wire \tile_x6y9_n2beg[5] ;
wire \tile_x6y9_n2beg[6] ;
wire \tile_x6y9_n2beg[7] ;
wire \tile_x6y9_n2begb[0] ;
wire \tile_x6y9_n2begb[1] ;
wire \tile_x6y9_n2begb[2] ;
wire \tile_x6y9_n2begb[3] ;
wire \tile_x6y9_n2begb[4] ;
wire \tile_x6y9_n2begb[5] ;
wire \tile_x6y9_n2begb[6] ;
wire \tile_x6y9_n2begb[7] ;
wire \tile_x6y9_n4beg[0] ;
wire \tile_x6y9_n4beg[10] ;
wire \tile_x6y9_n4beg[11] ;
wire \tile_x6y9_n4beg[12] ;
wire \tile_x6y9_n4beg[13] ;
wire \tile_x6y9_n4beg[14] ;
wire \tile_x6y9_n4beg[15] ;
wire \tile_x6y9_n4beg[1] ;
wire \tile_x6y9_n4beg[2] ;
wire \tile_x6y9_n4beg[3] ;
wire \tile_x6y9_n4beg[4] ;
wire \tile_x6y9_n4beg[5] ;
wire \tile_x6y9_n4beg[6] ;
wire \tile_x6y9_n4beg[7] ;
wire \tile_x6y9_n4beg[8] ;
wire \tile_x6y9_n4beg[9] ;
wire \tile_x6y9_nn4beg[0] ;
wire \tile_x6y9_nn4beg[10] ;
wire \tile_x6y9_nn4beg[11] ;
wire \tile_x6y9_nn4beg[12] ;
wire \tile_x6y9_nn4beg[13] ;
wire \tile_x6y9_nn4beg[14] ;
wire \tile_x6y9_nn4beg[15] ;
wire \tile_x6y9_nn4beg[1] ;
wire \tile_x6y9_nn4beg[2] ;
wire \tile_x6y9_nn4beg[3] ;
wire \tile_x6y9_nn4beg[4] ;
wire \tile_x6y9_nn4beg[5] ;
wire \tile_x6y9_nn4beg[6] ;
wire \tile_x6y9_nn4beg[7] ;
wire \tile_x6y9_nn4beg[8] ;
wire \tile_x6y9_nn4beg[9] ;
wire \tile_x6y9_s1beg[0] ;
wire \tile_x6y9_s1beg[1] ;
wire \tile_x6y9_s1beg[2] ;
wire \tile_x6y9_s1beg[3] ;
wire \tile_x6y9_s2beg[0] ;
wire \tile_x6y9_s2beg[1] ;
wire \tile_x6y9_s2beg[2] ;
wire \tile_x6y9_s2beg[3] ;
wire \tile_x6y9_s2beg[4] ;
wire \tile_x6y9_s2beg[5] ;
wire \tile_x6y9_s2beg[6] ;
wire \tile_x6y9_s2beg[7] ;
wire \tile_x6y9_s2begb[0] ;
wire \tile_x6y9_s2begb[1] ;
wire \tile_x6y9_s2begb[2] ;
wire \tile_x6y9_s2begb[3] ;
wire \tile_x6y9_s2begb[4] ;
wire \tile_x6y9_s2begb[5] ;
wire \tile_x6y9_s2begb[6] ;
wire \tile_x6y9_s2begb[7] ;
wire \tile_x6y9_s4beg[0] ;
wire \tile_x6y9_s4beg[10] ;
wire \tile_x6y9_s4beg[11] ;
wire \tile_x6y9_s4beg[12] ;
wire \tile_x6y9_s4beg[13] ;
wire \tile_x6y9_s4beg[14] ;
wire \tile_x6y9_s4beg[15] ;
wire \tile_x6y9_s4beg[1] ;
wire \tile_x6y9_s4beg[2] ;
wire \tile_x6y9_s4beg[3] ;
wire \tile_x6y9_s4beg[4] ;
wire \tile_x6y9_s4beg[5] ;
wire \tile_x6y9_s4beg[6] ;
wire \tile_x6y9_s4beg[7] ;
wire \tile_x6y9_s4beg[8] ;
wire \tile_x6y9_s4beg[9] ;
wire \tile_x6y9_ss4beg[0] ;
wire \tile_x6y9_ss4beg[10] ;
wire \tile_x6y9_ss4beg[11] ;
wire \tile_x6y9_ss4beg[12] ;
wire \tile_x6y9_ss4beg[13] ;
wire \tile_x6y9_ss4beg[14] ;
wire \tile_x6y9_ss4beg[15] ;
wire \tile_x6y9_ss4beg[1] ;
wire \tile_x6y9_ss4beg[2] ;
wire \tile_x6y9_ss4beg[3] ;
wire \tile_x6y9_ss4beg[4] ;
wire \tile_x6y9_ss4beg[5] ;
wire \tile_x6y9_ss4beg[6] ;
wire \tile_x6y9_ss4beg[7] ;
wire \tile_x6y9_ss4beg[8] ;
wire \tile_x6y9_ss4beg[9] ;
wire tile_x6y9_userclko;
wire \tile_x6y9_w1beg[0] ;
wire \tile_x6y9_w1beg[1] ;
wire \tile_x6y9_w1beg[2] ;
wire \tile_x6y9_w1beg[3] ;
wire \tile_x6y9_w2beg[0] ;
wire \tile_x6y9_w2beg[1] ;
wire \tile_x6y9_w2beg[2] ;
wire \tile_x6y9_w2beg[3] ;
wire \tile_x6y9_w2beg[4] ;
wire \tile_x6y9_w2beg[5] ;
wire \tile_x6y9_w2beg[6] ;
wire \tile_x6y9_w2beg[7] ;
wire \tile_x6y9_w2begb[0] ;
wire \tile_x6y9_w2begb[1] ;
wire \tile_x6y9_w2begb[2] ;
wire \tile_x6y9_w2begb[3] ;
wire \tile_x6y9_w2begb[4] ;
wire \tile_x6y9_w2begb[5] ;
wire \tile_x6y9_w2begb[6] ;
wire \tile_x6y9_w2begb[7] ;
wire \tile_x6y9_w6beg[0] ;
wire \tile_x6y9_w6beg[10] ;
wire \tile_x6y9_w6beg[11] ;
wire \tile_x6y9_w6beg[1] ;
wire \tile_x6y9_w6beg[2] ;
wire \tile_x6y9_w6beg[3] ;
wire \tile_x6y9_w6beg[4] ;
wire \tile_x6y9_w6beg[5] ;
wire \tile_x6y9_w6beg[6] ;
wire \tile_x6y9_w6beg[7] ;
wire \tile_x6y9_w6beg[8] ;
wire \tile_x6y9_w6beg[9] ;
wire \tile_x6y9_ww4beg[0] ;
wire \tile_x6y9_ww4beg[10] ;
wire \tile_x6y9_ww4beg[11] ;
wire \tile_x6y9_ww4beg[12] ;
wire \tile_x6y9_ww4beg[13] ;
wire \tile_x6y9_ww4beg[14] ;
wire \tile_x6y9_ww4beg[15] ;
wire \tile_x6y9_ww4beg[1] ;
wire \tile_x6y9_ww4beg[2] ;
wire \tile_x6y9_ww4beg[3] ;
wire \tile_x6y9_ww4beg[4] ;
wire \tile_x6y9_ww4beg[5] ;
wire \tile_x6y9_ww4beg[6] ;
wire \tile_x6y9_ww4beg[7] ;
wire \tile_x6y9_ww4beg[8] ;
wire \tile_x6y9_ww4beg[9] ;
wire \tile_x7y0_framestrobe_o[0] ;
wire \tile_x7y0_framestrobe_o[10] ;
wire \tile_x7y0_framestrobe_o[11] ;
wire \tile_x7y0_framestrobe_o[12] ;
wire \tile_x7y0_framestrobe_o[13] ;
wire \tile_x7y0_framestrobe_o[14] ;
wire \tile_x7y0_framestrobe_o[15] ;
wire \tile_x7y0_framestrobe_o[16] ;
wire \tile_x7y0_framestrobe_o[17] ;
wire \tile_x7y0_framestrobe_o[18] ;
wire \tile_x7y0_framestrobe_o[19] ;
wire \tile_x7y0_framestrobe_o[1] ;
wire \tile_x7y0_framestrobe_o[2] ;
wire \tile_x7y0_framestrobe_o[3] ;
wire \tile_x7y0_framestrobe_o[4] ;
wire \tile_x7y0_framestrobe_o[5] ;
wire \tile_x7y0_framestrobe_o[6] ;
wire \tile_x7y0_framestrobe_o[7] ;
wire \tile_x7y0_framestrobe_o[8] ;
wire \tile_x7y0_framestrobe_o[9] ;
wire \tile_x7y0_s1beg[0] ;
wire \tile_x7y0_s1beg[1] ;
wire \tile_x7y0_s1beg[2] ;
wire \tile_x7y0_s1beg[3] ;
wire \tile_x7y0_s2beg[0] ;
wire \tile_x7y0_s2beg[1] ;
wire \tile_x7y0_s2beg[2] ;
wire \tile_x7y0_s2beg[3] ;
wire \tile_x7y0_s2beg[4] ;
wire \tile_x7y0_s2beg[5] ;
wire \tile_x7y0_s2beg[6] ;
wire \tile_x7y0_s2beg[7] ;
wire \tile_x7y0_s2begb[0] ;
wire \tile_x7y0_s2begb[1] ;
wire \tile_x7y0_s2begb[2] ;
wire \tile_x7y0_s2begb[3] ;
wire \tile_x7y0_s2begb[4] ;
wire \tile_x7y0_s2begb[5] ;
wire \tile_x7y0_s2begb[6] ;
wire \tile_x7y0_s2begb[7] ;
wire \tile_x7y0_s4beg[0] ;
wire \tile_x7y0_s4beg[10] ;
wire \tile_x7y0_s4beg[11] ;
wire \tile_x7y0_s4beg[12] ;
wire \tile_x7y0_s4beg[13] ;
wire \tile_x7y0_s4beg[14] ;
wire \tile_x7y0_s4beg[15] ;
wire \tile_x7y0_s4beg[1] ;
wire \tile_x7y0_s4beg[2] ;
wire \tile_x7y0_s4beg[3] ;
wire \tile_x7y0_s4beg[4] ;
wire \tile_x7y0_s4beg[5] ;
wire \tile_x7y0_s4beg[6] ;
wire \tile_x7y0_s4beg[7] ;
wire \tile_x7y0_s4beg[8] ;
wire \tile_x7y0_s4beg[9] ;
wire \tile_x7y0_ss4beg[0] ;
wire \tile_x7y0_ss4beg[10] ;
wire \tile_x7y0_ss4beg[11] ;
wire \tile_x7y0_ss4beg[12] ;
wire \tile_x7y0_ss4beg[13] ;
wire \tile_x7y0_ss4beg[14] ;
wire \tile_x7y0_ss4beg[15] ;
wire \tile_x7y0_ss4beg[1] ;
wire \tile_x7y0_ss4beg[2] ;
wire \tile_x7y0_ss4beg[3] ;
wire \tile_x7y0_ss4beg[4] ;
wire \tile_x7y0_ss4beg[5] ;
wire \tile_x7y0_ss4beg[6] ;
wire \tile_x7y0_ss4beg[7] ;
wire \tile_x7y0_ss4beg[8] ;
wire \tile_x7y0_ss4beg[9] ;
wire tile_x7y0_userclko;
wire tile_x7y10_co;
wire \tile_x7y10_e1beg[0] ;
wire \tile_x7y10_e1beg[1] ;
wire \tile_x7y10_e1beg[2] ;
wire \tile_x7y10_e1beg[3] ;
wire \tile_x7y10_e2beg[0] ;
wire \tile_x7y10_e2beg[1] ;
wire \tile_x7y10_e2beg[2] ;
wire \tile_x7y10_e2beg[3] ;
wire \tile_x7y10_e2beg[4] ;
wire \tile_x7y10_e2beg[5] ;
wire \tile_x7y10_e2beg[6] ;
wire \tile_x7y10_e2beg[7] ;
wire \tile_x7y10_e2begb[0] ;
wire \tile_x7y10_e2begb[1] ;
wire \tile_x7y10_e2begb[2] ;
wire \tile_x7y10_e2begb[3] ;
wire \tile_x7y10_e2begb[4] ;
wire \tile_x7y10_e2begb[5] ;
wire \tile_x7y10_e2begb[6] ;
wire \tile_x7y10_e2begb[7] ;
wire \tile_x7y10_e6beg[0] ;
wire \tile_x7y10_e6beg[10] ;
wire \tile_x7y10_e6beg[11] ;
wire \tile_x7y10_e6beg[1] ;
wire \tile_x7y10_e6beg[2] ;
wire \tile_x7y10_e6beg[3] ;
wire \tile_x7y10_e6beg[4] ;
wire \tile_x7y10_e6beg[5] ;
wire \tile_x7y10_e6beg[6] ;
wire \tile_x7y10_e6beg[7] ;
wire \tile_x7y10_e6beg[8] ;
wire \tile_x7y10_e6beg[9] ;
wire \tile_x7y10_ee4beg[0] ;
wire \tile_x7y10_ee4beg[10] ;
wire \tile_x7y10_ee4beg[11] ;
wire \tile_x7y10_ee4beg[12] ;
wire \tile_x7y10_ee4beg[13] ;
wire \tile_x7y10_ee4beg[14] ;
wire \tile_x7y10_ee4beg[15] ;
wire \tile_x7y10_ee4beg[1] ;
wire \tile_x7y10_ee4beg[2] ;
wire \tile_x7y10_ee4beg[3] ;
wire \tile_x7y10_ee4beg[4] ;
wire \tile_x7y10_ee4beg[5] ;
wire \tile_x7y10_ee4beg[6] ;
wire \tile_x7y10_ee4beg[7] ;
wire \tile_x7y10_ee4beg[8] ;
wire \tile_x7y10_ee4beg[9] ;
wire \tile_x7y10_framedata_o[0] ;
wire \tile_x7y10_framedata_o[10] ;
wire \tile_x7y10_framedata_o[11] ;
wire \tile_x7y10_framedata_o[12] ;
wire \tile_x7y10_framedata_o[13] ;
wire \tile_x7y10_framedata_o[14] ;
wire \tile_x7y10_framedata_o[15] ;
wire \tile_x7y10_framedata_o[16] ;
wire \tile_x7y10_framedata_o[17] ;
wire \tile_x7y10_framedata_o[18] ;
wire \tile_x7y10_framedata_o[19] ;
wire \tile_x7y10_framedata_o[1] ;
wire \tile_x7y10_framedata_o[20] ;
wire \tile_x7y10_framedata_o[21] ;
wire \tile_x7y10_framedata_o[22] ;
wire \tile_x7y10_framedata_o[23] ;
wire \tile_x7y10_framedata_o[24] ;
wire \tile_x7y10_framedata_o[25] ;
wire \tile_x7y10_framedata_o[26] ;
wire \tile_x7y10_framedata_o[27] ;
wire \tile_x7y10_framedata_o[28] ;
wire \tile_x7y10_framedata_o[29] ;
wire \tile_x7y10_framedata_o[2] ;
wire \tile_x7y10_framedata_o[30] ;
wire \tile_x7y10_framedata_o[31] ;
wire \tile_x7y10_framedata_o[3] ;
wire \tile_x7y10_framedata_o[4] ;
wire \tile_x7y10_framedata_o[5] ;
wire \tile_x7y10_framedata_o[6] ;
wire \tile_x7y10_framedata_o[7] ;
wire \tile_x7y10_framedata_o[8] ;
wire \tile_x7y10_framedata_o[9] ;
wire \tile_x7y10_framestrobe_o[0] ;
wire \tile_x7y10_framestrobe_o[10] ;
wire \tile_x7y10_framestrobe_o[11] ;
wire \tile_x7y10_framestrobe_o[12] ;
wire \tile_x7y10_framestrobe_o[13] ;
wire \tile_x7y10_framestrobe_o[14] ;
wire \tile_x7y10_framestrobe_o[15] ;
wire \tile_x7y10_framestrobe_o[16] ;
wire \tile_x7y10_framestrobe_o[17] ;
wire \tile_x7y10_framestrobe_o[18] ;
wire \tile_x7y10_framestrobe_o[19] ;
wire \tile_x7y10_framestrobe_o[1] ;
wire \tile_x7y10_framestrobe_o[2] ;
wire \tile_x7y10_framestrobe_o[3] ;
wire \tile_x7y10_framestrobe_o[4] ;
wire \tile_x7y10_framestrobe_o[5] ;
wire \tile_x7y10_framestrobe_o[6] ;
wire \tile_x7y10_framestrobe_o[7] ;
wire \tile_x7y10_framestrobe_o[8] ;
wire \tile_x7y10_framestrobe_o[9] ;
wire \tile_x7y10_n1beg[0] ;
wire \tile_x7y10_n1beg[1] ;
wire \tile_x7y10_n1beg[2] ;
wire \tile_x7y10_n1beg[3] ;
wire \tile_x7y10_n2beg[0] ;
wire \tile_x7y10_n2beg[1] ;
wire \tile_x7y10_n2beg[2] ;
wire \tile_x7y10_n2beg[3] ;
wire \tile_x7y10_n2beg[4] ;
wire \tile_x7y10_n2beg[5] ;
wire \tile_x7y10_n2beg[6] ;
wire \tile_x7y10_n2beg[7] ;
wire \tile_x7y10_n2begb[0] ;
wire \tile_x7y10_n2begb[1] ;
wire \tile_x7y10_n2begb[2] ;
wire \tile_x7y10_n2begb[3] ;
wire \tile_x7y10_n2begb[4] ;
wire \tile_x7y10_n2begb[5] ;
wire \tile_x7y10_n2begb[6] ;
wire \tile_x7y10_n2begb[7] ;
wire \tile_x7y10_n4beg[0] ;
wire \tile_x7y10_n4beg[10] ;
wire \tile_x7y10_n4beg[11] ;
wire \tile_x7y10_n4beg[12] ;
wire \tile_x7y10_n4beg[13] ;
wire \tile_x7y10_n4beg[14] ;
wire \tile_x7y10_n4beg[15] ;
wire \tile_x7y10_n4beg[1] ;
wire \tile_x7y10_n4beg[2] ;
wire \tile_x7y10_n4beg[3] ;
wire \tile_x7y10_n4beg[4] ;
wire \tile_x7y10_n4beg[5] ;
wire \tile_x7y10_n4beg[6] ;
wire \tile_x7y10_n4beg[7] ;
wire \tile_x7y10_n4beg[8] ;
wire \tile_x7y10_n4beg[9] ;
wire \tile_x7y10_nn4beg[0] ;
wire \tile_x7y10_nn4beg[10] ;
wire \tile_x7y10_nn4beg[11] ;
wire \tile_x7y10_nn4beg[12] ;
wire \tile_x7y10_nn4beg[13] ;
wire \tile_x7y10_nn4beg[14] ;
wire \tile_x7y10_nn4beg[15] ;
wire \tile_x7y10_nn4beg[1] ;
wire \tile_x7y10_nn4beg[2] ;
wire \tile_x7y10_nn4beg[3] ;
wire \tile_x7y10_nn4beg[4] ;
wire \tile_x7y10_nn4beg[5] ;
wire \tile_x7y10_nn4beg[6] ;
wire \tile_x7y10_nn4beg[7] ;
wire \tile_x7y10_nn4beg[8] ;
wire \tile_x7y10_nn4beg[9] ;
wire \tile_x7y10_s1beg[0] ;
wire \tile_x7y10_s1beg[1] ;
wire \tile_x7y10_s1beg[2] ;
wire \tile_x7y10_s1beg[3] ;
wire \tile_x7y10_s2beg[0] ;
wire \tile_x7y10_s2beg[1] ;
wire \tile_x7y10_s2beg[2] ;
wire \tile_x7y10_s2beg[3] ;
wire \tile_x7y10_s2beg[4] ;
wire \tile_x7y10_s2beg[5] ;
wire \tile_x7y10_s2beg[6] ;
wire \tile_x7y10_s2beg[7] ;
wire \tile_x7y10_s2begb[0] ;
wire \tile_x7y10_s2begb[1] ;
wire \tile_x7y10_s2begb[2] ;
wire \tile_x7y10_s2begb[3] ;
wire \tile_x7y10_s2begb[4] ;
wire \tile_x7y10_s2begb[5] ;
wire \tile_x7y10_s2begb[6] ;
wire \tile_x7y10_s2begb[7] ;
wire \tile_x7y10_s4beg[0] ;
wire \tile_x7y10_s4beg[10] ;
wire \tile_x7y10_s4beg[11] ;
wire \tile_x7y10_s4beg[12] ;
wire \tile_x7y10_s4beg[13] ;
wire \tile_x7y10_s4beg[14] ;
wire \tile_x7y10_s4beg[15] ;
wire \tile_x7y10_s4beg[1] ;
wire \tile_x7y10_s4beg[2] ;
wire \tile_x7y10_s4beg[3] ;
wire \tile_x7y10_s4beg[4] ;
wire \tile_x7y10_s4beg[5] ;
wire \tile_x7y10_s4beg[6] ;
wire \tile_x7y10_s4beg[7] ;
wire \tile_x7y10_s4beg[8] ;
wire \tile_x7y10_s4beg[9] ;
wire \tile_x7y10_ss4beg[0] ;
wire \tile_x7y10_ss4beg[10] ;
wire \tile_x7y10_ss4beg[11] ;
wire \tile_x7y10_ss4beg[12] ;
wire \tile_x7y10_ss4beg[13] ;
wire \tile_x7y10_ss4beg[14] ;
wire \tile_x7y10_ss4beg[15] ;
wire \tile_x7y10_ss4beg[1] ;
wire \tile_x7y10_ss4beg[2] ;
wire \tile_x7y10_ss4beg[3] ;
wire \tile_x7y10_ss4beg[4] ;
wire \tile_x7y10_ss4beg[5] ;
wire \tile_x7y10_ss4beg[6] ;
wire \tile_x7y10_ss4beg[7] ;
wire \tile_x7y10_ss4beg[8] ;
wire \tile_x7y10_ss4beg[9] ;
wire tile_x7y10_userclko;
wire \tile_x7y10_w1beg[0] ;
wire \tile_x7y10_w1beg[1] ;
wire \tile_x7y10_w1beg[2] ;
wire \tile_x7y10_w1beg[3] ;
wire \tile_x7y10_w2beg[0] ;
wire \tile_x7y10_w2beg[1] ;
wire \tile_x7y10_w2beg[2] ;
wire \tile_x7y10_w2beg[3] ;
wire \tile_x7y10_w2beg[4] ;
wire \tile_x7y10_w2beg[5] ;
wire \tile_x7y10_w2beg[6] ;
wire \tile_x7y10_w2beg[7] ;
wire \tile_x7y10_w2begb[0] ;
wire \tile_x7y10_w2begb[1] ;
wire \tile_x7y10_w2begb[2] ;
wire \tile_x7y10_w2begb[3] ;
wire \tile_x7y10_w2begb[4] ;
wire \tile_x7y10_w2begb[5] ;
wire \tile_x7y10_w2begb[6] ;
wire \tile_x7y10_w2begb[7] ;
wire \tile_x7y10_w6beg[0] ;
wire \tile_x7y10_w6beg[10] ;
wire \tile_x7y10_w6beg[11] ;
wire \tile_x7y10_w6beg[1] ;
wire \tile_x7y10_w6beg[2] ;
wire \tile_x7y10_w6beg[3] ;
wire \tile_x7y10_w6beg[4] ;
wire \tile_x7y10_w6beg[5] ;
wire \tile_x7y10_w6beg[6] ;
wire \tile_x7y10_w6beg[7] ;
wire \tile_x7y10_w6beg[8] ;
wire \tile_x7y10_w6beg[9] ;
wire \tile_x7y10_ww4beg[0] ;
wire \tile_x7y10_ww4beg[10] ;
wire \tile_x7y10_ww4beg[11] ;
wire \tile_x7y10_ww4beg[12] ;
wire \tile_x7y10_ww4beg[13] ;
wire \tile_x7y10_ww4beg[14] ;
wire \tile_x7y10_ww4beg[15] ;
wire \tile_x7y10_ww4beg[1] ;
wire \tile_x7y10_ww4beg[2] ;
wire \tile_x7y10_ww4beg[3] ;
wire \tile_x7y10_ww4beg[4] ;
wire \tile_x7y10_ww4beg[5] ;
wire \tile_x7y10_ww4beg[6] ;
wire \tile_x7y10_ww4beg[7] ;
wire \tile_x7y10_ww4beg[8] ;
wire \tile_x7y10_ww4beg[9] ;
wire tile_x7y11_co;
wire \tile_x7y11_e1beg[0] ;
wire \tile_x7y11_e1beg[1] ;
wire \tile_x7y11_e1beg[2] ;
wire \tile_x7y11_e1beg[3] ;
wire \tile_x7y11_e2beg[0] ;
wire \tile_x7y11_e2beg[1] ;
wire \tile_x7y11_e2beg[2] ;
wire \tile_x7y11_e2beg[3] ;
wire \tile_x7y11_e2beg[4] ;
wire \tile_x7y11_e2beg[5] ;
wire \tile_x7y11_e2beg[6] ;
wire \tile_x7y11_e2beg[7] ;
wire \tile_x7y11_e2begb[0] ;
wire \tile_x7y11_e2begb[1] ;
wire \tile_x7y11_e2begb[2] ;
wire \tile_x7y11_e2begb[3] ;
wire \tile_x7y11_e2begb[4] ;
wire \tile_x7y11_e2begb[5] ;
wire \tile_x7y11_e2begb[6] ;
wire \tile_x7y11_e2begb[7] ;
wire \tile_x7y11_e6beg[0] ;
wire \tile_x7y11_e6beg[10] ;
wire \tile_x7y11_e6beg[11] ;
wire \tile_x7y11_e6beg[1] ;
wire \tile_x7y11_e6beg[2] ;
wire \tile_x7y11_e6beg[3] ;
wire \tile_x7y11_e6beg[4] ;
wire \tile_x7y11_e6beg[5] ;
wire \tile_x7y11_e6beg[6] ;
wire \tile_x7y11_e6beg[7] ;
wire \tile_x7y11_e6beg[8] ;
wire \tile_x7y11_e6beg[9] ;
wire \tile_x7y11_ee4beg[0] ;
wire \tile_x7y11_ee4beg[10] ;
wire \tile_x7y11_ee4beg[11] ;
wire \tile_x7y11_ee4beg[12] ;
wire \tile_x7y11_ee4beg[13] ;
wire \tile_x7y11_ee4beg[14] ;
wire \tile_x7y11_ee4beg[15] ;
wire \tile_x7y11_ee4beg[1] ;
wire \tile_x7y11_ee4beg[2] ;
wire \tile_x7y11_ee4beg[3] ;
wire \tile_x7y11_ee4beg[4] ;
wire \tile_x7y11_ee4beg[5] ;
wire \tile_x7y11_ee4beg[6] ;
wire \tile_x7y11_ee4beg[7] ;
wire \tile_x7y11_ee4beg[8] ;
wire \tile_x7y11_ee4beg[9] ;
wire \tile_x7y11_framedata_o[0] ;
wire \tile_x7y11_framedata_o[10] ;
wire \tile_x7y11_framedata_o[11] ;
wire \tile_x7y11_framedata_o[12] ;
wire \tile_x7y11_framedata_o[13] ;
wire \tile_x7y11_framedata_o[14] ;
wire \tile_x7y11_framedata_o[15] ;
wire \tile_x7y11_framedata_o[16] ;
wire \tile_x7y11_framedata_o[17] ;
wire \tile_x7y11_framedata_o[18] ;
wire \tile_x7y11_framedata_o[19] ;
wire \tile_x7y11_framedata_o[1] ;
wire \tile_x7y11_framedata_o[20] ;
wire \tile_x7y11_framedata_o[21] ;
wire \tile_x7y11_framedata_o[22] ;
wire \tile_x7y11_framedata_o[23] ;
wire \tile_x7y11_framedata_o[24] ;
wire \tile_x7y11_framedata_o[25] ;
wire \tile_x7y11_framedata_o[26] ;
wire \tile_x7y11_framedata_o[27] ;
wire \tile_x7y11_framedata_o[28] ;
wire \tile_x7y11_framedata_o[29] ;
wire \tile_x7y11_framedata_o[2] ;
wire \tile_x7y11_framedata_o[30] ;
wire \tile_x7y11_framedata_o[31] ;
wire \tile_x7y11_framedata_o[3] ;
wire \tile_x7y11_framedata_o[4] ;
wire \tile_x7y11_framedata_o[5] ;
wire \tile_x7y11_framedata_o[6] ;
wire \tile_x7y11_framedata_o[7] ;
wire \tile_x7y11_framedata_o[8] ;
wire \tile_x7y11_framedata_o[9] ;
wire \tile_x7y11_framestrobe_o[0] ;
wire \tile_x7y11_framestrobe_o[10] ;
wire \tile_x7y11_framestrobe_o[11] ;
wire \tile_x7y11_framestrobe_o[12] ;
wire \tile_x7y11_framestrobe_o[13] ;
wire \tile_x7y11_framestrobe_o[14] ;
wire \tile_x7y11_framestrobe_o[15] ;
wire \tile_x7y11_framestrobe_o[16] ;
wire \tile_x7y11_framestrobe_o[17] ;
wire \tile_x7y11_framestrobe_o[18] ;
wire \tile_x7y11_framestrobe_o[19] ;
wire \tile_x7y11_framestrobe_o[1] ;
wire \tile_x7y11_framestrobe_o[2] ;
wire \tile_x7y11_framestrobe_o[3] ;
wire \tile_x7y11_framestrobe_o[4] ;
wire \tile_x7y11_framestrobe_o[5] ;
wire \tile_x7y11_framestrobe_o[6] ;
wire \tile_x7y11_framestrobe_o[7] ;
wire \tile_x7y11_framestrobe_o[8] ;
wire \tile_x7y11_framestrobe_o[9] ;
wire \tile_x7y11_n1beg[0] ;
wire \tile_x7y11_n1beg[1] ;
wire \tile_x7y11_n1beg[2] ;
wire \tile_x7y11_n1beg[3] ;
wire \tile_x7y11_n2beg[0] ;
wire \tile_x7y11_n2beg[1] ;
wire \tile_x7y11_n2beg[2] ;
wire \tile_x7y11_n2beg[3] ;
wire \tile_x7y11_n2beg[4] ;
wire \tile_x7y11_n2beg[5] ;
wire \tile_x7y11_n2beg[6] ;
wire \tile_x7y11_n2beg[7] ;
wire \tile_x7y11_n2begb[0] ;
wire \tile_x7y11_n2begb[1] ;
wire \tile_x7y11_n2begb[2] ;
wire \tile_x7y11_n2begb[3] ;
wire \tile_x7y11_n2begb[4] ;
wire \tile_x7y11_n2begb[5] ;
wire \tile_x7y11_n2begb[6] ;
wire \tile_x7y11_n2begb[7] ;
wire \tile_x7y11_n4beg[0] ;
wire \tile_x7y11_n4beg[10] ;
wire \tile_x7y11_n4beg[11] ;
wire \tile_x7y11_n4beg[12] ;
wire \tile_x7y11_n4beg[13] ;
wire \tile_x7y11_n4beg[14] ;
wire \tile_x7y11_n4beg[15] ;
wire \tile_x7y11_n4beg[1] ;
wire \tile_x7y11_n4beg[2] ;
wire \tile_x7y11_n4beg[3] ;
wire \tile_x7y11_n4beg[4] ;
wire \tile_x7y11_n4beg[5] ;
wire \tile_x7y11_n4beg[6] ;
wire \tile_x7y11_n4beg[7] ;
wire \tile_x7y11_n4beg[8] ;
wire \tile_x7y11_n4beg[9] ;
wire \tile_x7y11_nn4beg[0] ;
wire \tile_x7y11_nn4beg[10] ;
wire \tile_x7y11_nn4beg[11] ;
wire \tile_x7y11_nn4beg[12] ;
wire \tile_x7y11_nn4beg[13] ;
wire \tile_x7y11_nn4beg[14] ;
wire \tile_x7y11_nn4beg[15] ;
wire \tile_x7y11_nn4beg[1] ;
wire \tile_x7y11_nn4beg[2] ;
wire \tile_x7y11_nn4beg[3] ;
wire \tile_x7y11_nn4beg[4] ;
wire \tile_x7y11_nn4beg[5] ;
wire \tile_x7y11_nn4beg[6] ;
wire \tile_x7y11_nn4beg[7] ;
wire \tile_x7y11_nn4beg[8] ;
wire \tile_x7y11_nn4beg[9] ;
wire \tile_x7y11_s1beg[0] ;
wire \tile_x7y11_s1beg[1] ;
wire \tile_x7y11_s1beg[2] ;
wire \tile_x7y11_s1beg[3] ;
wire \tile_x7y11_s2beg[0] ;
wire \tile_x7y11_s2beg[1] ;
wire \tile_x7y11_s2beg[2] ;
wire \tile_x7y11_s2beg[3] ;
wire \tile_x7y11_s2beg[4] ;
wire \tile_x7y11_s2beg[5] ;
wire \tile_x7y11_s2beg[6] ;
wire \tile_x7y11_s2beg[7] ;
wire \tile_x7y11_s2begb[0] ;
wire \tile_x7y11_s2begb[1] ;
wire \tile_x7y11_s2begb[2] ;
wire \tile_x7y11_s2begb[3] ;
wire \tile_x7y11_s2begb[4] ;
wire \tile_x7y11_s2begb[5] ;
wire \tile_x7y11_s2begb[6] ;
wire \tile_x7y11_s2begb[7] ;
wire \tile_x7y11_s4beg[0] ;
wire \tile_x7y11_s4beg[10] ;
wire \tile_x7y11_s4beg[11] ;
wire \tile_x7y11_s4beg[12] ;
wire \tile_x7y11_s4beg[13] ;
wire \tile_x7y11_s4beg[14] ;
wire \tile_x7y11_s4beg[15] ;
wire \tile_x7y11_s4beg[1] ;
wire \tile_x7y11_s4beg[2] ;
wire \tile_x7y11_s4beg[3] ;
wire \tile_x7y11_s4beg[4] ;
wire \tile_x7y11_s4beg[5] ;
wire \tile_x7y11_s4beg[6] ;
wire \tile_x7y11_s4beg[7] ;
wire \tile_x7y11_s4beg[8] ;
wire \tile_x7y11_s4beg[9] ;
wire \tile_x7y11_ss4beg[0] ;
wire \tile_x7y11_ss4beg[10] ;
wire \tile_x7y11_ss4beg[11] ;
wire \tile_x7y11_ss4beg[12] ;
wire \tile_x7y11_ss4beg[13] ;
wire \tile_x7y11_ss4beg[14] ;
wire \tile_x7y11_ss4beg[15] ;
wire \tile_x7y11_ss4beg[1] ;
wire \tile_x7y11_ss4beg[2] ;
wire \tile_x7y11_ss4beg[3] ;
wire \tile_x7y11_ss4beg[4] ;
wire \tile_x7y11_ss4beg[5] ;
wire \tile_x7y11_ss4beg[6] ;
wire \tile_x7y11_ss4beg[7] ;
wire \tile_x7y11_ss4beg[8] ;
wire \tile_x7y11_ss4beg[9] ;
wire tile_x7y11_userclko;
wire \tile_x7y11_w1beg[0] ;
wire \tile_x7y11_w1beg[1] ;
wire \tile_x7y11_w1beg[2] ;
wire \tile_x7y11_w1beg[3] ;
wire \tile_x7y11_w2beg[0] ;
wire \tile_x7y11_w2beg[1] ;
wire \tile_x7y11_w2beg[2] ;
wire \tile_x7y11_w2beg[3] ;
wire \tile_x7y11_w2beg[4] ;
wire \tile_x7y11_w2beg[5] ;
wire \tile_x7y11_w2beg[6] ;
wire \tile_x7y11_w2beg[7] ;
wire \tile_x7y11_w2begb[0] ;
wire \tile_x7y11_w2begb[1] ;
wire \tile_x7y11_w2begb[2] ;
wire \tile_x7y11_w2begb[3] ;
wire \tile_x7y11_w2begb[4] ;
wire \tile_x7y11_w2begb[5] ;
wire \tile_x7y11_w2begb[6] ;
wire \tile_x7y11_w2begb[7] ;
wire \tile_x7y11_w6beg[0] ;
wire \tile_x7y11_w6beg[10] ;
wire \tile_x7y11_w6beg[11] ;
wire \tile_x7y11_w6beg[1] ;
wire \tile_x7y11_w6beg[2] ;
wire \tile_x7y11_w6beg[3] ;
wire \tile_x7y11_w6beg[4] ;
wire \tile_x7y11_w6beg[5] ;
wire \tile_x7y11_w6beg[6] ;
wire \tile_x7y11_w6beg[7] ;
wire \tile_x7y11_w6beg[8] ;
wire \tile_x7y11_w6beg[9] ;
wire \tile_x7y11_ww4beg[0] ;
wire \tile_x7y11_ww4beg[10] ;
wire \tile_x7y11_ww4beg[11] ;
wire \tile_x7y11_ww4beg[12] ;
wire \tile_x7y11_ww4beg[13] ;
wire \tile_x7y11_ww4beg[14] ;
wire \tile_x7y11_ww4beg[15] ;
wire \tile_x7y11_ww4beg[1] ;
wire \tile_x7y11_ww4beg[2] ;
wire \tile_x7y11_ww4beg[3] ;
wire \tile_x7y11_ww4beg[4] ;
wire \tile_x7y11_ww4beg[5] ;
wire \tile_x7y11_ww4beg[6] ;
wire \tile_x7y11_ww4beg[7] ;
wire \tile_x7y11_ww4beg[8] ;
wire \tile_x7y11_ww4beg[9] ;
wire tile_x7y12_co;
wire \tile_x7y12_e1beg[0] ;
wire \tile_x7y12_e1beg[1] ;
wire \tile_x7y12_e1beg[2] ;
wire \tile_x7y12_e1beg[3] ;
wire \tile_x7y12_e2beg[0] ;
wire \tile_x7y12_e2beg[1] ;
wire \tile_x7y12_e2beg[2] ;
wire \tile_x7y12_e2beg[3] ;
wire \tile_x7y12_e2beg[4] ;
wire \tile_x7y12_e2beg[5] ;
wire \tile_x7y12_e2beg[6] ;
wire \tile_x7y12_e2beg[7] ;
wire \tile_x7y12_e2begb[0] ;
wire \tile_x7y12_e2begb[1] ;
wire \tile_x7y12_e2begb[2] ;
wire \tile_x7y12_e2begb[3] ;
wire \tile_x7y12_e2begb[4] ;
wire \tile_x7y12_e2begb[5] ;
wire \tile_x7y12_e2begb[6] ;
wire \tile_x7y12_e2begb[7] ;
wire \tile_x7y12_e6beg[0] ;
wire \tile_x7y12_e6beg[10] ;
wire \tile_x7y12_e6beg[11] ;
wire \tile_x7y12_e6beg[1] ;
wire \tile_x7y12_e6beg[2] ;
wire \tile_x7y12_e6beg[3] ;
wire \tile_x7y12_e6beg[4] ;
wire \tile_x7y12_e6beg[5] ;
wire \tile_x7y12_e6beg[6] ;
wire \tile_x7y12_e6beg[7] ;
wire \tile_x7y12_e6beg[8] ;
wire \tile_x7y12_e6beg[9] ;
wire \tile_x7y12_ee4beg[0] ;
wire \tile_x7y12_ee4beg[10] ;
wire \tile_x7y12_ee4beg[11] ;
wire \tile_x7y12_ee4beg[12] ;
wire \tile_x7y12_ee4beg[13] ;
wire \tile_x7y12_ee4beg[14] ;
wire \tile_x7y12_ee4beg[15] ;
wire \tile_x7y12_ee4beg[1] ;
wire \tile_x7y12_ee4beg[2] ;
wire \tile_x7y12_ee4beg[3] ;
wire \tile_x7y12_ee4beg[4] ;
wire \tile_x7y12_ee4beg[5] ;
wire \tile_x7y12_ee4beg[6] ;
wire \tile_x7y12_ee4beg[7] ;
wire \tile_x7y12_ee4beg[8] ;
wire \tile_x7y12_ee4beg[9] ;
wire \tile_x7y12_framedata_o[0] ;
wire \tile_x7y12_framedata_o[10] ;
wire \tile_x7y12_framedata_o[11] ;
wire \tile_x7y12_framedata_o[12] ;
wire \tile_x7y12_framedata_o[13] ;
wire \tile_x7y12_framedata_o[14] ;
wire \tile_x7y12_framedata_o[15] ;
wire \tile_x7y12_framedata_o[16] ;
wire \tile_x7y12_framedata_o[17] ;
wire \tile_x7y12_framedata_o[18] ;
wire \tile_x7y12_framedata_o[19] ;
wire \tile_x7y12_framedata_o[1] ;
wire \tile_x7y12_framedata_o[20] ;
wire \tile_x7y12_framedata_o[21] ;
wire \tile_x7y12_framedata_o[22] ;
wire \tile_x7y12_framedata_o[23] ;
wire \tile_x7y12_framedata_o[24] ;
wire \tile_x7y12_framedata_o[25] ;
wire \tile_x7y12_framedata_o[26] ;
wire \tile_x7y12_framedata_o[27] ;
wire \tile_x7y12_framedata_o[28] ;
wire \tile_x7y12_framedata_o[29] ;
wire \tile_x7y12_framedata_o[2] ;
wire \tile_x7y12_framedata_o[30] ;
wire \tile_x7y12_framedata_o[31] ;
wire \tile_x7y12_framedata_o[3] ;
wire \tile_x7y12_framedata_o[4] ;
wire \tile_x7y12_framedata_o[5] ;
wire \tile_x7y12_framedata_o[6] ;
wire \tile_x7y12_framedata_o[7] ;
wire \tile_x7y12_framedata_o[8] ;
wire \tile_x7y12_framedata_o[9] ;
wire \tile_x7y12_framestrobe_o[0] ;
wire \tile_x7y12_framestrobe_o[10] ;
wire \tile_x7y12_framestrobe_o[11] ;
wire \tile_x7y12_framestrobe_o[12] ;
wire \tile_x7y12_framestrobe_o[13] ;
wire \tile_x7y12_framestrobe_o[14] ;
wire \tile_x7y12_framestrobe_o[15] ;
wire \tile_x7y12_framestrobe_o[16] ;
wire \tile_x7y12_framestrobe_o[17] ;
wire \tile_x7y12_framestrobe_o[18] ;
wire \tile_x7y12_framestrobe_o[19] ;
wire \tile_x7y12_framestrobe_o[1] ;
wire \tile_x7y12_framestrobe_o[2] ;
wire \tile_x7y12_framestrobe_o[3] ;
wire \tile_x7y12_framestrobe_o[4] ;
wire \tile_x7y12_framestrobe_o[5] ;
wire \tile_x7y12_framestrobe_o[6] ;
wire \tile_x7y12_framestrobe_o[7] ;
wire \tile_x7y12_framestrobe_o[8] ;
wire \tile_x7y12_framestrobe_o[9] ;
wire \tile_x7y12_n1beg[0] ;
wire \tile_x7y12_n1beg[1] ;
wire \tile_x7y12_n1beg[2] ;
wire \tile_x7y12_n1beg[3] ;
wire \tile_x7y12_n2beg[0] ;
wire \tile_x7y12_n2beg[1] ;
wire \tile_x7y12_n2beg[2] ;
wire \tile_x7y12_n2beg[3] ;
wire \tile_x7y12_n2beg[4] ;
wire \tile_x7y12_n2beg[5] ;
wire \tile_x7y12_n2beg[6] ;
wire \tile_x7y12_n2beg[7] ;
wire \tile_x7y12_n2begb[0] ;
wire \tile_x7y12_n2begb[1] ;
wire \tile_x7y12_n2begb[2] ;
wire \tile_x7y12_n2begb[3] ;
wire \tile_x7y12_n2begb[4] ;
wire \tile_x7y12_n2begb[5] ;
wire \tile_x7y12_n2begb[6] ;
wire \tile_x7y12_n2begb[7] ;
wire \tile_x7y12_n4beg[0] ;
wire \tile_x7y12_n4beg[10] ;
wire \tile_x7y12_n4beg[11] ;
wire \tile_x7y12_n4beg[12] ;
wire \tile_x7y12_n4beg[13] ;
wire \tile_x7y12_n4beg[14] ;
wire \tile_x7y12_n4beg[15] ;
wire \tile_x7y12_n4beg[1] ;
wire \tile_x7y12_n4beg[2] ;
wire \tile_x7y12_n4beg[3] ;
wire \tile_x7y12_n4beg[4] ;
wire \tile_x7y12_n4beg[5] ;
wire \tile_x7y12_n4beg[6] ;
wire \tile_x7y12_n4beg[7] ;
wire \tile_x7y12_n4beg[8] ;
wire \tile_x7y12_n4beg[9] ;
wire \tile_x7y12_nn4beg[0] ;
wire \tile_x7y12_nn4beg[10] ;
wire \tile_x7y12_nn4beg[11] ;
wire \tile_x7y12_nn4beg[12] ;
wire \tile_x7y12_nn4beg[13] ;
wire \tile_x7y12_nn4beg[14] ;
wire \tile_x7y12_nn4beg[15] ;
wire \tile_x7y12_nn4beg[1] ;
wire \tile_x7y12_nn4beg[2] ;
wire \tile_x7y12_nn4beg[3] ;
wire \tile_x7y12_nn4beg[4] ;
wire \tile_x7y12_nn4beg[5] ;
wire \tile_x7y12_nn4beg[6] ;
wire \tile_x7y12_nn4beg[7] ;
wire \tile_x7y12_nn4beg[8] ;
wire \tile_x7y12_nn4beg[9] ;
wire \tile_x7y12_s1beg[0] ;
wire \tile_x7y12_s1beg[1] ;
wire \tile_x7y12_s1beg[2] ;
wire \tile_x7y12_s1beg[3] ;
wire \tile_x7y12_s2beg[0] ;
wire \tile_x7y12_s2beg[1] ;
wire \tile_x7y12_s2beg[2] ;
wire \tile_x7y12_s2beg[3] ;
wire \tile_x7y12_s2beg[4] ;
wire \tile_x7y12_s2beg[5] ;
wire \tile_x7y12_s2beg[6] ;
wire \tile_x7y12_s2beg[7] ;
wire \tile_x7y12_s2begb[0] ;
wire \tile_x7y12_s2begb[1] ;
wire \tile_x7y12_s2begb[2] ;
wire \tile_x7y12_s2begb[3] ;
wire \tile_x7y12_s2begb[4] ;
wire \tile_x7y12_s2begb[5] ;
wire \tile_x7y12_s2begb[6] ;
wire \tile_x7y12_s2begb[7] ;
wire \tile_x7y12_s4beg[0] ;
wire \tile_x7y12_s4beg[10] ;
wire \tile_x7y12_s4beg[11] ;
wire \tile_x7y12_s4beg[12] ;
wire \tile_x7y12_s4beg[13] ;
wire \tile_x7y12_s4beg[14] ;
wire \tile_x7y12_s4beg[15] ;
wire \tile_x7y12_s4beg[1] ;
wire \tile_x7y12_s4beg[2] ;
wire \tile_x7y12_s4beg[3] ;
wire \tile_x7y12_s4beg[4] ;
wire \tile_x7y12_s4beg[5] ;
wire \tile_x7y12_s4beg[6] ;
wire \tile_x7y12_s4beg[7] ;
wire \tile_x7y12_s4beg[8] ;
wire \tile_x7y12_s4beg[9] ;
wire \tile_x7y12_ss4beg[0] ;
wire \tile_x7y12_ss4beg[10] ;
wire \tile_x7y12_ss4beg[11] ;
wire \tile_x7y12_ss4beg[12] ;
wire \tile_x7y12_ss4beg[13] ;
wire \tile_x7y12_ss4beg[14] ;
wire \tile_x7y12_ss4beg[15] ;
wire \tile_x7y12_ss4beg[1] ;
wire \tile_x7y12_ss4beg[2] ;
wire \tile_x7y12_ss4beg[3] ;
wire \tile_x7y12_ss4beg[4] ;
wire \tile_x7y12_ss4beg[5] ;
wire \tile_x7y12_ss4beg[6] ;
wire \tile_x7y12_ss4beg[7] ;
wire \tile_x7y12_ss4beg[8] ;
wire \tile_x7y12_ss4beg[9] ;
wire tile_x7y12_userclko;
wire \tile_x7y12_w1beg[0] ;
wire \tile_x7y12_w1beg[1] ;
wire \tile_x7y12_w1beg[2] ;
wire \tile_x7y12_w1beg[3] ;
wire \tile_x7y12_w2beg[0] ;
wire \tile_x7y12_w2beg[1] ;
wire \tile_x7y12_w2beg[2] ;
wire \tile_x7y12_w2beg[3] ;
wire \tile_x7y12_w2beg[4] ;
wire \tile_x7y12_w2beg[5] ;
wire \tile_x7y12_w2beg[6] ;
wire \tile_x7y12_w2beg[7] ;
wire \tile_x7y12_w2begb[0] ;
wire \tile_x7y12_w2begb[1] ;
wire \tile_x7y12_w2begb[2] ;
wire \tile_x7y12_w2begb[3] ;
wire \tile_x7y12_w2begb[4] ;
wire \tile_x7y12_w2begb[5] ;
wire \tile_x7y12_w2begb[6] ;
wire \tile_x7y12_w2begb[7] ;
wire \tile_x7y12_w6beg[0] ;
wire \tile_x7y12_w6beg[10] ;
wire \tile_x7y12_w6beg[11] ;
wire \tile_x7y12_w6beg[1] ;
wire \tile_x7y12_w6beg[2] ;
wire \tile_x7y12_w6beg[3] ;
wire \tile_x7y12_w6beg[4] ;
wire \tile_x7y12_w6beg[5] ;
wire \tile_x7y12_w6beg[6] ;
wire \tile_x7y12_w6beg[7] ;
wire \tile_x7y12_w6beg[8] ;
wire \tile_x7y12_w6beg[9] ;
wire \tile_x7y12_ww4beg[0] ;
wire \tile_x7y12_ww4beg[10] ;
wire \tile_x7y12_ww4beg[11] ;
wire \tile_x7y12_ww4beg[12] ;
wire \tile_x7y12_ww4beg[13] ;
wire \tile_x7y12_ww4beg[14] ;
wire \tile_x7y12_ww4beg[15] ;
wire \tile_x7y12_ww4beg[1] ;
wire \tile_x7y12_ww4beg[2] ;
wire \tile_x7y12_ww4beg[3] ;
wire \tile_x7y12_ww4beg[4] ;
wire \tile_x7y12_ww4beg[5] ;
wire \tile_x7y12_ww4beg[6] ;
wire \tile_x7y12_ww4beg[7] ;
wire \tile_x7y12_ww4beg[8] ;
wire \tile_x7y12_ww4beg[9] ;
wire tile_x7y13_co;
wire \tile_x7y13_e1beg[0] ;
wire \tile_x7y13_e1beg[1] ;
wire \tile_x7y13_e1beg[2] ;
wire \tile_x7y13_e1beg[3] ;
wire \tile_x7y13_e2beg[0] ;
wire \tile_x7y13_e2beg[1] ;
wire \tile_x7y13_e2beg[2] ;
wire \tile_x7y13_e2beg[3] ;
wire \tile_x7y13_e2beg[4] ;
wire \tile_x7y13_e2beg[5] ;
wire \tile_x7y13_e2beg[6] ;
wire \tile_x7y13_e2beg[7] ;
wire \tile_x7y13_e2begb[0] ;
wire \tile_x7y13_e2begb[1] ;
wire \tile_x7y13_e2begb[2] ;
wire \tile_x7y13_e2begb[3] ;
wire \tile_x7y13_e2begb[4] ;
wire \tile_x7y13_e2begb[5] ;
wire \tile_x7y13_e2begb[6] ;
wire \tile_x7y13_e2begb[7] ;
wire \tile_x7y13_e6beg[0] ;
wire \tile_x7y13_e6beg[10] ;
wire \tile_x7y13_e6beg[11] ;
wire \tile_x7y13_e6beg[1] ;
wire \tile_x7y13_e6beg[2] ;
wire \tile_x7y13_e6beg[3] ;
wire \tile_x7y13_e6beg[4] ;
wire \tile_x7y13_e6beg[5] ;
wire \tile_x7y13_e6beg[6] ;
wire \tile_x7y13_e6beg[7] ;
wire \tile_x7y13_e6beg[8] ;
wire \tile_x7y13_e6beg[9] ;
wire \tile_x7y13_ee4beg[0] ;
wire \tile_x7y13_ee4beg[10] ;
wire \tile_x7y13_ee4beg[11] ;
wire \tile_x7y13_ee4beg[12] ;
wire \tile_x7y13_ee4beg[13] ;
wire \tile_x7y13_ee4beg[14] ;
wire \tile_x7y13_ee4beg[15] ;
wire \tile_x7y13_ee4beg[1] ;
wire \tile_x7y13_ee4beg[2] ;
wire \tile_x7y13_ee4beg[3] ;
wire \tile_x7y13_ee4beg[4] ;
wire \tile_x7y13_ee4beg[5] ;
wire \tile_x7y13_ee4beg[6] ;
wire \tile_x7y13_ee4beg[7] ;
wire \tile_x7y13_ee4beg[8] ;
wire \tile_x7y13_ee4beg[9] ;
wire \tile_x7y13_framedata_o[0] ;
wire \tile_x7y13_framedata_o[10] ;
wire \tile_x7y13_framedata_o[11] ;
wire \tile_x7y13_framedata_o[12] ;
wire \tile_x7y13_framedata_o[13] ;
wire \tile_x7y13_framedata_o[14] ;
wire \tile_x7y13_framedata_o[15] ;
wire \tile_x7y13_framedata_o[16] ;
wire \tile_x7y13_framedata_o[17] ;
wire \tile_x7y13_framedata_o[18] ;
wire \tile_x7y13_framedata_o[19] ;
wire \tile_x7y13_framedata_o[1] ;
wire \tile_x7y13_framedata_o[20] ;
wire \tile_x7y13_framedata_o[21] ;
wire \tile_x7y13_framedata_o[22] ;
wire \tile_x7y13_framedata_o[23] ;
wire \tile_x7y13_framedata_o[24] ;
wire \tile_x7y13_framedata_o[25] ;
wire \tile_x7y13_framedata_o[26] ;
wire \tile_x7y13_framedata_o[27] ;
wire \tile_x7y13_framedata_o[28] ;
wire \tile_x7y13_framedata_o[29] ;
wire \tile_x7y13_framedata_o[2] ;
wire \tile_x7y13_framedata_o[30] ;
wire \tile_x7y13_framedata_o[31] ;
wire \tile_x7y13_framedata_o[3] ;
wire \tile_x7y13_framedata_o[4] ;
wire \tile_x7y13_framedata_o[5] ;
wire \tile_x7y13_framedata_o[6] ;
wire \tile_x7y13_framedata_o[7] ;
wire \tile_x7y13_framedata_o[8] ;
wire \tile_x7y13_framedata_o[9] ;
wire \tile_x7y13_framestrobe_o[0] ;
wire \tile_x7y13_framestrobe_o[10] ;
wire \tile_x7y13_framestrobe_o[11] ;
wire \tile_x7y13_framestrobe_o[12] ;
wire \tile_x7y13_framestrobe_o[13] ;
wire \tile_x7y13_framestrobe_o[14] ;
wire \tile_x7y13_framestrobe_o[15] ;
wire \tile_x7y13_framestrobe_o[16] ;
wire \tile_x7y13_framestrobe_o[17] ;
wire \tile_x7y13_framestrobe_o[18] ;
wire \tile_x7y13_framestrobe_o[19] ;
wire \tile_x7y13_framestrobe_o[1] ;
wire \tile_x7y13_framestrobe_o[2] ;
wire \tile_x7y13_framestrobe_o[3] ;
wire \tile_x7y13_framestrobe_o[4] ;
wire \tile_x7y13_framestrobe_o[5] ;
wire \tile_x7y13_framestrobe_o[6] ;
wire \tile_x7y13_framestrobe_o[7] ;
wire \tile_x7y13_framestrobe_o[8] ;
wire \tile_x7y13_framestrobe_o[9] ;
wire \tile_x7y13_n1beg[0] ;
wire \tile_x7y13_n1beg[1] ;
wire \tile_x7y13_n1beg[2] ;
wire \tile_x7y13_n1beg[3] ;
wire \tile_x7y13_n2beg[0] ;
wire \tile_x7y13_n2beg[1] ;
wire \tile_x7y13_n2beg[2] ;
wire \tile_x7y13_n2beg[3] ;
wire \tile_x7y13_n2beg[4] ;
wire \tile_x7y13_n2beg[5] ;
wire \tile_x7y13_n2beg[6] ;
wire \tile_x7y13_n2beg[7] ;
wire \tile_x7y13_n2begb[0] ;
wire \tile_x7y13_n2begb[1] ;
wire \tile_x7y13_n2begb[2] ;
wire \tile_x7y13_n2begb[3] ;
wire \tile_x7y13_n2begb[4] ;
wire \tile_x7y13_n2begb[5] ;
wire \tile_x7y13_n2begb[6] ;
wire \tile_x7y13_n2begb[7] ;
wire \tile_x7y13_n4beg[0] ;
wire \tile_x7y13_n4beg[10] ;
wire \tile_x7y13_n4beg[11] ;
wire \tile_x7y13_n4beg[12] ;
wire \tile_x7y13_n4beg[13] ;
wire \tile_x7y13_n4beg[14] ;
wire \tile_x7y13_n4beg[15] ;
wire \tile_x7y13_n4beg[1] ;
wire \tile_x7y13_n4beg[2] ;
wire \tile_x7y13_n4beg[3] ;
wire \tile_x7y13_n4beg[4] ;
wire \tile_x7y13_n4beg[5] ;
wire \tile_x7y13_n4beg[6] ;
wire \tile_x7y13_n4beg[7] ;
wire \tile_x7y13_n4beg[8] ;
wire \tile_x7y13_n4beg[9] ;
wire \tile_x7y13_nn4beg[0] ;
wire \tile_x7y13_nn4beg[10] ;
wire \tile_x7y13_nn4beg[11] ;
wire \tile_x7y13_nn4beg[12] ;
wire \tile_x7y13_nn4beg[13] ;
wire \tile_x7y13_nn4beg[14] ;
wire \tile_x7y13_nn4beg[15] ;
wire \tile_x7y13_nn4beg[1] ;
wire \tile_x7y13_nn4beg[2] ;
wire \tile_x7y13_nn4beg[3] ;
wire \tile_x7y13_nn4beg[4] ;
wire \tile_x7y13_nn4beg[5] ;
wire \tile_x7y13_nn4beg[6] ;
wire \tile_x7y13_nn4beg[7] ;
wire \tile_x7y13_nn4beg[8] ;
wire \tile_x7y13_nn4beg[9] ;
wire \tile_x7y13_s1beg[0] ;
wire \tile_x7y13_s1beg[1] ;
wire \tile_x7y13_s1beg[2] ;
wire \tile_x7y13_s1beg[3] ;
wire \tile_x7y13_s2beg[0] ;
wire \tile_x7y13_s2beg[1] ;
wire \tile_x7y13_s2beg[2] ;
wire \tile_x7y13_s2beg[3] ;
wire \tile_x7y13_s2beg[4] ;
wire \tile_x7y13_s2beg[5] ;
wire \tile_x7y13_s2beg[6] ;
wire \tile_x7y13_s2beg[7] ;
wire \tile_x7y13_s2begb[0] ;
wire \tile_x7y13_s2begb[1] ;
wire \tile_x7y13_s2begb[2] ;
wire \tile_x7y13_s2begb[3] ;
wire \tile_x7y13_s2begb[4] ;
wire \tile_x7y13_s2begb[5] ;
wire \tile_x7y13_s2begb[6] ;
wire \tile_x7y13_s2begb[7] ;
wire \tile_x7y13_s4beg[0] ;
wire \tile_x7y13_s4beg[10] ;
wire \tile_x7y13_s4beg[11] ;
wire \tile_x7y13_s4beg[12] ;
wire \tile_x7y13_s4beg[13] ;
wire \tile_x7y13_s4beg[14] ;
wire \tile_x7y13_s4beg[15] ;
wire \tile_x7y13_s4beg[1] ;
wire \tile_x7y13_s4beg[2] ;
wire \tile_x7y13_s4beg[3] ;
wire \tile_x7y13_s4beg[4] ;
wire \tile_x7y13_s4beg[5] ;
wire \tile_x7y13_s4beg[6] ;
wire \tile_x7y13_s4beg[7] ;
wire \tile_x7y13_s4beg[8] ;
wire \tile_x7y13_s4beg[9] ;
wire \tile_x7y13_ss4beg[0] ;
wire \tile_x7y13_ss4beg[10] ;
wire \tile_x7y13_ss4beg[11] ;
wire \tile_x7y13_ss4beg[12] ;
wire \tile_x7y13_ss4beg[13] ;
wire \tile_x7y13_ss4beg[14] ;
wire \tile_x7y13_ss4beg[15] ;
wire \tile_x7y13_ss4beg[1] ;
wire \tile_x7y13_ss4beg[2] ;
wire \tile_x7y13_ss4beg[3] ;
wire \tile_x7y13_ss4beg[4] ;
wire \tile_x7y13_ss4beg[5] ;
wire \tile_x7y13_ss4beg[6] ;
wire \tile_x7y13_ss4beg[7] ;
wire \tile_x7y13_ss4beg[8] ;
wire \tile_x7y13_ss4beg[9] ;
wire tile_x7y13_userclko;
wire \tile_x7y13_w1beg[0] ;
wire \tile_x7y13_w1beg[1] ;
wire \tile_x7y13_w1beg[2] ;
wire \tile_x7y13_w1beg[3] ;
wire \tile_x7y13_w2beg[0] ;
wire \tile_x7y13_w2beg[1] ;
wire \tile_x7y13_w2beg[2] ;
wire \tile_x7y13_w2beg[3] ;
wire \tile_x7y13_w2beg[4] ;
wire \tile_x7y13_w2beg[5] ;
wire \tile_x7y13_w2beg[6] ;
wire \tile_x7y13_w2beg[7] ;
wire \tile_x7y13_w2begb[0] ;
wire \tile_x7y13_w2begb[1] ;
wire \tile_x7y13_w2begb[2] ;
wire \tile_x7y13_w2begb[3] ;
wire \tile_x7y13_w2begb[4] ;
wire \tile_x7y13_w2begb[5] ;
wire \tile_x7y13_w2begb[6] ;
wire \tile_x7y13_w2begb[7] ;
wire \tile_x7y13_w6beg[0] ;
wire \tile_x7y13_w6beg[10] ;
wire \tile_x7y13_w6beg[11] ;
wire \tile_x7y13_w6beg[1] ;
wire \tile_x7y13_w6beg[2] ;
wire \tile_x7y13_w6beg[3] ;
wire \tile_x7y13_w6beg[4] ;
wire \tile_x7y13_w6beg[5] ;
wire \tile_x7y13_w6beg[6] ;
wire \tile_x7y13_w6beg[7] ;
wire \tile_x7y13_w6beg[8] ;
wire \tile_x7y13_w6beg[9] ;
wire \tile_x7y13_ww4beg[0] ;
wire \tile_x7y13_ww4beg[10] ;
wire \tile_x7y13_ww4beg[11] ;
wire \tile_x7y13_ww4beg[12] ;
wire \tile_x7y13_ww4beg[13] ;
wire \tile_x7y13_ww4beg[14] ;
wire \tile_x7y13_ww4beg[15] ;
wire \tile_x7y13_ww4beg[1] ;
wire \tile_x7y13_ww4beg[2] ;
wire \tile_x7y13_ww4beg[3] ;
wire \tile_x7y13_ww4beg[4] ;
wire \tile_x7y13_ww4beg[5] ;
wire \tile_x7y13_ww4beg[6] ;
wire \tile_x7y13_ww4beg[7] ;
wire \tile_x7y13_ww4beg[8] ;
wire \tile_x7y13_ww4beg[9] ;
wire tile_x7y14_co;
wire \tile_x7y14_e1beg[0] ;
wire \tile_x7y14_e1beg[1] ;
wire \tile_x7y14_e1beg[2] ;
wire \tile_x7y14_e1beg[3] ;
wire \tile_x7y14_e2beg[0] ;
wire \tile_x7y14_e2beg[1] ;
wire \tile_x7y14_e2beg[2] ;
wire \tile_x7y14_e2beg[3] ;
wire \tile_x7y14_e2beg[4] ;
wire \tile_x7y14_e2beg[5] ;
wire \tile_x7y14_e2beg[6] ;
wire \tile_x7y14_e2beg[7] ;
wire \tile_x7y14_e2begb[0] ;
wire \tile_x7y14_e2begb[1] ;
wire \tile_x7y14_e2begb[2] ;
wire \tile_x7y14_e2begb[3] ;
wire \tile_x7y14_e2begb[4] ;
wire \tile_x7y14_e2begb[5] ;
wire \tile_x7y14_e2begb[6] ;
wire \tile_x7y14_e2begb[7] ;
wire \tile_x7y14_e6beg[0] ;
wire \tile_x7y14_e6beg[10] ;
wire \tile_x7y14_e6beg[11] ;
wire \tile_x7y14_e6beg[1] ;
wire \tile_x7y14_e6beg[2] ;
wire \tile_x7y14_e6beg[3] ;
wire \tile_x7y14_e6beg[4] ;
wire \tile_x7y14_e6beg[5] ;
wire \tile_x7y14_e6beg[6] ;
wire \tile_x7y14_e6beg[7] ;
wire \tile_x7y14_e6beg[8] ;
wire \tile_x7y14_e6beg[9] ;
wire \tile_x7y14_ee4beg[0] ;
wire \tile_x7y14_ee4beg[10] ;
wire \tile_x7y14_ee4beg[11] ;
wire \tile_x7y14_ee4beg[12] ;
wire \tile_x7y14_ee4beg[13] ;
wire \tile_x7y14_ee4beg[14] ;
wire \tile_x7y14_ee4beg[15] ;
wire \tile_x7y14_ee4beg[1] ;
wire \tile_x7y14_ee4beg[2] ;
wire \tile_x7y14_ee4beg[3] ;
wire \tile_x7y14_ee4beg[4] ;
wire \tile_x7y14_ee4beg[5] ;
wire \tile_x7y14_ee4beg[6] ;
wire \tile_x7y14_ee4beg[7] ;
wire \tile_x7y14_ee4beg[8] ;
wire \tile_x7y14_ee4beg[9] ;
wire \tile_x7y14_framedata_o[0] ;
wire \tile_x7y14_framedata_o[10] ;
wire \tile_x7y14_framedata_o[11] ;
wire \tile_x7y14_framedata_o[12] ;
wire \tile_x7y14_framedata_o[13] ;
wire \tile_x7y14_framedata_o[14] ;
wire \tile_x7y14_framedata_o[15] ;
wire \tile_x7y14_framedata_o[16] ;
wire \tile_x7y14_framedata_o[17] ;
wire \tile_x7y14_framedata_o[18] ;
wire \tile_x7y14_framedata_o[19] ;
wire \tile_x7y14_framedata_o[1] ;
wire \tile_x7y14_framedata_o[20] ;
wire \tile_x7y14_framedata_o[21] ;
wire \tile_x7y14_framedata_o[22] ;
wire \tile_x7y14_framedata_o[23] ;
wire \tile_x7y14_framedata_o[24] ;
wire \tile_x7y14_framedata_o[25] ;
wire \tile_x7y14_framedata_o[26] ;
wire \tile_x7y14_framedata_o[27] ;
wire \tile_x7y14_framedata_o[28] ;
wire \tile_x7y14_framedata_o[29] ;
wire \tile_x7y14_framedata_o[2] ;
wire \tile_x7y14_framedata_o[30] ;
wire \tile_x7y14_framedata_o[31] ;
wire \tile_x7y14_framedata_o[3] ;
wire \tile_x7y14_framedata_o[4] ;
wire \tile_x7y14_framedata_o[5] ;
wire \tile_x7y14_framedata_o[6] ;
wire \tile_x7y14_framedata_o[7] ;
wire \tile_x7y14_framedata_o[8] ;
wire \tile_x7y14_framedata_o[9] ;
wire \tile_x7y14_framestrobe_o[0] ;
wire \tile_x7y14_framestrobe_o[10] ;
wire \tile_x7y14_framestrobe_o[11] ;
wire \tile_x7y14_framestrobe_o[12] ;
wire \tile_x7y14_framestrobe_o[13] ;
wire \tile_x7y14_framestrobe_o[14] ;
wire \tile_x7y14_framestrobe_o[15] ;
wire \tile_x7y14_framestrobe_o[16] ;
wire \tile_x7y14_framestrobe_o[17] ;
wire \tile_x7y14_framestrobe_o[18] ;
wire \tile_x7y14_framestrobe_o[19] ;
wire \tile_x7y14_framestrobe_o[1] ;
wire \tile_x7y14_framestrobe_o[2] ;
wire \tile_x7y14_framestrobe_o[3] ;
wire \tile_x7y14_framestrobe_o[4] ;
wire \tile_x7y14_framestrobe_o[5] ;
wire \tile_x7y14_framestrobe_o[6] ;
wire \tile_x7y14_framestrobe_o[7] ;
wire \tile_x7y14_framestrobe_o[8] ;
wire \tile_x7y14_framestrobe_o[9] ;
wire \tile_x7y14_n1beg[0] ;
wire \tile_x7y14_n1beg[1] ;
wire \tile_x7y14_n1beg[2] ;
wire \tile_x7y14_n1beg[3] ;
wire \tile_x7y14_n2beg[0] ;
wire \tile_x7y14_n2beg[1] ;
wire \tile_x7y14_n2beg[2] ;
wire \tile_x7y14_n2beg[3] ;
wire \tile_x7y14_n2beg[4] ;
wire \tile_x7y14_n2beg[5] ;
wire \tile_x7y14_n2beg[6] ;
wire \tile_x7y14_n2beg[7] ;
wire \tile_x7y14_n2begb[0] ;
wire \tile_x7y14_n2begb[1] ;
wire \tile_x7y14_n2begb[2] ;
wire \tile_x7y14_n2begb[3] ;
wire \tile_x7y14_n2begb[4] ;
wire \tile_x7y14_n2begb[5] ;
wire \tile_x7y14_n2begb[6] ;
wire \tile_x7y14_n2begb[7] ;
wire \tile_x7y14_n4beg[0] ;
wire \tile_x7y14_n4beg[10] ;
wire \tile_x7y14_n4beg[11] ;
wire \tile_x7y14_n4beg[12] ;
wire \tile_x7y14_n4beg[13] ;
wire \tile_x7y14_n4beg[14] ;
wire \tile_x7y14_n4beg[15] ;
wire \tile_x7y14_n4beg[1] ;
wire \tile_x7y14_n4beg[2] ;
wire \tile_x7y14_n4beg[3] ;
wire \tile_x7y14_n4beg[4] ;
wire \tile_x7y14_n4beg[5] ;
wire \tile_x7y14_n4beg[6] ;
wire \tile_x7y14_n4beg[7] ;
wire \tile_x7y14_n4beg[8] ;
wire \tile_x7y14_n4beg[9] ;
wire \tile_x7y14_nn4beg[0] ;
wire \tile_x7y14_nn4beg[10] ;
wire \tile_x7y14_nn4beg[11] ;
wire \tile_x7y14_nn4beg[12] ;
wire \tile_x7y14_nn4beg[13] ;
wire \tile_x7y14_nn4beg[14] ;
wire \tile_x7y14_nn4beg[15] ;
wire \tile_x7y14_nn4beg[1] ;
wire \tile_x7y14_nn4beg[2] ;
wire \tile_x7y14_nn4beg[3] ;
wire \tile_x7y14_nn4beg[4] ;
wire \tile_x7y14_nn4beg[5] ;
wire \tile_x7y14_nn4beg[6] ;
wire \tile_x7y14_nn4beg[7] ;
wire \tile_x7y14_nn4beg[8] ;
wire \tile_x7y14_nn4beg[9] ;
wire \tile_x7y14_s1beg[0] ;
wire \tile_x7y14_s1beg[1] ;
wire \tile_x7y14_s1beg[2] ;
wire \tile_x7y14_s1beg[3] ;
wire \tile_x7y14_s2beg[0] ;
wire \tile_x7y14_s2beg[1] ;
wire \tile_x7y14_s2beg[2] ;
wire \tile_x7y14_s2beg[3] ;
wire \tile_x7y14_s2beg[4] ;
wire \tile_x7y14_s2beg[5] ;
wire \tile_x7y14_s2beg[6] ;
wire \tile_x7y14_s2beg[7] ;
wire \tile_x7y14_s2begb[0] ;
wire \tile_x7y14_s2begb[1] ;
wire \tile_x7y14_s2begb[2] ;
wire \tile_x7y14_s2begb[3] ;
wire \tile_x7y14_s2begb[4] ;
wire \tile_x7y14_s2begb[5] ;
wire \tile_x7y14_s2begb[6] ;
wire \tile_x7y14_s2begb[7] ;
wire \tile_x7y14_s4beg[0] ;
wire \tile_x7y14_s4beg[10] ;
wire \tile_x7y14_s4beg[11] ;
wire \tile_x7y14_s4beg[12] ;
wire \tile_x7y14_s4beg[13] ;
wire \tile_x7y14_s4beg[14] ;
wire \tile_x7y14_s4beg[15] ;
wire \tile_x7y14_s4beg[1] ;
wire \tile_x7y14_s4beg[2] ;
wire \tile_x7y14_s4beg[3] ;
wire \tile_x7y14_s4beg[4] ;
wire \tile_x7y14_s4beg[5] ;
wire \tile_x7y14_s4beg[6] ;
wire \tile_x7y14_s4beg[7] ;
wire \tile_x7y14_s4beg[8] ;
wire \tile_x7y14_s4beg[9] ;
wire \tile_x7y14_ss4beg[0] ;
wire \tile_x7y14_ss4beg[10] ;
wire \tile_x7y14_ss4beg[11] ;
wire \tile_x7y14_ss4beg[12] ;
wire \tile_x7y14_ss4beg[13] ;
wire \tile_x7y14_ss4beg[14] ;
wire \tile_x7y14_ss4beg[15] ;
wire \tile_x7y14_ss4beg[1] ;
wire \tile_x7y14_ss4beg[2] ;
wire \tile_x7y14_ss4beg[3] ;
wire \tile_x7y14_ss4beg[4] ;
wire \tile_x7y14_ss4beg[5] ;
wire \tile_x7y14_ss4beg[6] ;
wire \tile_x7y14_ss4beg[7] ;
wire \tile_x7y14_ss4beg[8] ;
wire \tile_x7y14_ss4beg[9] ;
wire tile_x7y14_userclko;
wire \tile_x7y14_w1beg[0] ;
wire \tile_x7y14_w1beg[1] ;
wire \tile_x7y14_w1beg[2] ;
wire \tile_x7y14_w1beg[3] ;
wire \tile_x7y14_w2beg[0] ;
wire \tile_x7y14_w2beg[1] ;
wire \tile_x7y14_w2beg[2] ;
wire \tile_x7y14_w2beg[3] ;
wire \tile_x7y14_w2beg[4] ;
wire \tile_x7y14_w2beg[5] ;
wire \tile_x7y14_w2beg[6] ;
wire \tile_x7y14_w2beg[7] ;
wire \tile_x7y14_w2begb[0] ;
wire \tile_x7y14_w2begb[1] ;
wire \tile_x7y14_w2begb[2] ;
wire \tile_x7y14_w2begb[3] ;
wire \tile_x7y14_w2begb[4] ;
wire \tile_x7y14_w2begb[5] ;
wire \tile_x7y14_w2begb[6] ;
wire \tile_x7y14_w2begb[7] ;
wire \tile_x7y14_w6beg[0] ;
wire \tile_x7y14_w6beg[10] ;
wire \tile_x7y14_w6beg[11] ;
wire \tile_x7y14_w6beg[1] ;
wire \tile_x7y14_w6beg[2] ;
wire \tile_x7y14_w6beg[3] ;
wire \tile_x7y14_w6beg[4] ;
wire \tile_x7y14_w6beg[5] ;
wire \tile_x7y14_w6beg[6] ;
wire \tile_x7y14_w6beg[7] ;
wire \tile_x7y14_w6beg[8] ;
wire \tile_x7y14_w6beg[9] ;
wire \tile_x7y14_ww4beg[0] ;
wire \tile_x7y14_ww4beg[10] ;
wire \tile_x7y14_ww4beg[11] ;
wire \tile_x7y14_ww4beg[12] ;
wire \tile_x7y14_ww4beg[13] ;
wire \tile_x7y14_ww4beg[14] ;
wire \tile_x7y14_ww4beg[15] ;
wire \tile_x7y14_ww4beg[1] ;
wire \tile_x7y14_ww4beg[2] ;
wire \tile_x7y14_ww4beg[3] ;
wire \tile_x7y14_ww4beg[4] ;
wire \tile_x7y14_ww4beg[5] ;
wire \tile_x7y14_ww4beg[6] ;
wire \tile_x7y14_ww4beg[7] ;
wire \tile_x7y14_ww4beg[8] ;
wire \tile_x7y14_ww4beg[9] ;
wire tile_x7y15_co;
wire \tile_x7y15_framestrobe_o[0] ;
wire \tile_x7y15_framestrobe_o[10] ;
wire \tile_x7y15_framestrobe_o[11] ;
wire \tile_x7y15_framestrobe_o[12] ;
wire \tile_x7y15_framestrobe_o[13] ;
wire \tile_x7y15_framestrobe_o[14] ;
wire \tile_x7y15_framestrobe_o[15] ;
wire \tile_x7y15_framestrobe_o[16] ;
wire \tile_x7y15_framestrobe_o[17] ;
wire \tile_x7y15_framestrobe_o[18] ;
wire \tile_x7y15_framestrobe_o[19] ;
wire \tile_x7y15_framestrobe_o[1] ;
wire \tile_x7y15_framestrobe_o[2] ;
wire \tile_x7y15_framestrobe_o[3] ;
wire \tile_x7y15_framestrobe_o[4] ;
wire \tile_x7y15_framestrobe_o[5] ;
wire \tile_x7y15_framestrobe_o[6] ;
wire \tile_x7y15_framestrobe_o[7] ;
wire \tile_x7y15_framestrobe_o[8] ;
wire \tile_x7y15_framestrobe_o[9] ;
wire \tile_x7y15_n1beg[0] ;
wire \tile_x7y15_n1beg[1] ;
wire \tile_x7y15_n1beg[2] ;
wire \tile_x7y15_n1beg[3] ;
wire \tile_x7y15_n2beg[0] ;
wire \tile_x7y15_n2beg[1] ;
wire \tile_x7y15_n2beg[2] ;
wire \tile_x7y15_n2beg[3] ;
wire \tile_x7y15_n2beg[4] ;
wire \tile_x7y15_n2beg[5] ;
wire \tile_x7y15_n2beg[6] ;
wire \tile_x7y15_n2beg[7] ;
wire \tile_x7y15_n2begb[0] ;
wire \tile_x7y15_n2begb[1] ;
wire \tile_x7y15_n2begb[2] ;
wire \tile_x7y15_n2begb[3] ;
wire \tile_x7y15_n2begb[4] ;
wire \tile_x7y15_n2begb[5] ;
wire \tile_x7y15_n2begb[6] ;
wire \tile_x7y15_n2begb[7] ;
wire \tile_x7y15_n4beg[0] ;
wire \tile_x7y15_n4beg[10] ;
wire \tile_x7y15_n4beg[11] ;
wire \tile_x7y15_n4beg[12] ;
wire \tile_x7y15_n4beg[13] ;
wire \tile_x7y15_n4beg[14] ;
wire \tile_x7y15_n4beg[15] ;
wire \tile_x7y15_n4beg[1] ;
wire \tile_x7y15_n4beg[2] ;
wire \tile_x7y15_n4beg[3] ;
wire \tile_x7y15_n4beg[4] ;
wire \tile_x7y15_n4beg[5] ;
wire \tile_x7y15_n4beg[6] ;
wire \tile_x7y15_n4beg[7] ;
wire \tile_x7y15_n4beg[8] ;
wire \tile_x7y15_n4beg[9] ;
wire \tile_x7y15_nn4beg[0] ;
wire \tile_x7y15_nn4beg[10] ;
wire \tile_x7y15_nn4beg[11] ;
wire \tile_x7y15_nn4beg[12] ;
wire \tile_x7y15_nn4beg[13] ;
wire \tile_x7y15_nn4beg[14] ;
wire \tile_x7y15_nn4beg[15] ;
wire \tile_x7y15_nn4beg[1] ;
wire \tile_x7y15_nn4beg[2] ;
wire \tile_x7y15_nn4beg[3] ;
wire \tile_x7y15_nn4beg[4] ;
wire \tile_x7y15_nn4beg[5] ;
wire \tile_x7y15_nn4beg[6] ;
wire \tile_x7y15_nn4beg[7] ;
wire \tile_x7y15_nn4beg[8] ;
wire \tile_x7y15_nn4beg[9] ;
wire tile_x7y15_userclko;
wire tile_x7y1_co;
wire \tile_x7y1_e1beg[0] ;
wire \tile_x7y1_e1beg[1] ;
wire \tile_x7y1_e1beg[2] ;
wire \tile_x7y1_e1beg[3] ;
wire \tile_x7y1_e2beg[0] ;
wire \tile_x7y1_e2beg[1] ;
wire \tile_x7y1_e2beg[2] ;
wire \tile_x7y1_e2beg[3] ;
wire \tile_x7y1_e2beg[4] ;
wire \tile_x7y1_e2beg[5] ;
wire \tile_x7y1_e2beg[6] ;
wire \tile_x7y1_e2beg[7] ;
wire \tile_x7y1_e2begb[0] ;
wire \tile_x7y1_e2begb[1] ;
wire \tile_x7y1_e2begb[2] ;
wire \tile_x7y1_e2begb[3] ;
wire \tile_x7y1_e2begb[4] ;
wire \tile_x7y1_e2begb[5] ;
wire \tile_x7y1_e2begb[6] ;
wire \tile_x7y1_e2begb[7] ;
wire \tile_x7y1_e6beg[0] ;
wire \tile_x7y1_e6beg[10] ;
wire \tile_x7y1_e6beg[11] ;
wire \tile_x7y1_e6beg[1] ;
wire \tile_x7y1_e6beg[2] ;
wire \tile_x7y1_e6beg[3] ;
wire \tile_x7y1_e6beg[4] ;
wire \tile_x7y1_e6beg[5] ;
wire \tile_x7y1_e6beg[6] ;
wire \tile_x7y1_e6beg[7] ;
wire \tile_x7y1_e6beg[8] ;
wire \tile_x7y1_e6beg[9] ;
wire \tile_x7y1_ee4beg[0] ;
wire \tile_x7y1_ee4beg[10] ;
wire \tile_x7y1_ee4beg[11] ;
wire \tile_x7y1_ee4beg[12] ;
wire \tile_x7y1_ee4beg[13] ;
wire \tile_x7y1_ee4beg[14] ;
wire \tile_x7y1_ee4beg[15] ;
wire \tile_x7y1_ee4beg[1] ;
wire \tile_x7y1_ee4beg[2] ;
wire \tile_x7y1_ee4beg[3] ;
wire \tile_x7y1_ee4beg[4] ;
wire \tile_x7y1_ee4beg[5] ;
wire \tile_x7y1_ee4beg[6] ;
wire \tile_x7y1_ee4beg[7] ;
wire \tile_x7y1_ee4beg[8] ;
wire \tile_x7y1_ee4beg[9] ;
wire \tile_x7y1_framedata_o[0] ;
wire \tile_x7y1_framedata_o[10] ;
wire \tile_x7y1_framedata_o[11] ;
wire \tile_x7y1_framedata_o[12] ;
wire \tile_x7y1_framedata_o[13] ;
wire \tile_x7y1_framedata_o[14] ;
wire \tile_x7y1_framedata_o[15] ;
wire \tile_x7y1_framedata_o[16] ;
wire \tile_x7y1_framedata_o[17] ;
wire \tile_x7y1_framedata_o[18] ;
wire \tile_x7y1_framedata_o[19] ;
wire \tile_x7y1_framedata_o[1] ;
wire \tile_x7y1_framedata_o[20] ;
wire \tile_x7y1_framedata_o[21] ;
wire \tile_x7y1_framedata_o[22] ;
wire \tile_x7y1_framedata_o[23] ;
wire \tile_x7y1_framedata_o[24] ;
wire \tile_x7y1_framedata_o[25] ;
wire \tile_x7y1_framedata_o[26] ;
wire \tile_x7y1_framedata_o[27] ;
wire \tile_x7y1_framedata_o[28] ;
wire \tile_x7y1_framedata_o[29] ;
wire \tile_x7y1_framedata_o[2] ;
wire \tile_x7y1_framedata_o[30] ;
wire \tile_x7y1_framedata_o[31] ;
wire \tile_x7y1_framedata_o[3] ;
wire \tile_x7y1_framedata_o[4] ;
wire \tile_x7y1_framedata_o[5] ;
wire \tile_x7y1_framedata_o[6] ;
wire \tile_x7y1_framedata_o[7] ;
wire \tile_x7y1_framedata_o[8] ;
wire \tile_x7y1_framedata_o[9] ;
wire \tile_x7y1_framestrobe_o[0] ;
wire \tile_x7y1_framestrobe_o[10] ;
wire \tile_x7y1_framestrobe_o[11] ;
wire \tile_x7y1_framestrobe_o[12] ;
wire \tile_x7y1_framestrobe_o[13] ;
wire \tile_x7y1_framestrobe_o[14] ;
wire \tile_x7y1_framestrobe_o[15] ;
wire \tile_x7y1_framestrobe_o[16] ;
wire \tile_x7y1_framestrobe_o[17] ;
wire \tile_x7y1_framestrobe_o[18] ;
wire \tile_x7y1_framestrobe_o[19] ;
wire \tile_x7y1_framestrobe_o[1] ;
wire \tile_x7y1_framestrobe_o[2] ;
wire \tile_x7y1_framestrobe_o[3] ;
wire \tile_x7y1_framestrobe_o[4] ;
wire \tile_x7y1_framestrobe_o[5] ;
wire \tile_x7y1_framestrobe_o[6] ;
wire \tile_x7y1_framestrobe_o[7] ;
wire \tile_x7y1_framestrobe_o[8] ;
wire \tile_x7y1_framestrobe_o[9] ;
wire \tile_x7y1_n1beg[0] ;
wire \tile_x7y1_n1beg[1] ;
wire \tile_x7y1_n1beg[2] ;
wire \tile_x7y1_n1beg[3] ;
wire \tile_x7y1_n2beg[0] ;
wire \tile_x7y1_n2beg[1] ;
wire \tile_x7y1_n2beg[2] ;
wire \tile_x7y1_n2beg[3] ;
wire \tile_x7y1_n2beg[4] ;
wire \tile_x7y1_n2beg[5] ;
wire \tile_x7y1_n2beg[6] ;
wire \tile_x7y1_n2beg[7] ;
wire \tile_x7y1_n2begb[0] ;
wire \tile_x7y1_n2begb[1] ;
wire \tile_x7y1_n2begb[2] ;
wire \tile_x7y1_n2begb[3] ;
wire \tile_x7y1_n2begb[4] ;
wire \tile_x7y1_n2begb[5] ;
wire \tile_x7y1_n2begb[6] ;
wire \tile_x7y1_n2begb[7] ;
wire \tile_x7y1_n4beg[0] ;
wire \tile_x7y1_n4beg[10] ;
wire \tile_x7y1_n4beg[11] ;
wire \tile_x7y1_n4beg[12] ;
wire \tile_x7y1_n4beg[13] ;
wire \tile_x7y1_n4beg[14] ;
wire \tile_x7y1_n4beg[15] ;
wire \tile_x7y1_n4beg[1] ;
wire \tile_x7y1_n4beg[2] ;
wire \tile_x7y1_n4beg[3] ;
wire \tile_x7y1_n4beg[4] ;
wire \tile_x7y1_n4beg[5] ;
wire \tile_x7y1_n4beg[6] ;
wire \tile_x7y1_n4beg[7] ;
wire \tile_x7y1_n4beg[8] ;
wire \tile_x7y1_n4beg[9] ;
wire \tile_x7y1_nn4beg[0] ;
wire \tile_x7y1_nn4beg[10] ;
wire \tile_x7y1_nn4beg[11] ;
wire \tile_x7y1_nn4beg[12] ;
wire \tile_x7y1_nn4beg[13] ;
wire \tile_x7y1_nn4beg[14] ;
wire \tile_x7y1_nn4beg[15] ;
wire \tile_x7y1_nn4beg[1] ;
wire \tile_x7y1_nn4beg[2] ;
wire \tile_x7y1_nn4beg[3] ;
wire \tile_x7y1_nn4beg[4] ;
wire \tile_x7y1_nn4beg[5] ;
wire \tile_x7y1_nn4beg[6] ;
wire \tile_x7y1_nn4beg[7] ;
wire \tile_x7y1_nn4beg[8] ;
wire \tile_x7y1_nn4beg[9] ;
wire \tile_x7y1_s1beg[0] ;
wire \tile_x7y1_s1beg[1] ;
wire \tile_x7y1_s1beg[2] ;
wire \tile_x7y1_s1beg[3] ;
wire \tile_x7y1_s2beg[0] ;
wire \tile_x7y1_s2beg[1] ;
wire \tile_x7y1_s2beg[2] ;
wire \tile_x7y1_s2beg[3] ;
wire \tile_x7y1_s2beg[4] ;
wire \tile_x7y1_s2beg[5] ;
wire \tile_x7y1_s2beg[6] ;
wire \tile_x7y1_s2beg[7] ;
wire \tile_x7y1_s2begb[0] ;
wire \tile_x7y1_s2begb[1] ;
wire \tile_x7y1_s2begb[2] ;
wire \tile_x7y1_s2begb[3] ;
wire \tile_x7y1_s2begb[4] ;
wire \tile_x7y1_s2begb[5] ;
wire \tile_x7y1_s2begb[6] ;
wire \tile_x7y1_s2begb[7] ;
wire \tile_x7y1_s4beg[0] ;
wire \tile_x7y1_s4beg[10] ;
wire \tile_x7y1_s4beg[11] ;
wire \tile_x7y1_s4beg[12] ;
wire \tile_x7y1_s4beg[13] ;
wire \tile_x7y1_s4beg[14] ;
wire \tile_x7y1_s4beg[15] ;
wire \tile_x7y1_s4beg[1] ;
wire \tile_x7y1_s4beg[2] ;
wire \tile_x7y1_s4beg[3] ;
wire \tile_x7y1_s4beg[4] ;
wire \tile_x7y1_s4beg[5] ;
wire \tile_x7y1_s4beg[6] ;
wire \tile_x7y1_s4beg[7] ;
wire \tile_x7y1_s4beg[8] ;
wire \tile_x7y1_s4beg[9] ;
wire \tile_x7y1_ss4beg[0] ;
wire \tile_x7y1_ss4beg[10] ;
wire \tile_x7y1_ss4beg[11] ;
wire \tile_x7y1_ss4beg[12] ;
wire \tile_x7y1_ss4beg[13] ;
wire \tile_x7y1_ss4beg[14] ;
wire \tile_x7y1_ss4beg[15] ;
wire \tile_x7y1_ss4beg[1] ;
wire \tile_x7y1_ss4beg[2] ;
wire \tile_x7y1_ss4beg[3] ;
wire \tile_x7y1_ss4beg[4] ;
wire \tile_x7y1_ss4beg[5] ;
wire \tile_x7y1_ss4beg[6] ;
wire \tile_x7y1_ss4beg[7] ;
wire \tile_x7y1_ss4beg[8] ;
wire \tile_x7y1_ss4beg[9] ;
wire tile_x7y1_userclko;
wire \tile_x7y1_w1beg[0] ;
wire \tile_x7y1_w1beg[1] ;
wire \tile_x7y1_w1beg[2] ;
wire \tile_x7y1_w1beg[3] ;
wire \tile_x7y1_w2beg[0] ;
wire \tile_x7y1_w2beg[1] ;
wire \tile_x7y1_w2beg[2] ;
wire \tile_x7y1_w2beg[3] ;
wire \tile_x7y1_w2beg[4] ;
wire \tile_x7y1_w2beg[5] ;
wire \tile_x7y1_w2beg[6] ;
wire \tile_x7y1_w2beg[7] ;
wire \tile_x7y1_w2begb[0] ;
wire \tile_x7y1_w2begb[1] ;
wire \tile_x7y1_w2begb[2] ;
wire \tile_x7y1_w2begb[3] ;
wire \tile_x7y1_w2begb[4] ;
wire \tile_x7y1_w2begb[5] ;
wire \tile_x7y1_w2begb[6] ;
wire \tile_x7y1_w2begb[7] ;
wire \tile_x7y1_w6beg[0] ;
wire \tile_x7y1_w6beg[10] ;
wire \tile_x7y1_w6beg[11] ;
wire \tile_x7y1_w6beg[1] ;
wire \tile_x7y1_w6beg[2] ;
wire \tile_x7y1_w6beg[3] ;
wire \tile_x7y1_w6beg[4] ;
wire \tile_x7y1_w6beg[5] ;
wire \tile_x7y1_w6beg[6] ;
wire \tile_x7y1_w6beg[7] ;
wire \tile_x7y1_w6beg[8] ;
wire \tile_x7y1_w6beg[9] ;
wire \tile_x7y1_ww4beg[0] ;
wire \tile_x7y1_ww4beg[10] ;
wire \tile_x7y1_ww4beg[11] ;
wire \tile_x7y1_ww4beg[12] ;
wire \tile_x7y1_ww4beg[13] ;
wire \tile_x7y1_ww4beg[14] ;
wire \tile_x7y1_ww4beg[15] ;
wire \tile_x7y1_ww4beg[1] ;
wire \tile_x7y1_ww4beg[2] ;
wire \tile_x7y1_ww4beg[3] ;
wire \tile_x7y1_ww4beg[4] ;
wire \tile_x7y1_ww4beg[5] ;
wire \tile_x7y1_ww4beg[6] ;
wire \tile_x7y1_ww4beg[7] ;
wire \tile_x7y1_ww4beg[8] ;
wire \tile_x7y1_ww4beg[9] ;
wire tile_x7y2_co;
wire \tile_x7y2_e1beg[0] ;
wire \tile_x7y2_e1beg[1] ;
wire \tile_x7y2_e1beg[2] ;
wire \tile_x7y2_e1beg[3] ;
wire \tile_x7y2_e2beg[0] ;
wire \tile_x7y2_e2beg[1] ;
wire \tile_x7y2_e2beg[2] ;
wire \tile_x7y2_e2beg[3] ;
wire \tile_x7y2_e2beg[4] ;
wire \tile_x7y2_e2beg[5] ;
wire \tile_x7y2_e2beg[6] ;
wire \tile_x7y2_e2beg[7] ;
wire \tile_x7y2_e2begb[0] ;
wire \tile_x7y2_e2begb[1] ;
wire \tile_x7y2_e2begb[2] ;
wire \tile_x7y2_e2begb[3] ;
wire \tile_x7y2_e2begb[4] ;
wire \tile_x7y2_e2begb[5] ;
wire \tile_x7y2_e2begb[6] ;
wire \tile_x7y2_e2begb[7] ;
wire \tile_x7y2_e6beg[0] ;
wire \tile_x7y2_e6beg[10] ;
wire \tile_x7y2_e6beg[11] ;
wire \tile_x7y2_e6beg[1] ;
wire \tile_x7y2_e6beg[2] ;
wire \tile_x7y2_e6beg[3] ;
wire \tile_x7y2_e6beg[4] ;
wire \tile_x7y2_e6beg[5] ;
wire \tile_x7y2_e6beg[6] ;
wire \tile_x7y2_e6beg[7] ;
wire \tile_x7y2_e6beg[8] ;
wire \tile_x7y2_e6beg[9] ;
wire \tile_x7y2_ee4beg[0] ;
wire \tile_x7y2_ee4beg[10] ;
wire \tile_x7y2_ee4beg[11] ;
wire \tile_x7y2_ee4beg[12] ;
wire \tile_x7y2_ee4beg[13] ;
wire \tile_x7y2_ee4beg[14] ;
wire \tile_x7y2_ee4beg[15] ;
wire \tile_x7y2_ee4beg[1] ;
wire \tile_x7y2_ee4beg[2] ;
wire \tile_x7y2_ee4beg[3] ;
wire \tile_x7y2_ee4beg[4] ;
wire \tile_x7y2_ee4beg[5] ;
wire \tile_x7y2_ee4beg[6] ;
wire \tile_x7y2_ee4beg[7] ;
wire \tile_x7y2_ee4beg[8] ;
wire \tile_x7y2_ee4beg[9] ;
wire \tile_x7y2_framedata_o[0] ;
wire \tile_x7y2_framedata_o[10] ;
wire \tile_x7y2_framedata_o[11] ;
wire \tile_x7y2_framedata_o[12] ;
wire \tile_x7y2_framedata_o[13] ;
wire \tile_x7y2_framedata_o[14] ;
wire \tile_x7y2_framedata_o[15] ;
wire \tile_x7y2_framedata_o[16] ;
wire \tile_x7y2_framedata_o[17] ;
wire \tile_x7y2_framedata_o[18] ;
wire \tile_x7y2_framedata_o[19] ;
wire \tile_x7y2_framedata_o[1] ;
wire \tile_x7y2_framedata_o[20] ;
wire \tile_x7y2_framedata_o[21] ;
wire \tile_x7y2_framedata_o[22] ;
wire \tile_x7y2_framedata_o[23] ;
wire \tile_x7y2_framedata_o[24] ;
wire \tile_x7y2_framedata_o[25] ;
wire \tile_x7y2_framedata_o[26] ;
wire \tile_x7y2_framedata_o[27] ;
wire \tile_x7y2_framedata_o[28] ;
wire \tile_x7y2_framedata_o[29] ;
wire \tile_x7y2_framedata_o[2] ;
wire \tile_x7y2_framedata_o[30] ;
wire \tile_x7y2_framedata_o[31] ;
wire \tile_x7y2_framedata_o[3] ;
wire \tile_x7y2_framedata_o[4] ;
wire \tile_x7y2_framedata_o[5] ;
wire \tile_x7y2_framedata_o[6] ;
wire \tile_x7y2_framedata_o[7] ;
wire \tile_x7y2_framedata_o[8] ;
wire \tile_x7y2_framedata_o[9] ;
wire \tile_x7y2_framestrobe_o[0] ;
wire \tile_x7y2_framestrobe_o[10] ;
wire \tile_x7y2_framestrobe_o[11] ;
wire \tile_x7y2_framestrobe_o[12] ;
wire \tile_x7y2_framestrobe_o[13] ;
wire \tile_x7y2_framestrobe_o[14] ;
wire \tile_x7y2_framestrobe_o[15] ;
wire \tile_x7y2_framestrobe_o[16] ;
wire \tile_x7y2_framestrobe_o[17] ;
wire \tile_x7y2_framestrobe_o[18] ;
wire \tile_x7y2_framestrobe_o[19] ;
wire \tile_x7y2_framestrobe_o[1] ;
wire \tile_x7y2_framestrobe_o[2] ;
wire \tile_x7y2_framestrobe_o[3] ;
wire \tile_x7y2_framestrobe_o[4] ;
wire \tile_x7y2_framestrobe_o[5] ;
wire \tile_x7y2_framestrobe_o[6] ;
wire \tile_x7y2_framestrobe_o[7] ;
wire \tile_x7y2_framestrobe_o[8] ;
wire \tile_x7y2_framestrobe_o[9] ;
wire \tile_x7y2_n1beg[0] ;
wire \tile_x7y2_n1beg[1] ;
wire \tile_x7y2_n1beg[2] ;
wire \tile_x7y2_n1beg[3] ;
wire \tile_x7y2_n2beg[0] ;
wire \tile_x7y2_n2beg[1] ;
wire \tile_x7y2_n2beg[2] ;
wire \tile_x7y2_n2beg[3] ;
wire \tile_x7y2_n2beg[4] ;
wire \tile_x7y2_n2beg[5] ;
wire \tile_x7y2_n2beg[6] ;
wire \tile_x7y2_n2beg[7] ;
wire \tile_x7y2_n2begb[0] ;
wire \tile_x7y2_n2begb[1] ;
wire \tile_x7y2_n2begb[2] ;
wire \tile_x7y2_n2begb[3] ;
wire \tile_x7y2_n2begb[4] ;
wire \tile_x7y2_n2begb[5] ;
wire \tile_x7y2_n2begb[6] ;
wire \tile_x7y2_n2begb[7] ;
wire \tile_x7y2_n4beg[0] ;
wire \tile_x7y2_n4beg[10] ;
wire \tile_x7y2_n4beg[11] ;
wire \tile_x7y2_n4beg[12] ;
wire \tile_x7y2_n4beg[13] ;
wire \tile_x7y2_n4beg[14] ;
wire \tile_x7y2_n4beg[15] ;
wire \tile_x7y2_n4beg[1] ;
wire \tile_x7y2_n4beg[2] ;
wire \tile_x7y2_n4beg[3] ;
wire \tile_x7y2_n4beg[4] ;
wire \tile_x7y2_n4beg[5] ;
wire \tile_x7y2_n4beg[6] ;
wire \tile_x7y2_n4beg[7] ;
wire \tile_x7y2_n4beg[8] ;
wire \tile_x7y2_n4beg[9] ;
wire \tile_x7y2_nn4beg[0] ;
wire \tile_x7y2_nn4beg[10] ;
wire \tile_x7y2_nn4beg[11] ;
wire \tile_x7y2_nn4beg[12] ;
wire \tile_x7y2_nn4beg[13] ;
wire \tile_x7y2_nn4beg[14] ;
wire \tile_x7y2_nn4beg[15] ;
wire \tile_x7y2_nn4beg[1] ;
wire \tile_x7y2_nn4beg[2] ;
wire \tile_x7y2_nn4beg[3] ;
wire \tile_x7y2_nn4beg[4] ;
wire \tile_x7y2_nn4beg[5] ;
wire \tile_x7y2_nn4beg[6] ;
wire \tile_x7y2_nn4beg[7] ;
wire \tile_x7y2_nn4beg[8] ;
wire \tile_x7y2_nn4beg[9] ;
wire \tile_x7y2_s1beg[0] ;
wire \tile_x7y2_s1beg[1] ;
wire \tile_x7y2_s1beg[2] ;
wire \tile_x7y2_s1beg[3] ;
wire \tile_x7y2_s2beg[0] ;
wire \tile_x7y2_s2beg[1] ;
wire \tile_x7y2_s2beg[2] ;
wire \tile_x7y2_s2beg[3] ;
wire \tile_x7y2_s2beg[4] ;
wire \tile_x7y2_s2beg[5] ;
wire \tile_x7y2_s2beg[6] ;
wire \tile_x7y2_s2beg[7] ;
wire \tile_x7y2_s2begb[0] ;
wire \tile_x7y2_s2begb[1] ;
wire \tile_x7y2_s2begb[2] ;
wire \tile_x7y2_s2begb[3] ;
wire \tile_x7y2_s2begb[4] ;
wire \tile_x7y2_s2begb[5] ;
wire \tile_x7y2_s2begb[6] ;
wire \tile_x7y2_s2begb[7] ;
wire \tile_x7y2_s4beg[0] ;
wire \tile_x7y2_s4beg[10] ;
wire \tile_x7y2_s4beg[11] ;
wire \tile_x7y2_s4beg[12] ;
wire \tile_x7y2_s4beg[13] ;
wire \tile_x7y2_s4beg[14] ;
wire \tile_x7y2_s4beg[15] ;
wire \tile_x7y2_s4beg[1] ;
wire \tile_x7y2_s4beg[2] ;
wire \tile_x7y2_s4beg[3] ;
wire \tile_x7y2_s4beg[4] ;
wire \tile_x7y2_s4beg[5] ;
wire \tile_x7y2_s4beg[6] ;
wire \tile_x7y2_s4beg[7] ;
wire \tile_x7y2_s4beg[8] ;
wire \tile_x7y2_s4beg[9] ;
wire \tile_x7y2_ss4beg[0] ;
wire \tile_x7y2_ss4beg[10] ;
wire \tile_x7y2_ss4beg[11] ;
wire \tile_x7y2_ss4beg[12] ;
wire \tile_x7y2_ss4beg[13] ;
wire \tile_x7y2_ss4beg[14] ;
wire \tile_x7y2_ss4beg[15] ;
wire \tile_x7y2_ss4beg[1] ;
wire \tile_x7y2_ss4beg[2] ;
wire \tile_x7y2_ss4beg[3] ;
wire \tile_x7y2_ss4beg[4] ;
wire \tile_x7y2_ss4beg[5] ;
wire \tile_x7y2_ss4beg[6] ;
wire \tile_x7y2_ss4beg[7] ;
wire \tile_x7y2_ss4beg[8] ;
wire \tile_x7y2_ss4beg[9] ;
wire tile_x7y2_userclko;
wire \tile_x7y2_w1beg[0] ;
wire \tile_x7y2_w1beg[1] ;
wire \tile_x7y2_w1beg[2] ;
wire \tile_x7y2_w1beg[3] ;
wire \tile_x7y2_w2beg[0] ;
wire \tile_x7y2_w2beg[1] ;
wire \tile_x7y2_w2beg[2] ;
wire \tile_x7y2_w2beg[3] ;
wire \tile_x7y2_w2beg[4] ;
wire \tile_x7y2_w2beg[5] ;
wire \tile_x7y2_w2beg[6] ;
wire \tile_x7y2_w2beg[7] ;
wire \tile_x7y2_w2begb[0] ;
wire \tile_x7y2_w2begb[1] ;
wire \tile_x7y2_w2begb[2] ;
wire \tile_x7y2_w2begb[3] ;
wire \tile_x7y2_w2begb[4] ;
wire \tile_x7y2_w2begb[5] ;
wire \tile_x7y2_w2begb[6] ;
wire \tile_x7y2_w2begb[7] ;
wire \tile_x7y2_w6beg[0] ;
wire \tile_x7y2_w6beg[10] ;
wire \tile_x7y2_w6beg[11] ;
wire \tile_x7y2_w6beg[1] ;
wire \tile_x7y2_w6beg[2] ;
wire \tile_x7y2_w6beg[3] ;
wire \tile_x7y2_w6beg[4] ;
wire \tile_x7y2_w6beg[5] ;
wire \tile_x7y2_w6beg[6] ;
wire \tile_x7y2_w6beg[7] ;
wire \tile_x7y2_w6beg[8] ;
wire \tile_x7y2_w6beg[9] ;
wire \tile_x7y2_ww4beg[0] ;
wire \tile_x7y2_ww4beg[10] ;
wire \tile_x7y2_ww4beg[11] ;
wire \tile_x7y2_ww4beg[12] ;
wire \tile_x7y2_ww4beg[13] ;
wire \tile_x7y2_ww4beg[14] ;
wire \tile_x7y2_ww4beg[15] ;
wire \tile_x7y2_ww4beg[1] ;
wire \tile_x7y2_ww4beg[2] ;
wire \tile_x7y2_ww4beg[3] ;
wire \tile_x7y2_ww4beg[4] ;
wire \tile_x7y2_ww4beg[5] ;
wire \tile_x7y2_ww4beg[6] ;
wire \tile_x7y2_ww4beg[7] ;
wire \tile_x7y2_ww4beg[8] ;
wire \tile_x7y2_ww4beg[9] ;
wire tile_x7y3_co;
wire \tile_x7y3_e1beg[0] ;
wire \tile_x7y3_e1beg[1] ;
wire \tile_x7y3_e1beg[2] ;
wire \tile_x7y3_e1beg[3] ;
wire \tile_x7y3_e2beg[0] ;
wire \tile_x7y3_e2beg[1] ;
wire \tile_x7y3_e2beg[2] ;
wire \tile_x7y3_e2beg[3] ;
wire \tile_x7y3_e2beg[4] ;
wire \tile_x7y3_e2beg[5] ;
wire \tile_x7y3_e2beg[6] ;
wire \tile_x7y3_e2beg[7] ;
wire \tile_x7y3_e2begb[0] ;
wire \tile_x7y3_e2begb[1] ;
wire \tile_x7y3_e2begb[2] ;
wire \tile_x7y3_e2begb[3] ;
wire \tile_x7y3_e2begb[4] ;
wire \tile_x7y3_e2begb[5] ;
wire \tile_x7y3_e2begb[6] ;
wire \tile_x7y3_e2begb[7] ;
wire \tile_x7y3_e6beg[0] ;
wire \tile_x7y3_e6beg[10] ;
wire \tile_x7y3_e6beg[11] ;
wire \tile_x7y3_e6beg[1] ;
wire \tile_x7y3_e6beg[2] ;
wire \tile_x7y3_e6beg[3] ;
wire \tile_x7y3_e6beg[4] ;
wire \tile_x7y3_e6beg[5] ;
wire \tile_x7y3_e6beg[6] ;
wire \tile_x7y3_e6beg[7] ;
wire \tile_x7y3_e6beg[8] ;
wire \tile_x7y3_e6beg[9] ;
wire \tile_x7y3_ee4beg[0] ;
wire \tile_x7y3_ee4beg[10] ;
wire \tile_x7y3_ee4beg[11] ;
wire \tile_x7y3_ee4beg[12] ;
wire \tile_x7y3_ee4beg[13] ;
wire \tile_x7y3_ee4beg[14] ;
wire \tile_x7y3_ee4beg[15] ;
wire \tile_x7y3_ee4beg[1] ;
wire \tile_x7y3_ee4beg[2] ;
wire \tile_x7y3_ee4beg[3] ;
wire \tile_x7y3_ee4beg[4] ;
wire \tile_x7y3_ee4beg[5] ;
wire \tile_x7y3_ee4beg[6] ;
wire \tile_x7y3_ee4beg[7] ;
wire \tile_x7y3_ee4beg[8] ;
wire \tile_x7y3_ee4beg[9] ;
wire \tile_x7y3_framedata_o[0] ;
wire \tile_x7y3_framedata_o[10] ;
wire \tile_x7y3_framedata_o[11] ;
wire \tile_x7y3_framedata_o[12] ;
wire \tile_x7y3_framedata_o[13] ;
wire \tile_x7y3_framedata_o[14] ;
wire \tile_x7y3_framedata_o[15] ;
wire \tile_x7y3_framedata_o[16] ;
wire \tile_x7y3_framedata_o[17] ;
wire \tile_x7y3_framedata_o[18] ;
wire \tile_x7y3_framedata_o[19] ;
wire \tile_x7y3_framedata_o[1] ;
wire \tile_x7y3_framedata_o[20] ;
wire \tile_x7y3_framedata_o[21] ;
wire \tile_x7y3_framedata_o[22] ;
wire \tile_x7y3_framedata_o[23] ;
wire \tile_x7y3_framedata_o[24] ;
wire \tile_x7y3_framedata_o[25] ;
wire \tile_x7y3_framedata_o[26] ;
wire \tile_x7y3_framedata_o[27] ;
wire \tile_x7y3_framedata_o[28] ;
wire \tile_x7y3_framedata_o[29] ;
wire \tile_x7y3_framedata_o[2] ;
wire \tile_x7y3_framedata_o[30] ;
wire \tile_x7y3_framedata_o[31] ;
wire \tile_x7y3_framedata_o[3] ;
wire \tile_x7y3_framedata_o[4] ;
wire \tile_x7y3_framedata_o[5] ;
wire \tile_x7y3_framedata_o[6] ;
wire \tile_x7y3_framedata_o[7] ;
wire \tile_x7y3_framedata_o[8] ;
wire \tile_x7y3_framedata_o[9] ;
wire \tile_x7y3_framestrobe_o[0] ;
wire \tile_x7y3_framestrobe_o[10] ;
wire \tile_x7y3_framestrobe_o[11] ;
wire \tile_x7y3_framestrobe_o[12] ;
wire \tile_x7y3_framestrobe_o[13] ;
wire \tile_x7y3_framestrobe_o[14] ;
wire \tile_x7y3_framestrobe_o[15] ;
wire \tile_x7y3_framestrobe_o[16] ;
wire \tile_x7y3_framestrobe_o[17] ;
wire \tile_x7y3_framestrobe_o[18] ;
wire \tile_x7y3_framestrobe_o[19] ;
wire \tile_x7y3_framestrobe_o[1] ;
wire \tile_x7y3_framestrobe_o[2] ;
wire \tile_x7y3_framestrobe_o[3] ;
wire \tile_x7y3_framestrobe_o[4] ;
wire \tile_x7y3_framestrobe_o[5] ;
wire \tile_x7y3_framestrobe_o[6] ;
wire \tile_x7y3_framestrobe_o[7] ;
wire \tile_x7y3_framestrobe_o[8] ;
wire \tile_x7y3_framestrobe_o[9] ;
wire \tile_x7y3_n1beg[0] ;
wire \tile_x7y3_n1beg[1] ;
wire \tile_x7y3_n1beg[2] ;
wire \tile_x7y3_n1beg[3] ;
wire \tile_x7y3_n2beg[0] ;
wire \tile_x7y3_n2beg[1] ;
wire \tile_x7y3_n2beg[2] ;
wire \tile_x7y3_n2beg[3] ;
wire \tile_x7y3_n2beg[4] ;
wire \tile_x7y3_n2beg[5] ;
wire \tile_x7y3_n2beg[6] ;
wire \tile_x7y3_n2beg[7] ;
wire \tile_x7y3_n2begb[0] ;
wire \tile_x7y3_n2begb[1] ;
wire \tile_x7y3_n2begb[2] ;
wire \tile_x7y3_n2begb[3] ;
wire \tile_x7y3_n2begb[4] ;
wire \tile_x7y3_n2begb[5] ;
wire \tile_x7y3_n2begb[6] ;
wire \tile_x7y3_n2begb[7] ;
wire \tile_x7y3_n4beg[0] ;
wire \tile_x7y3_n4beg[10] ;
wire \tile_x7y3_n4beg[11] ;
wire \tile_x7y3_n4beg[12] ;
wire \tile_x7y3_n4beg[13] ;
wire \tile_x7y3_n4beg[14] ;
wire \tile_x7y3_n4beg[15] ;
wire \tile_x7y3_n4beg[1] ;
wire \tile_x7y3_n4beg[2] ;
wire \tile_x7y3_n4beg[3] ;
wire \tile_x7y3_n4beg[4] ;
wire \tile_x7y3_n4beg[5] ;
wire \tile_x7y3_n4beg[6] ;
wire \tile_x7y3_n4beg[7] ;
wire \tile_x7y3_n4beg[8] ;
wire \tile_x7y3_n4beg[9] ;
wire \tile_x7y3_nn4beg[0] ;
wire \tile_x7y3_nn4beg[10] ;
wire \tile_x7y3_nn4beg[11] ;
wire \tile_x7y3_nn4beg[12] ;
wire \tile_x7y3_nn4beg[13] ;
wire \tile_x7y3_nn4beg[14] ;
wire \tile_x7y3_nn4beg[15] ;
wire \tile_x7y3_nn4beg[1] ;
wire \tile_x7y3_nn4beg[2] ;
wire \tile_x7y3_nn4beg[3] ;
wire \tile_x7y3_nn4beg[4] ;
wire \tile_x7y3_nn4beg[5] ;
wire \tile_x7y3_nn4beg[6] ;
wire \tile_x7y3_nn4beg[7] ;
wire \tile_x7y3_nn4beg[8] ;
wire \tile_x7y3_nn4beg[9] ;
wire \tile_x7y3_s1beg[0] ;
wire \tile_x7y3_s1beg[1] ;
wire \tile_x7y3_s1beg[2] ;
wire \tile_x7y3_s1beg[3] ;
wire \tile_x7y3_s2beg[0] ;
wire \tile_x7y3_s2beg[1] ;
wire \tile_x7y3_s2beg[2] ;
wire \tile_x7y3_s2beg[3] ;
wire \tile_x7y3_s2beg[4] ;
wire \tile_x7y3_s2beg[5] ;
wire \tile_x7y3_s2beg[6] ;
wire \tile_x7y3_s2beg[7] ;
wire \tile_x7y3_s2begb[0] ;
wire \tile_x7y3_s2begb[1] ;
wire \tile_x7y3_s2begb[2] ;
wire \tile_x7y3_s2begb[3] ;
wire \tile_x7y3_s2begb[4] ;
wire \tile_x7y3_s2begb[5] ;
wire \tile_x7y3_s2begb[6] ;
wire \tile_x7y3_s2begb[7] ;
wire \tile_x7y3_s4beg[0] ;
wire \tile_x7y3_s4beg[10] ;
wire \tile_x7y3_s4beg[11] ;
wire \tile_x7y3_s4beg[12] ;
wire \tile_x7y3_s4beg[13] ;
wire \tile_x7y3_s4beg[14] ;
wire \tile_x7y3_s4beg[15] ;
wire \tile_x7y3_s4beg[1] ;
wire \tile_x7y3_s4beg[2] ;
wire \tile_x7y3_s4beg[3] ;
wire \tile_x7y3_s4beg[4] ;
wire \tile_x7y3_s4beg[5] ;
wire \tile_x7y3_s4beg[6] ;
wire \tile_x7y3_s4beg[7] ;
wire \tile_x7y3_s4beg[8] ;
wire \tile_x7y3_s4beg[9] ;
wire \tile_x7y3_ss4beg[0] ;
wire \tile_x7y3_ss4beg[10] ;
wire \tile_x7y3_ss4beg[11] ;
wire \tile_x7y3_ss4beg[12] ;
wire \tile_x7y3_ss4beg[13] ;
wire \tile_x7y3_ss4beg[14] ;
wire \tile_x7y3_ss4beg[15] ;
wire \tile_x7y3_ss4beg[1] ;
wire \tile_x7y3_ss4beg[2] ;
wire \tile_x7y3_ss4beg[3] ;
wire \tile_x7y3_ss4beg[4] ;
wire \tile_x7y3_ss4beg[5] ;
wire \tile_x7y3_ss4beg[6] ;
wire \tile_x7y3_ss4beg[7] ;
wire \tile_x7y3_ss4beg[8] ;
wire \tile_x7y3_ss4beg[9] ;
wire tile_x7y3_userclko;
wire \tile_x7y3_w1beg[0] ;
wire \tile_x7y3_w1beg[1] ;
wire \tile_x7y3_w1beg[2] ;
wire \tile_x7y3_w1beg[3] ;
wire \tile_x7y3_w2beg[0] ;
wire \tile_x7y3_w2beg[1] ;
wire \tile_x7y3_w2beg[2] ;
wire \tile_x7y3_w2beg[3] ;
wire \tile_x7y3_w2beg[4] ;
wire \tile_x7y3_w2beg[5] ;
wire \tile_x7y3_w2beg[6] ;
wire \tile_x7y3_w2beg[7] ;
wire \tile_x7y3_w2begb[0] ;
wire \tile_x7y3_w2begb[1] ;
wire \tile_x7y3_w2begb[2] ;
wire \tile_x7y3_w2begb[3] ;
wire \tile_x7y3_w2begb[4] ;
wire \tile_x7y3_w2begb[5] ;
wire \tile_x7y3_w2begb[6] ;
wire \tile_x7y3_w2begb[7] ;
wire \tile_x7y3_w6beg[0] ;
wire \tile_x7y3_w6beg[10] ;
wire \tile_x7y3_w6beg[11] ;
wire \tile_x7y3_w6beg[1] ;
wire \tile_x7y3_w6beg[2] ;
wire \tile_x7y3_w6beg[3] ;
wire \tile_x7y3_w6beg[4] ;
wire \tile_x7y3_w6beg[5] ;
wire \tile_x7y3_w6beg[6] ;
wire \tile_x7y3_w6beg[7] ;
wire \tile_x7y3_w6beg[8] ;
wire \tile_x7y3_w6beg[9] ;
wire \tile_x7y3_ww4beg[0] ;
wire \tile_x7y3_ww4beg[10] ;
wire \tile_x7y3_ww4beg[11] ;
wire \tile_x7y3_ww4beg[12] ;
wire \tile_x7y3_ww4beg[13] ;
wire \tile_x7y3_ww4beg[14] ;
wire \tile_x7y3_ww4beg[15] ;
wire \tile_x7y3_ww4beg[1] ;
wire \tile_x7y3_ww4beg[2] ;
wire \tile_x7y3_ww4beg[3] ;
wire \tile_x7y3_ww4beg[4] ;
wire \tile_x7y3_ww4beg[5] ;
wire \tile_x7y3_ww4beg[6] ;
wire \tile_x7y3_ww4beg[7] ;
wire \tile_x7y3_ww4beg[8] ;
wire \tile_x7y3_ww4beg[9] ;
wire tile_x7y4_co;
wire \tile_x7y4_e1beg[0] ;
wire \tile_x7y4_e1beg[1] ;
wire \tile_x7y4_e1beg[2] ;
wire \tile_x7y4_e1beg[3] ;
wire \tile_x7y4_e2beg[0] ;
wire \tile_x7y4_e2beg[1] ;
wire \tile_x7y4_e2beg[2] ;
wire \tile_x7y4_e2beg[3] ;
wire \tile_x7y4_e2beg[4] ;
wire \tile_x7y4_e2beg[5] ;
wire \tile_x7y4_e2beg[6] ;
wire \tile_x7y4_e2beg[7] ;
wire \tile_x7y4_e2begb[0] ;
wire \tile_x7y4_e2begb[1] ;
wire \tile_x7y4_e2begb[2] ;
wire \tile_x7y4_e2begb[3] ;
wire \tile_x7y4_e2begb[4] ;
wire \tile_x7y4_e2begb[5] ;
wire \tile_x7y4_e2begb[6] ;
wire \tile_x7y4_e2begb[7] ;
wire \tile_x7y4_e6beg[0] ;
wire \tile_x7y4_e6beg[10] ;
wire \tile_x7y4_e6beg[11] ;
wire \tile_x7y4_e6beg[1] ;
wire \tile_x7y4_e6beg[2] ;
wire \tile_x7y4_e6beg[3] ;
wire \tile_x7y4_e6beg[4] ;
wire \tile_x7y4_e6beg[5] ;
wire \tile_x7y4_e6beg[6] ;
wire \tile_x7y4_e6beg[7] ;
wire \tile_x7y4_e6beg[8] ;
wire \tile_x7y4_e6beg[9] ;
wire \tile_x7y4_ee4beg[0] ;
wire \tile_x7y4_ee4beg[10] ;
wire \tile_x7y4_ee4beg[11] ;
wire \tile_x7y4_ee4beg[12] ;
wire \tile_x7y4_ee4beg[13] ;
wire \tile_x7y4_ee4beg[14] ;
wire \tile_x7y4_ee4beg[15] ;
wire \tile_x7y4_ee4beg[1] ;
wire \tile_x7y4_ee4beg[2] ;
wire \tile_x7y4_ee4beg[3] ;
wire \tile_x7y4_ee4beg[4] ;
wire \tile_x7y4_ee4beg[5] ;
wire \tile_x7y4_ee4beg[6] ;
wire \tile_x7y4_ee4beg[7] ;
wire \tile_x7y4_ee4beg[8] ;
wire \tile_x7y4_ee4beg[9] ;
wire \tile_x7y4_framedata_o[0] ;
wire \tile_x7y4_framedata_o[10] ;
wire \tile_x7y4_framedata_o[11] ;
wire \tile_x7y4_framedata_o[12] ;
wire \tile_x7y4_framedata_o[13] ;
wire \tile_x7y4_framedata_o[14] ;
wire \tile_x7y4_framedata_o[15] ;
wire \tile_x7y4_framedata_o[16] ;
wire \tile_x7y4_framedata_o[17] ;
wire \tile_x7y4_framedata_o[18] ;
wire \tile_x7y4_framedata_o[19] ;
wire \tile_x7y4_framedata_o[1] ;
wire \tile_x7y4_framedata_o[20] ;
wire \tile_x7y4_framedata_o[21] ;
wire \tile_x7y4_framedata_o[22] ;
wire \tile_x7y4_framedata_o[23] ;
wire \tile_x7y4_framedata_o[24] ;
wire \tile_x7y4_framedata_o[25] ;
wire \tile_x7y4_framedata_o[26] ;
wire \tile_x7y4_framedata_o[27] ;
wire \tile_x7y4_framedata_o[28] ;
wire \tile_x7y4_framedata_o[29] ;
wire \tile_x7y4_framedata_o[2] ;
wire \tile_x7y4_framedata_o[30] ;
wire \tile_x7y4_framedata_o[31] ;
wire \tile_x7y4_framedata_o[3] ;
wire \tile_x7y4_framedata_o[4] ;
wire \tile_x7y4_framedata_o[5] ;
wire \tile_x7y4_framedata_o[6] ;
wire \tile_x7y4_framedata_o[7] ;
wire \tile_x7y4_framedata_o[8] ;
wire \tile_x7y4_framedata_o[9] ;
wire \tile_x7y4_framestrobe_o[0] ;
wire \tile_x7y4_framestrobe_o[10] ;
wire \tile_x7y4_framestrobe_o[11] ;
wire \tile_x7y4_framestrobe_o[12] ;
wire \tile_x7y4_framestrobe_o[13] ;
wire \tile_x7y4_framestrobe_o[14] ;
wire \tile_x7y4_framestrobe_o[15] ;
wire \tile_x7y4_framestrobe_o[16] ;
wire \tile_x7y4_framestrobe_o[17] ;
wire \tile_x7y4_framestrobe_o[18] ;
wire \tile_x7y4_framestrobe_o[19] ;
wire \tile_x7y4_framestrobe_o[1] ;
wire \tile_x7y4_framestrobe_o[2] ;
wire \tile_x7y4_framestrobe_o[3] ;
wire \tile_x7y4_framestrobe_o[4] ;
wire \tile_x7y4_framestrobe_o[5] ;
wire \tile_x7y4_framestrobe_o[6] ;
wire \tile_x7y4_framestrobe_o[7] ;
wire \tile_x7y4_framestrobe_o[8] ;
wire \tile_x7y4_framestrobe_o[9] ;
wire \tile_x7y4_n1beg[0] ;
wire \tile_x7y4_n1beg[1] ;
wire \tile_x7y4_n1beg[2] ;
wire \tile_x7y4_n1beg[3] ;
wire \tile_x7y4_n2beg[0] ;
wire \tile_x7y4_n2beg[1] ;
wire \tile_x7y4_n2beg[2] ;
wire \tile_x7y4_n2beg[3] ;
wire \tile_x7y4_n2beg[4] ;
wire \tile_x7y4_n2beg[5] ;
wire \tile_x7y4_n2beg[6] ;
wire \tile_x7y4_n2beg[7] ;
wire \tile_x7y4_n2begb[0] ;
wire \tile_x7y4_n2begb[1] ;
wire \tile_x7y4_n2begb[2] ;
wire \tile_x7y4_n2begb[3] ;
wire \tile_x7y4_n2begb[4] ;
wire \tile_x7y4_n2begb[5] ;
wire \tile_x7y4_n2begb[6] ;
wire \tile_x7y4_n2begb[7] ;
wire \tile_x7y4_n4beg[0] ;
wire \tile_x7y4_n4beg[10] ;
wire \tile_x7y4_n4beg[11] ;
wire \tile_x7y4_n4beg[12] ;
wire \tile_x7y4_n4beg[13] ;
wire \tile_x7y4_n4beg[14] ;
wire \tile_x7y4_n4beg[15] ;
wire \tile_x7y4_n4beg[1] ;
wire \tile_x7y4_n4beg[2] ;
wire \tile_x7y4_n4beg[3] ;
wire \tile_x7y4_n4beg[4] ;
wire \tile_x7y4_n4beg[5] ;
wire \tile_x7y4_n4beg[6] ;
wire \tile_x7y4_n4beg[7] ;
wire \tile_x7y4_n4beg[8] ;
wire \tile_x7y4_n4beg[9] ;
wire \tile_x7y4_nn4beg[0] ;
wire \tile_x7y4_nn4beg[10] ;
wire \tile_x7y4_nn4beg[11] ;
wire \tile_x7y4_nn4beg[12] ;
wire \tile_x7y4_nn4beg[13] ;
wire \tile_x7y4_nn4beg[14] ;
wire \tile_x7y4_nn4beg[15] ;
wire \tile_x7y4_nn4beg[1] ;
wire \tile_x7y4_nn4beg[2] ;
wire \tile_x7y4_nn4beg[3] ;
wire \tile_x7y4_nn4beg[4] ;
wire \tile_x7y4_nn4beg[5] ;
wire \tile_x7y4_nn4beg[6] ;
wire \tile_x7y4_nn4beg[7] ;
wire \tile_x7y4_nn4beg[8] ;
wire \tile_x7y4_nn4beg[9] ;
wire \tile_x7y4_s1beg[0] ;
wire \tile_x7y4_s1beg[1] ;
wire \tile_x7y4_s1beg[2] ;
wire \tile_x7y4_s1beg[3] ;
wire \tile_x7y4_s2beg[0] ;
wire \tile_x7y4_s2beg[1] ;
wire \tile_x7y4_s2beg[2] ;
wire \tile_x7y4_s2beg[3] ;
wire \tile_x7y4_s2beg[4] ;
wire \tile_x7y4_s2beg[5] ;
wire \tile_x7y4_s2beg[6] ;
wire \tile_x7y4_s2beg[7] ;
wire \tile_x7y4_s2begb[0] ;
wire \tile_x7y4_s2begb[1] ;
wire \tile_x7y4_s2begb[2] ;
wire \tile_x7y4_s2begb[3] ;
wire \tile_x7y4_s2begb[4] ;
wire \tile_x7y4_s2begb[5] ;
wire \tile_x7y4_s2begb[6] ;
wire \tile_x7y4_s2begb[7] ;
wire \tile_x7y4_s4beg[0] ;
wire \tile_x7y4_s4beg[10] ;
wire \tile_x7y4_s4beg[11] ;
wire \tile_x7y4_s4beg[12] ;
wire \tile_x7y4_s4beg[13] ;
wire \tile_x7y4_s4beg[14] ;
wire \tile_x7y4_s4beg[15] ;
wire \tile_x7y4_s4beg[1] ;
wire \tile_x7y4_s4beg[2] ;
wire \tile_x7y4_s4beg[3] ;
wire \tile_x7y4_s4beg[4] ;
wire \tile_x7y4_s4beg[5] ;
wire \tile_x7y4_s4beg[6] ;
wire \tile_x7y4_s4beg[7] ;
wire \tile_x7y4_s4beg[8] ;
wire \tile_x7y4_s4beg[9] ;
wire \tile_x7y4_ss4beg[0] ;
wire \tile_x7y4_ss4beg[10] ;
wire \tile_x7y4_ss4beg[11] ;
wire \tile_x7y4_ss4beg[12] ;
wire \tile_x7y4_ss4beg[13] ;
wire \tile_x7y4_ss4beg[14] ;
wire \tile_x7y4_ss4beg[15] ;
wire \tile_x7y4_ss4beg[1] ;
wire \tile_x7y4_ss4beg[2] ;
wire \tile_x7y4_ss4beg[3] ;
wire \tile_x7y4_ss4beg[4] ;
wire \tile_x7y4_ss4beg[5] ;
wire \tile_x7y4_ss4beg[6] ;
wire \tile_x7y4_ss4beg[7] ;
wire \tile_x7y4_ss4beg[8] ;
wire \tile_x7y4_ss4beg[9] ;
wire tile_x7y4_userclko;
wire \tile_x7y4_w1beg[0] ;
wire \tile_x7y4_w1beg[1] ;
wire \tile_x7y4_w1beg[2] ;
wire \tile_x7y4_w1beg[3] ;
wire \tile_x7y4_w2beg[0] ;
wire \tile_x7y4_w2beg[1] ;
wire \tile_x7y4_w2beg[2] ;
wire \tile_x7y4_w2beg[3] ;
wire \tile_x7y4_w2beg[4] ;
wire \tile_x7y4_w2beg[5] ;
wire \tile_x7y4_w2beg[6] ;
wire \tile_x7y4_w2beg[7] ;
wire \tile_x7y4_w2begb[0] ;
wire \tile_x7y4_w2begb[1] ;
wire \tile_x7y4_w2begb[2] ;
wire \tile_x7y4_w2begb[3] ;
wire \tile_x7y4_w2begb[4] ;
wire \tile_x7y4_w2begb[5] ;
wire \tile_x7y4_w2begb[6] ;
wire \tile_x7y4_w2begb[7] ;
wire \tile_x7y4_w6beg[0] ;
wire \tile_x7y4_w6beg[10] ;
wire \tile_x7y4_w6beg[11] ;
wire \tile_x7y4_w6beg[1] ;
wire \tile_x7y4_w6beg[2] ;
wire \tile_x7y4_w6beg[3] ;
wire \tile_x7y4_w6beg[4] ;
wire \tile_x7y4_w6beg[5] ;
wire \tile_x7y4_w6beg[6] ;
wire \tile_x7y4_w6beg[7] ;
wire \tile_x7y4_w6beg[8] ;
wire \tile_x7y4_w6beg[9] ;
wire \tile_x7y4_ww4beg[0] ;
wire \tile_x7y4_ww4beg[10] ;
wire \tile_x7y4_ww4beg[11] ;
wire \tile_x7y4_ww4beg[12] ;
wire \tile_x7y4_ww4beg[13] ;
wire \tile_x7y4_ww4beg[14] ;
wire \tile_x7y4_ww4beg[15] ;
wire \tile_x7y4_ww4beg[1] ;
wire \tile_x7y4_ww4beg[2] ;
wire \tile_x7y4_ww4beg[3] ;
wire \tile_x7y4_ww4beg[4] ;
wire \tile_x7y4_ww4beg[5] ;
wire \tile_x7y4_ww4beg[6] ;
wire \tile_x7y4_ww4beg[7] ;
wire \tile_x7y4_ww4beg[8] ;
wire \tile_x7y4_ww4beg[9] ;
wire tile_x7y5_co;
wire \tile_x7y5_e1beg[0] ;
wire \tile_x7y5_e1beg[1] ;
wire \tile_x7y5_e1beg[2] ;
wire \tile_x7y5_e1beg[3] ;
wire \tile_x7y5_e2beg[0] ;
wire \tile_x7y5_e2beg[1] ;
wire \tile_x7y5_e2beg[2] ;
wire \tile_x7y5_e2beg[3] ;
wire \tile_x7y5_e2beg[4] ;
wire \tile_x7y5_e2beg[5] ;
wire \tile_x7y5_e2beg[6] ;
wire \tile_x7y5_e2beg[7] ;
wire \tile_x7y5_e2begb[0] ;
wire \tile_x7y5_e2begb[1] ;
wire \tile_x7y5_e2begb[2] ;
wire \tile_x7y5_e2begb[3] ;
wire \tile_x7y5_e2begb[4] ;
wire \tile_x7y5_e2begb[5] ;
wire \tile_x7y5_e2begb[6] ;
wire \tile_x7y5_e2begb[7] ;
wire \tile_x7y5_e6beg[0] ;
wire \tile_x7y5_e6beg[10] ;
wire \tile_x7y5_e6beg[11] ;
wire \tile_x7y5_e6beg[1] ;
wire \tile_x7y5_e6beg[2] ;
wire \tile_x7y5_e6beg[3] ;
wire \tile_x7y5_e6beg[4] ;
wire \tile_x7y5_e6beg[5] ;
wire \tile_x7y5_e6beg[6] ;
wire \tile_x7y5_e6beg[7] ;
wire \tile_x7y5_e6beg[8] ;
wire \tile_x7y5_e6beg[9] ;
wire \tile_x7y5_ee4beg[0] ;
wire \tile_x7y5_ee4beg[10] ;
wire \tile_x7y5_ee4beg[11] ;
wire \tile_x7y5_ee4beg[12] ;
wire \tile_x7y5_ee4beg[13] ;
wire \tile_x7y5_ee4beg[14] ;
wire \tile_x7y5_ee4beg[15] ;
wire \tile_x7y5_ee4beg[1] ;
wire \tile_x7y5_ee4beg[2] ;
wire \tile_x7y5_ee4beg[3] ;
wire \tile_x7y5_ee4beg[4] ;
wire \tile_x7y5_ee4beg[5] ;
wire \tile_x7y5_ee4beg[6] ;
wire \tile_x7y5_ee4beg[7] ;
wire \tile_x7y5_ee4beg[8] ;
wire \tile_x7y5_ee4beg[9] ;
wire \tile_x7y5_framedata_o[0] ;
wire \tile_x7y5_framedata_o[10] ;
wire \tile_x7y5_framedata_o[11] ;
wire \tile_x7y5_framedata_o[12] ;
wire \tile_x7y5_framedata_o[13] ;
wire \tile_x7y5_framedata_o[14] ;
wire \tile_x7y5_framedata_o[15] ;
wire \tile_x7y5_framedata_o[16] ;
wire \tile_x7y5_framedata_o[17] ;
wire \tile_x7y5_framedata_o[18] ;
wire \tile_x7y5_framedata_o[19] ;
wire \tile_x7y5_framedata_o[1] ;
wire \tile_x7y5_framedata_o[20] ;
wire \tile_x7y5_framedata_o[21] ;
wire \tile_x7y5_framedata_o[22] ;
wire \tile_x7y5_framedata_o[23] ;
wire \tile_x7y5_framedata_o[24] ;
wire \tile_x7y5_framedata_o[25] ;
wire \tile_x7y5_framedata_o[26] ;
wire \tile_x7y5_framedata_o[27] ;
wire \tile_x7y5_framedata_o[28] ;
wire \tile_x7y5_framedata_o[29] ;
wire \tile_x7y5_framedata_o[2] ;
wire \tile_x7y5_framedata_o[30] ;
wire \tile_x7y5_framedata_o[31] ;
wire \tile_x7y5_framedata_o[3] ;
wire \tile_x7y5_framedata_o[4] ;
wire \tile_x7y5_framedata_o[5] ;
wire \tile_x7y5_framedata_o[6] ;
wire \tile_x7y5_framedata_o[7] ;
wire \tile_x7y5_framedata_o[8] ;
wire \tile_x7y5_framedata_o[9] ;
wire \tile_x7y5_framestrobe_o[0] ;
wire \tile_x7y5_framestrobe_o[10] ;
wire \tile_x7y5_framestrobe_o[11] ;
wire \tile_x7y5_framestrobe_o[12] ;
wire \tile_x7y5_framestrobe_o[13] ;
wire \tile_x7y5_framestrobe_o[14] ;
wire \tile_x7y5_framestrobe_o[15] ;
wire \tile_x7y5_framestrobe_o[16] ;
wire \tile_x7y5_framestrobe_o[17] ;
wire \tile_x7y5_framestrobe_o[18] ;
wire \tile_x7y5_framestrobe_o[19] ;
wire \tile_x7y5_framestrobe_o[1] ;
wire \tile_x7y5_framestrobe_o[2] ;
wire \tile_x7y5_framestrobe_o[3] ;
wire \tile_x7y5_framestrobe_o[4] ;
wire \tile_x7y5_framestrobe_o[5] ;
wire \tile_x7y5_framestrobe_o[6] ;
wire \tile_x7y5_framestrobe_o[7] ;
wire \tile_x7y5_framestrobe_o[8] ;
wire \tile_x7y5_framestrobe_o[9] ;
wire \tile_x7y5_n1beg[0] ;
wire \tile_x7y5_n1beg[1] ;
wire \tile_x7y5_n1beg[2] ;
wire \tile_x7y5_n1beg[3] ;
wire \tile_x7y5_n2beg[0] ;
wire \tile_x7y5_n2beg[1] ;
wire \tile_x7y5_n2beg[2] ;
wire \tile_x7y5_n2beg[3] ;
wire \tile_x7y5_n2beg[4] ;
wire \tile_x7y5_n2beg[5] ;
wire \tile_x7y5_n2beg[6] ;
wire \tile_x7y5_n2beg[7] ;
wire \tile_x7y5_n2begb[0] ;
wire \tile_x7y5_n2begb[1] ;
wire \tile_x7y5_n2begb[2] ;
wire \tile_x7y5_n2begb[3] ;
wire \tile_x7y5_n2begb[4] ;
wire \tile_x7y5_n2begb[5] ;
wire \tile_x7y5_n2begb[6] ;
wire \tile_x7y5_n2begb[7] ;
wire \tile_x7y5_n4beg[0] ;
wire \tile_x7y5_n4beg[10] ;
wire \tile_x7y5_n4beg[11] ;
wire \tile_x7y5_n4beg[12] ;
wire \tile_x7y5_n4beg[13] ;
wire \tile_x7y5_n4beg[14] ;
wire \tile_x7y5_n4beg[15] ;
wire \tile_x7y5_n4beg[1] ;
wire \tile_x7y5_n4beg[2] ;
wire \tile_x7y5_n4beg[3] ;
wire \tile_x7y5_n4beg[4] ;
wire \tile_x7y5_n4beg[5] ;
wire \tile_x7y5_n4beg[6] ;
wire \tile_x7y5_n4beg[7] ;
wire \tile_x7y5_n4beg[8] ;
wire \tile_x7y5_n4beg[9] ;
wire \tile_x7y5_nn4beg[0] ;
wire \tile_x7y5_nn4beg[10] ;
wire \tile_x7y5_nn4beg[11] ;
wire \tile_x7y5_nn4beg[12] ;
wire \tile_x7y5_nn4beg[13] ;
wire \tile_x7y5_nn4beg[14] ;
wire \tile_x7y5_nn4beg[15] ;
wire \tile_x7y5_nn4beg[1] ;
wire \tile_x7y5_nn4beg[2] ;
wire \tile_x7y5_nn4beg[3] ;
wire \tile_x7y5_nn4beg[4] ;
wire \tile_x7y5_nn4beg[5] ;
wire \tile_x7y5_nn4beg[6] ;
wire \tile_x7y5_nn4beg[7] ;
wire \tile_x7y5_nn4beg[8] ;
wire \tile_x7y5_nn4beg[9] ;
wire \tile_x7y5_s1beg[0] ;
wire \tile_x7y5_s1beg[1] ;
wire \tile_x7y5_s1beg[2] ;
wire \tile_x7y5_s1beg[3] ;
wire \tile_x7y5_s2beg[0] ;
wire \tile_x7y5_s2beg[1] ;
wire \tile_x7y5_s2beg[2] ;
wire \tile_x7y5_s2beg[3] ;
wire \tile_x7y5_s2beg[4] ;
wire \tile_x7y5_s2beg[5] ;
wire \tile_x7y5_s2beg[6] ;
wire \tile_x7y5_s2beg[7] ;
wire \tile_x7y5_s2begb[0] ;
wire \tile_x7y5_s2begb[1] ;
wire \tile_x7y5_s2begb[2] ;
wire \tile_x7y5_s2begb[3] ;
wire \tile_x7y5_s2begb[4] ;
wire \tile_x7y5_s2begb[5] ;
wire \tile_x7y5_s2begb[6] ;
wire \tile_x7y5_s2begb[7] ;
wire \tile_x7y5_s4beg[0] ;
wire \tile_x7y5_s4beg[10] ;
wire \tile_x7y5_s4beg[11] ;
wire \tile_x7y5_s4beg[12] ;
wire \tile_x7y5_s4beg[13] ;
wire \tile_x7y5_s4beg[14] ;
wire \tile_x7y5_s4beg[15] ;
wire \tile_x7y5_s4beg[1] ;
wire \tile_x7y5_s4beg[2] ;
wire \tile_x7y5_s4beg[3] ;
wire \tile_x7y5_s4beg[4] ;
wire \tile_x7y5_s4beg[5] ;
wire \tile_x7y5_s4beg[6] ;
wire \tile_x7y5_s4beg[7] ;
wire \tile_x7y5_s4beg[8] ;
wire \tile_x7y5_s4beg[9] ;
wire \tile_x7y5_ss4beg[0] ;
wire \tile_x7y5_ss4beg[10] ;
wire \tile_x7y5_ss4beg[11] ;
wire \tile_x7y5_ss4beg[12] ;
wire \tile_x7y5_ss4beg[13] ;
wire \tile_x7y5_ss4beg[14] ;
wire \tile_x7y5_ss4beg[15] ;
wire \tile_x7y5_ss4beg[1] ;
wire \tile_x7y5_ss4beg[2] ;
wire \tile_x7y5_ss4beg[3] ;
wire \tile_x7y5_ss4beg[4] ;
wire \tile_x7y5_ss4beg[5] ;
wire \tile_x7y5_ss4beg[6] ;
wire \tile_x7y5_ss4beg[7] ;
wire \tile_x7y5_ss4beg[8] ;
wire \tile_x7y5_ss4beg[9] ;
wire tile_x7y5_userclko;
wire \tile_x7y5_w1beg[0] ;
wire \tile_x7y5_w1beg[1] ;
wire \tile_x7y5_w1beg[2] ;
wire \tile_x7y5_w1beg[3] ;
wire \tile_x7y5_w2beg[0] ;
wire \tile_x7y5_w2beg[1] ;
wire \tile_x7y5_w2beg[2] ;
wire \tile_x7y5_w2beg[3] ;
wire \tile_x7y5_w2beg[4] ;
wire \tile_x7y5_w2beg[5] ;
wire \tile_x7y5_w2beg[6] ;
wire \tile_x7y5_w2beg[7] ;
wire \tile_x7y5_w2begb[0] ;
wire \tile_x7y5_w2begb[1] ;
wire \tile_x7y5_w2begb[2] ;
wire \tile_x7y5_w2begb[3] ;
wire \tile_x7y5_w2begb[4] ;
wire \tile_x7y5_w2begb[5] ;
wire \tile_x7y5_w2begb[6] ;
wire \tile_x7y5_w2begb[7] ;
wire \tile_x7y5_w6beg[0] ;
wire \tile_x7y5_w6beg[10] ;
wire \tile_x7y5_w6beg[11] ;
wire \tile_x7y5_w6beg[1] ;
wire \tile_x7y5_w6beg[2] ;
wire \tile_x7y5_w6beg[3] ;
wire \tile_x7y5_w6beg[4] ;
wire \tile_x7y5_w6beg[5] ;
wire \tile_x7y5_w6beg[6] ;
wire \tile_x7y5_w6beg[7] ;
wire \tile_x7y5_w6beg[8] ;
wire \tile_x7y5_w6beg[9] ;
wire \tile_x7y5_ww4beg[0] ;
wire \tile_x7y5_ww4beg[10] ;
wire \tile_x7y5_ww4beg[11] ;
wire \tile_x7y5_ww4beg[12] ;
wire \tile_x7y5_ww4beg[13] ;
wire \tile_x7y5_ww4beg[14] ;
wire \tile_x7y5_ww4beg[15] ;
wire \tile_x7y5_ww4beg[1] ;
wire \tile_x7y5_ww4beg[2] ;
wire \tile_x7y5_ww4beg[3] ;
wire \tile_x7y5_ww4beg[4] ;
wire \tile_x7y5_ww4beg[5] ;
wire \tile_x7y5_ww4beg[6] ;
wire \tile_x7y5_ww4beg[7] ;
wire \tile_x7y5_ww4beg[8] ;
wire \tile_x7y5_ww4beg[9] ;
wire tile_x7y6_co;
wire \tile_x7y6_e1beg[0] ;
wire \tile_x7y6_e1beg[1] ;
wire \tile_x7y6_e1beg[2] ;
wire \tile_x7y6_e1beg[3] ;
wire \tile_x7y6_e2beg[0] ;
wire \tile_x7y6_e2beg[1] ;
wire \tile_x7y6_e2beg[2] ;
wire \tile_x7y6_e2beg[3] ;
wire \tile_x7y6_e2beg[4] ;
wire \tile_x7y6_e2beg[5] ;
wire \tile_x7y6_e2beg[6] ;
wire \tile_x7y6_e2beg[7] ;
wire \tile_x7y6_e2begb[0] ;
wire \tile_x7y6_e2begb[1] ;
wire \tile_x7y6_e2begb[2] ;
wire \tile_x7y6_e2begb[3] ;
wire \tile_x7y6_e2begb[4] ;
wire \tile_x7y6_e2begb[5] ;
wire \tile_x7y6_e2begb[6] ;
wire \tile_x7y6_e2begb[7] ;
wire \tile_x7y6_e6beg[0] ;
wire \tile_x7y6_e6beg[10] ;
wire \tile_x7y6_e6beg[11] ;
wire \tile_x7y6_e6beg[1] ;
wire \tile_x7y6_e6beg[2] ;
wire \tile_x7y6_e6beg[3] ;
wire \tile_x7y6_e6beg[4] ;
wire \tile_x7y6_e6beg[5] ;
wire \tile_x7y6_e6beg[6] ;
wire \tile_x7y6_e6beg[7] ;
wire \tile_x7y6_e6beg[8] ;
wire \tile_x7y6_e6beg[9] ;
wire \tile_x7y6_ee4beg[0] ;
wire \tile_x7y6_ee4beg[10] ;
wire \tile_x7y6_ee4beg[11] ;
wire \tile_x7y6_ee4beg[12] ;
wire \tile_x7y6_ee4beg[13] ;
wire \tile_x7y6_ee4beg[14] ;
wire \tile_x7y6_ee4beg[15] ;
wire \tile_x7y6_ee4beg[1] ;
wire \tile_x7y6_ee4beg[2] ;
wire \tile_x7y6_ee4beg[3] ;
wire \tile_x7y6_ee4beg[4] ;
wire \tile_x7y6_ee4beg[5] ;
wire \tile_x7y6_ee4beg[6] ;
wire \tile_x7y6_ee4beg[7] ;
wire \tile_x7y6_ee4beg[8] ;
wire \tile_x7y6_ee4beg[9] ;
wire \tile_x7y6_framedata_o[0] ;
wire \tile_x7y6_framedata_o[10] ;
wire \tile_x7y6_framedata_o[11] ;
wire \tile_x7y6_framedata_o[12] ;
wire \tile_x7y6_framedata_o[13] ;
wire \tile_x7y6_framedata_o[14] ;
wire \tile_x7y6_framedata_o[15] ;
wire \tile_x7y6_framedata_o[16] ;
wire \tile_x7y6_framedata_o[17] ;
wire \tile_x7y6_framedata_o[18] ;
wire \tile_x7y6_framedata_o[19] ;
wire \tile_x7y6_framedata_o[1] ;
wire \tile_x7y6_framedata_o[20] ;
wire \tile_x7y6_framedata_o[21] ;
wire \tile_x7y6_framedata_o[22] ;
wire \tile_x7y6_framedata_o[23] ;
wire \tile_x7y6_framedata_o[24] ;
wire \tile_x7y6_framedata_o[25] ;
wire \tile_x7y6_framedata_o[26] ;
wire \tile_x7y6_framedata_o[27] ;
wire \tile_x7y6_framedata_o[28] ;
wire \tile_x7y6_framedata_o[29] ;
wire \tile_x7y6_framedata_o[2] ;
wire \tile_x7y6_framedata_o[30] ;
wire \tile_x7y6_framedata_o[31] ;
wire \tile_x7y6_framedata_o[3] ;
wire \tile_x7y6_framedata_o[4] ;
wire \tile_x7y6_framedata_o[5] ;
wire \tile_x7y6_framedata_o[6] ;
wire \tile_x7y6_framedata_o[7] ;
wire \tile_x7y6_framedata_o[8] ;
wire \tile_x7y6_framedata_o[9] ;
wire \tile_x7y6_framestrobe_o[0] ;
wire \tile_x7y6_framestrobe_o[10] ;
wire \tile_x7y6_framestrobe_o[11] ;
wire \tile_x7y6_framestrobe_o[12] ;
wire \tile_x7y6_framestrobe_o[13] ;
wire \tile_x7y6_framestrobe_o[14] ;
wire \tile_x7y6_framestrobe_o[15] ;
wire \tile_x7y6_framestrobe_o[16] ;
wire \tile_x7y6_framestrobe_o[17] ;
wire \tile_x7y6_framestrobe_o[18] ;
wire \tile_x7y6_framestrobe_o[19] ;
wire \tile_x7y6_framestrobe_o[1] ;
wire \tile_x7y6_framestrobe_o[2] ;
wire \tile_x7y6_framestrobe_o[3] ;
wire \tile_x7y6_framestrobe_o[4] ;
wire \tile_x7y6_framestrobe_o[5] ;
wire \tile_x7y6_framestrobe_o[6] ;
wire \tile_x7y6_framestrobe_o[7] ;
wire \tile_x7y6_framestrobe_o[8] ;
wire \tile_x7y6_framestrobe_o[9] ;
wire \tile_x7y6_n1beg[0] ;
wire \tile_x7y6_n1beg[1] ;
wire \tile_x7y6_n1beg[2] ;
wire \tile_x7y6_n1beg[3] ;
wire \tile_x7y6_n2beg[0] ;
wire \tile_x7y6_n2beg[1] ;
wire \tile_x7y6_n2beg[2] ;
wire \tile_x7y6_n2beg[3] ;
wire \tile_x7y6_n2beg[4] ;
wire \tile_x7y6_n2beg[5] ;
wire \tile_x7y6_n2beg[6] ;
wire \tile_x7y6_n2beg[7] ;
wire \tile_x7y6_n2begb[0] ;
wire \tile_x7y6_n2begb[1] ;
wire \tile_x7y6_n2begb[2] ;
wire \tile_x7y6_n2begb[3] ;
wire \tile_x7y6_n2begb[4] ;
wire \tile_x7y6_n2begb[5] ;
wire \tile_x7y6_n2begb[6] ;
wire \tile_x7y6_n2begb[7] ;
wire \tile_x7y6_n4beg[0] ;
wire \tile_x7y6_n4beg[10] ;
wire \tile_x7y6_n4beg[11] ;
wire \tile_x7y6_n4beg[12] ;
wire \tile_x7y6_n4beg[13] ;
wire \tile_x7y6_n4beg[14] ;
wire \tile_x7y6_n4beg[15] ;
wire \tile_x7y6_n4beg[1] ;
wire \tile_x7y6_n4beg[2] ;
wire \tile_x7y6_n4beg[3] ;
wire \tile_x7y6_n4beg[4] ;
wire \tile_x7y6_n4beg[5] ;
wire \tile_x7y6_n4beg[6] ;
wire \tile_x7y6_n4beg[7] ;
wire \tile_x7y6_n4beg[8] ;
wire \tile_x7y6_n4beg[9] ;
wire \tile_x7y6_nn4beg[0] ;
wire \tile_x7y6_nn4beg[10] ;
wire \tile_x7y6_nn4beg[11] ;
wire \tile_x7y6_nn4beg[12] ;
wire \tile_x7y6_nn4beg[13] ;
wire \tile_x7y6_nn4beg[14] ;
wire \tile_x7y6_nn4beg[15] ;
wire \tile_x7y6_nn4beg[1] ;
wire \tile_x7y6_nn4beg[2] ;
wire \tile_x7y6_nn4beg[3] ;
wire \tile_x7y6_nn4beg[4] ;
wire \tile_x7y6_nn4beg[5] ;
wire \tile_x7y6_nn4beg[6] ;
wire \tile_x7y6_nn4beg[7] ;
wire \tile_x7y6_nn4beg[8] ;
wire \tile_x7y6_nn4beg[9] ;
wire \tile_x7y6_s1beg[0] ;
wire \tile_x7y6_s1beg[1] ;
wire \tile_x7y6_s1beg[2] ;
wire \tile_x7y6_s1beg[3] ;
wire \tile_x7y6_s2beg[0] ;
wire \tile_x7y6_s2beg[1] ;
wire \tile_x7y6_s2beg[2] ;
wire \tile_x7y6_s2beg[3] ;
wire \tile_x7y6_s2beg[4] ;
wire \tile_x7y6_s2beg[5] ;
wire \tile_x7y6_s2beg[6] ;
wire \tile_x7y6_s2beg[7] ;
wire \tile_x7y6_s2begb[0] ;
wire \tile_x7y6_s2begb[1] ;
wire \tile_x7y6_s2begb[2] ;
wire \tile_x7y6_s2begb[3] ;
wire \tile_x7y6_s2begb[4] ;
wire \tile_x7y6_s2begb[5] ;
wire \tile_x7y6_s2begb[6] ;
wire \tile_x7y6_s2begb[7] ;
wire \tile_x7y6_s4beg[0] ;
wire \tile_x7y6_s4beg[10] ;
wire \tile_x7y6_s4beg[11] ;
wire \tile_x7y6_s4beg[12] ;
wire \tile_x7y6_s4beg[13] ;
wire \tile_x7y6_s4beg[14] ;
wire \tile_x7y6_s4beg[15] ;
wire \tile_x7y6_s4beg[1] ;
wire \tile_x7y6_s4beg[2] ;
wire \tile_x7y6_s4beg[3] ;
wire \tile_x7y6_s4beg[4] ;
wire \tile_x7y6_s4beg[5] ;
wire \tile_x7y6_s4beg[6] ;
wire \tile_x7y6_s4beg[7] ;
wire \tile_x7y6_s4beg[8] ;
wire \tile_x7y6_s4beg[9] ;
wire \tile_x7y6_ss4beg[0] ;
wire \tile_x7y6_ss4beg[10] ;
wire \tile_x7y6_ss4beg[11] ;
wire \tile_x7y6_ss4beg[12] ;
wire \tile_x7y6_ss4beg[13] ;
wire \tile_x7y6_ss4beg[14] ;
wire \tile_x7y6_ss4beg[15] ;
wire \tile_x7y6_ss4beg[1] ;
wire \tile_x7y6_ss4beg[2] ;
wire \tile_x7y6_ss4beg[3] ;
wire \tile_x7y6_ss4beg[4] ;
wire \tile_x7y6_ss4beg[5] ;
wire \tile_x7y6_ss4beg[6] ;
wire \tile_x7y6_ss4beg[7] ;
wire \tile_x7y6_ss4beg[8] ;
wire \tile_x7y6_ss4beg[9] ;
wire tile_x7y6_userclko;
wire \tile_x7y6_w1beg[0] ;
wire \tile_x7y6_w1beg[1] ;
wire \tile_x7y6_w1beg[2] ;
wire \tile_x7y6_w1beg[3] ;
wire \tile_x7y6_w2beg[0] ;
wire \tile_x7y6_w2beg[1] ;
wire \tile_x7y6_w2beg[2] ;
wire \tile_x7y6_w2beg[3] ;
wire \tile_x7y6_w2beg[4] ;
wire \tile_x7y6_w2beg[5] ;
wire \tile_x7y6_w2beg[6] ;
wire \tile_x7y6_w2beg[7] ;
wire \tile_x7y6_w2begb[0] ;
wire \tile_x7y6_w2begb[1] ;
wire \tile_x7y6_w2begb[2] ;
wire \tile_x7y6_w2begb[3] ;
wire \tile_x7y6_w2begb[4] ;
wire \tile_x7y6_w2begb[5] ;
wire \tile_x7y6_w2begb[6] ;
wire \tile_x7y6_w2begb[7] ;
wire \tile_x7y6_w6beg[0] ;
wire \tile_x7y6_w6beg[10] ;
wire \tile_x7y6_w6beg[11] ;
wire \tile_x7y6_w6beg[1] ;
wire \tile_x7y6_w6beg[2] ;
wire \tile_x7y6_w6beg[3] ;
wire \tile_x7y6_w6beg[4] ;
wire \tile_x7y6_w6beg[5] ;
wire \tile_x7y6_w6beg[6] ;
wire \tile_x7y6_w6beg[7] ;
wire \tile_x7y6_w6beg[8] ;
wire \tile_x7y6_w6beg[9] ;
wire \tile_x7y6_ww4beg[0] ;
wire \tile_x7y6_ww4beg[10] ;
wire \tile_x7y6_ww4beg[11] ;
wire \tile_x7y6_ww4beg[12] ;
wire \tile_x7y6_ww4beg[13] ;
wire \tile_x7y6_ww4beg[14] ;
wire \tile_x7y6_ww4beg[15] ;
wire \tile_x7y6_ww4beg[1] ;
wire \tile_x7y6_ww4beg[2] ;
wire \tile_x7y6_ww4beg[3] ;
wire \tile_x7y6_ww4beg[4] ;
wire \tile_x7y6_ww4beg[5] ;
wire \tile_x7y6_ww4beg[6] ;
wire \tile_x7y6_ww4beg[7] ;
wire \tile_x7y6_ww4beg[8] ;
wire \tile_x7y6_ww4beg[9] ;
wire tile_x7y7_co;
wire \tile_x7y7_e1beg[0] ;
wire \tile_x7y7_e1beg[1] ;
wire \tile_x7y7_e1beg[2] ;
wire \tile_x7y7_e1beg[3] ;
wire \tile_x7y7_e2beg[0] ;
wire \tile_x7y7_e2beg[1] ;
wire \tile_x7y7_e2beg[2] ;
wire \tile_x7y7_e2beg[3] ;
wire \tile_x7y7_e2beg[4] ;
wire \tile_x7y7_e2beg[5] ;
wire \tile_x7y7_e2beg[6] ;
wire \tile_x7y7_e2beg[7] ;
wire \tile_x7y7_e2begb[0] ;
wire \tile_x7y7_e2begb[1] ;
wire \tile_x7y7_e2begb[2] ;
wire \tile_x7y7_e2begb[3] ;
wire \tile_x7y7_e2begb[4] ;
wire \tile_x7y7_e2begb[5] ;
wire \tile_x7y7_e2begb[6] ;
wire \tile_x7y7_e2begb[7] ;
wire \tile_x7y7_e6beg[0] ;
wire \tile_x7y7_e6beg[10] ;
wire \tile_x7y7_e6beg[11] ;
wire \tile_x7y7_e6beg[1] ;
wire \tile_x7y7_e6beg[2] ;
wire \tile_x7y7_e6beg[3] ;
wire \tile_x7y7_e6beg[4] ;
wire \tile_x7y7_e6beg[5] ;
wire \tile_x7y7_e6beg[6] ;
wire \tile_x7y7_e6beg[7] ;
wire \tile_x7y7_e6beg[8] ;
wire \tile_x7y7_e6beg[9] ;
wire \tile_x7y7_ee4beg[0] ;
wire \tile_x7y7_ee4beg[10] ;
wire \tile_x7y7_ee4beg[11] ;
wire \tile_x7y7_ee4beg[12] ;
wire \tile_x7y7_ee4beg[13] ;
wire \tile_x7y7_ee4beg[14] ;
wire \tile_x7y7_ee4beg[15] ;
wire \tile_x7y7_ee4beg[1] ;
wire \tile_x7y7_ee4beg[2] ;
wire \tile_x7y7_ee4beg[3] ;
wire \tile_x7y7_ee4beg[4] ;
wire \tile_x7y7_ee4beg[5] ;
wire \tile_x7y7_ee4beg[6] ;
wire \tile_x7y7_ee4beg[7] ;
wire \tile_x7y7_ee4beg[8] ;
wire \tile_x7y7_ee4beg[9] ;
wire \tile_x7y7_framedata_o[0] ;
wire \tile_x7y7_framedata_o[10] ;
wire \tile_x7y7_framedata_o[11] ;
wire \tile_x7y7_framedata_o[12] ;
wire \tile_x7y7_framedata_o[13] ;
wire \tile_x7y7_framedata_o[14] ;
wire \tile_x7y7_framedata_o[15] ;
wire \tile_x7y7_framedata_o[16] ;
wire \tile_x7y7_framedata_o[17] ;
wire \tile_x7y7_framedata_o[18] ;
wire \tile_x7y7_framedata_o[19] ;
wire \tile_x7y7_framedata_o[1] ;
wire \tile_x7y7_framedata_o[20] ;
wire \tile_x7y7_framedata_o[21] ;
wire \tile_x7y7_framedata_o[22] ;
wire \tile_x7y7_framedata_o[23] ;
wire \tile_x7y7_framedata_o[24] ;
wire \tile_x7y7_framedata_o[25] ;
wire \tile_x7y7_framedata_o[26] ;
wire \tile_x7y7_framedata_o[27] ;
wire \tile_x7y7_framedata_o[28] ;
wire \tile_x7y7_framedata_o[29] ;
wire \tile_x7y7_framedata_o[2] ;
wire \tile_x7y7_framedata_o[30] ;
wire \tile_x7y7_framedata_o[31] ;
wire \tile_x7y7_framedata_o[3] ;
wire \tile_x7y7_framedata_o[4] ;
wire \tile_x7y7_framedata_o[5] ;
wire \tile_x7y7_framedata_o[6] ;
wire \tile_x7y7_framedata_o[7] ;
wire \tile_x7y7_framedata_o[8] ;
wire \tile_x7y7_framedata_o[9] ;
wire \tile_x7y7_framestrobe_o[0] ;
wire \tile_x7y7_framestrobe_o[10] ;
wire \tile_x7y7_framestrobe_o[11] ;
wire \tile_x7y7_framestrobe_o[12] ;
wire \tile_x7y7_framestrobe_o[13] ;
wire \tile_x7y7_framestrobe_o[14] ;
wire \tile_x7y7_framestrobe_o[15] ;
wire \tile_x7y7_framestrobe_o[16] ;
wire \tile_x7y7_framestrobe_o[17] ;
wire \tile_x7y7_framestrobe_o[18] ;
wire \tile_x7y7_framestrobe_o[19] ;
wire \tile_x7y7_framestrobe_o[1] ;
wire \tile_x7y7_framestrobe_o[2] ;
wire \tile_x7y7_framestrobe_o[3] ;
wire \tile_x7y7_framestrobe_o[4] ;
wire \tile_x7y7_framestrobe_o[5] ;
wire \tile_x7y7_framestrobe_o[6] ;
wire \tile_x7y7_framestrobe_o[7] ;
wire \tile_x7y7_framestrobe_o[8] ;
wire \tile_x7y7_framestrobe_o[9] ;
wire \tile_x7y7_n1beg[0] ;
wire \tile_x7y7_n1beg[1] ;
wire \tile_x7y7_n1beg[2] ;
wire \tile_x7y7_n1beg[3] ;
wire \tile_x7y7_n2beg[0] ;
wire \tile_x7y7_n2beg[1] ;
wire \tile_x7y7_n2beg[2] ;
wire \tile_x7y7_n2beg[3] ;
wire \tile_x7y7_n2beg[4] ;
wire \tile_x7y7_n2beg[5] ;
wire \tile_x7y7_n2beg[6] ;
wire \tile_x7y7_n2beg[7] ;
wire \tile_x7y7_n2begb[0] ;
wire \tile_x7y7_n2begb[1] ;
wire \tile_x7y7_n2begb[2] ;
wire \tile_x7y7_n2begb[3] ;
wire \tile_x7y7_n2begb[4] ;
wire \tile_x7y7_n2begb[5] ;
wire \tile_x7y7_n2begb[6] ;
wire \tile_x7y7_n2begb[7] ;
wire \tile_x7y7_n4beg[0] ;
wire \tile_x7y7_n4beg[10] ;
wire \tile_x7y7_n4beg[11] ;
wire \tile_x7y7_n4beg[12] ;
wire \tile_x7y7_n4beg[13] ;
wire \tile_x7y7_n4beg[14] ;
wire \tile_x7y7_n4beg[15] ;
wire \tile_x7y7_n4beg[1] ;
wire \tile_x7y7_n4beg[2] ;
wire \tile_x7y7_n4beg[3] ;
wire \tile_x7y7_n4beg[4] ;
wire \tile_x7y7_n4beg[5] ;
wire \tile_x7y7_n4beg[6] ;
wire \tile_x7y7_n4beg[7] ;
wire \tile_x7y7_n4beg[8] ;
wire \tile_x7y7_n4beg[9] ;
wire \tile_x7y7_nn4beg[0] ;
wire \tile_x7y7_nn4beg[10] ;
wire \tile_x7y7_nn4beg[11] ;
wire \tile_x7y7_nn4beg[12] ;
wire \tile_x7y7_nn4beg[13] ;
wire \tile_x7y7_nn4beg[14] ;
wire \tile_x7y7_nn4beg[15] ;
wire \tile_x7y7_nn4beg[1] ;
wire \tile_x7y7_nn4beg[2] ;
wire \tile_x7y7_nn4beg[3] ;
wire \tile_x7y7_nn4beg[4] ;
wire \tile_x7y7_nn4beg[5] ;
wire \tile_x7y7_nn4beg[6] ;
wire \tile_x7y7_nn4beg[7] ;
wire \tile_x7y7_nn4beg[8] ;
wire \tile_x7y7_nn4beg[9] ;
wire \tile_x7y7_s1beg[0] ;
wire \tile_x7y7_s1beg[1] ;
wire \tile_x7y7_s1beg[2] ;
wire \tile_x7y7_s1beg[3] ;
wire \tile_x7y7_s2beg[0] ;
wire \tile_x7y7_s2beg[1] ;
wire \tile_x7y7_s2beg[2] ;
wire \tile_x7y7_s2beg[3] ;
wire \tile_x7y7_s2beg[4] ;
wire \tile_x7y7_s2beg[5] ;
wire \tile_x7y7_s2beg[6] ;
wire \tile_x7y7_s2beg[7] ;
wire \tile_x7y7_s2begb[0] ;
wire \tile_x7y7_s2begb[1] ;
wire \tile_x7y7_s2begb[2] ;
wire \tile_x7y7_s2begb[3] ;
wire \tile_x7y7_s2begb[4] ;
wire \tile_x7y7_s2begb[5] ;
wire \tile_x7y7_s2begb[6] ;
wire \tile_x7y7_s2begb[7] ;
wire \tile_x7y7_s4beg[0] ;
wire \tile_x7y7_s4beg[10] ;
wire \tile_x7y7_s4beg[11] ;
wire \tile_x7y7_s4beg[12] ;
wire \tile_x7y7_s4beg[13] ;
wire \tile_x7y7_s4beg[14] ;
wire \tile_x7y7_s4beg[15] ;
wire \tile_x7y7_s4beg[1] ;
wire \tile_x7y7_s4beg[2] ;
wire \tile_x7y7_s4beg[3] ;
wire \tile_x7y7_s4beg[4] ;
wire \tile_x7y7_s4beg[5] ;
wire \tile_x7y7_s4beg[6] ;
wire \tile_x7y7_s4beg[7] ;
wire \tile_x7y7_s4beg[8] ;
wire \tile_x7y7_s4beg[9] ;
wire \tile_x7y7_ss4beg[0] ;
wire \tile_x7y7_ss4beg[10] ;
wire \tile_x7y7_ss4beg[11] ;
wire \tile_x7y7_ss4beg[12] ;
wire \tile_x7y7_ss4beg[13] ;
wire \tile_x7y7_ss4beg[14] ;
wire \tile_x7y7_ss4beg[15] ;
wire \tile_x7y7_ss4beg[1] ;
wire \tile_x7y7_ss4beg[2] ;
wire \tile_x7y7_ss4beg[3] ;
wire \tile_x7y7_ss4beg[4] ;
wire \tile_x7y7_ss4beg[5] ;
wire \tile_x7y7_ss4beg[6] ;
wire \tile_x7y7_ss4beg[7] ;
wire \tile_x7y7_ss4beg[8] ;
wire \tile_x7y7_ss4beg[9] ;
wire tile_x7y7_userclko;
wire \tile_x7y7_w1beg[0] ;
wire \tile_x7y7_w1beg[1] ;
wire \tile_x7y7_w1beg[2] ;
wire \tile_x7y7_w1beg[3] ;
wire \tile_x7y7_w2beg[0] ;
wire \tile_x7y7_w2beg[1] ;
wire \tile_x7y7_w2beg[2] ;
wire \tile_x7y7_w2beg[3] ;
wire \tile_x7y7_w2beg[4] ;
wire \tile_x7y7_w2beg[5] ;
wire \tile_x7y7_w2beg[6] ;
wire \tile_x7y7_w2beg[7] ;
wire \tile_x7y7_w2begb[0] ;
wire \tile_x7y7_w2begb[1] ;
wire \tile_x7y7_w2begb[2] ;
wire \tile_x7y7_w2begb[3] ;
wire \tile_x7y7_w2begb[4] ;
wire \tile_x7y7_w2begb[5] ;
wire \tile_x7y7_w2begb[6] ;
wire \tile_x7y7_w2begb[7] ;
wire \tile_x7y7_w6beg[0] ;
wire \tile_x7y7_w6beg[10] ;
wire \tile_x7y7_w6beg[11] ;
wire \tile_x7y7_w6beg[1] ;
wire \tile_x7y7_w6beg[2] ;
wire \tile_x7y7_w6beg[3] ;
wire \tile_x7y7_w6beg[4] ;
wire \tile_x7y7_w6beg[5] ;
wire \tile_x7y7_w6beg[6] ;
wire \tile_x7y7_w6beg[7] ;
wire \tile_x7y7_w6beg[8] ;
wire \tile_x7y7_w6beg[9] ;
wire \tile_x7y7_ww4beg[0] ;
wire \tile_x7y7_ww4beg[10] ;
wire \tile_x7y7_ww4beg[11] ;
wire \tile_x7y7_ww4beg[12] ;
wire \tile_x7y7_ww4beg[13] ;
wire \tile_x7y7_ww4beg[14] ;
wire \tile_x7y7_ww4beg[15] ;
wire \tile_x7y7_ww4beg[1] ;
wire \tile_x7y7_ww4beg[2] ;
wire \tile_x7y7_ww4beg[3] ;
wire \tile_x7y7_ww4beg[4] ;
wire \tile_x7y7_ww4beg[5] ;
wire \tile_x7y7_ww4beg[6] ;
wire \tile_x7y7_ww4beg[7] ;
wire \tile_x7y7_ww4beg[8] ;
wire \tile_x7y7_ww4beg[9] ;
wire tile_x7y8_co;
wire \tile_x7y8_e1beg[0] ;
wire \tile_x7y8_e1beg[1] ;
wire \tile_x7y8_e1beg[2] ;
wire \tile_x7y8_e1beg[3] ;
wire \tile_x7y8_e2beg[0] ;
wire \tile_x7y8_e2beg[1] ;
wire \tile_x7y8_e2beg[2] ;
wire \tile_x7y8_e2beg[3] ;
wire \tile_x7y8_e2beg[4] ;
wire \tile_x7y8_e2beg[5] ;
wire \tile_x7y8_e2beg[6] ;
wire \tile_x7y8_e2beg[7] ;
wire \tile_x7y8_e2begb[0] ;
wire \tile_x7y8_e2begb[1] ;
wire \tile_x7y8_e2begb[2] ;
wire \tile_x7y8_e2begb[3] ;
wire \tile_x7y8_e2begb[4] ;
wire \tile_x7y8_e2begb[5] ;
wire \tile_x7y8_e2begb[6] ;
wire \tile_x7y8_e2begb[7] ;
wire \tile_x7y8_e6beg[0] ;
wire \tile_x7y8_e6beg[10] ;
wire \tile_x7y8_e6beg[11] ;
wire \tile_x7y8_e6beg[1] ;
wire \tile_x7y8_e6beg[2] ;
wire \tile_x7y8_e6beg[3] ;
wire \tile_x7y8_e6beg[4] ;
wire \tile_x7y8_e6beg[5] ;
wire \tile_x7y8_e6beg[6] ;
wire \tile_x7y8_e6beg[7] ;
wire \tile_x7y8_e6beg[8] ;
wire \tile_x7y8_e6beg[9] ;
wire \tile_x7y8_ee4beg[0] ;
wire \tile_x7y8_ee4beg[10] ;
wire \tile_x7y8_ee4beg[11] ;
wire \tile_x7y8_ee4beg[12] ;
wire \tile_x7y8_ee4beg[13] ;
wire \tile_x7y8_ee4beg[14] ;
wire \tile_x7y8_ee4beg[15] ;
wire \tile_x7y8_ee4beg[1] ;
wire \tile_x7y8_ee4beg[2] ;
wire \tile_x7y8_ee4beg[3] ;
wire \tile_x7y8_ee4beg[4] ;
wire \tile_x7y8_ee4beg[5] ;
wire \tile_x7y8_ee4beg[6] ;
wire \tile_x7y8_ee4beg[7] ;
wire \tile_x7y8_ee4beg[8] ;
wire \tile_x7y8_ee4beg[9] ;
wire \tile_x7y8_framedata_o[0] ;
wire \tile_x7y8_framedata_o[10] ;
wire \tile_x7y8_framedata_o[11] ;
wire \tile_x7y8_framedata_o[12] ;
wire \tile_x7y8_framedata_o[13] ;
wire \tile_x7y8_framedata_o[14] ;
wire \tile_x7y8_framedata_o[15] ;
wire \tile_x7y8_framedata_o[16] ;
wire \tile_x7y8_framedata_o[17] ;
wire \tile_x7y8_framedata_o[18] ;
wire \tile_x7y8_framedata_o[19] ;
wire \tile_x7y8_framedata_o[1] ;
wire \tile_x7y8_framedata_o[20] ;
wire \tile_x7y8_framedata_o[21] ;
wire \tile_x7y8_framedata_o[22] ;
wire \tile_x7y8_framedata_o[23] ;
wire \tile_x7y8_framedata_o[24] ;
wire \tile_x7y8_framedata_o[25] ;
wire \tile_x7y8_framedata_o[26] ;
wire \tile_x7y8_framedata_o[27] ;
wire \tile_x7y8_framedata_o[28] ;
wire \tile_x7y8_framedata_o[29] ;
wire \tile_x7y8_framedata_o[2] ;
wire \tile_x7y8_framedata_o[30] ;
wire \tile_x7y8_framedata_o[31] ;
wire \tile_x7y8_framedata_o[3] ;
wire \tile_x7y8_framedata_o[4] ;
wire \tile_x7y8_framedata_o[5] ;
wire \tile_x7y8_framedata_o[6] ;
wire \tile_x7y8_framedata_o[7] ;
wire \tile_x7y8_framedata_o[8] ;
wire \tile_x7y8_framedata_o[9] ;
wire \tile_x7y8_framestrobe_o[0] ;
wire \tile_x7y8_framestrobe_o[10] ;
wire \tile_x7y8_framestrobe_o[11] ;
wire \tile_x7y8_framestrobe_o[12] ;
wire \tile_x7y8_framestrobe_o[13] ;
wire \tile_x7y8_framestrobe_o[14] ;
wire \tile_x7y8_framestrobe_o[15] ;
wire \tile_x7y8_framestrobe_o[16] ;
wire \tile_x7y8_framestrobe_o[17] ;
wire \tile_x7y8_framestrobe_o[18] ;
wire \tile_x7y8_framestrobe_o[19] ;
wire \tile_x7y8_framestrobe_o[1] ;
wire \tile_x7y8_framestrobe_o[2] ;
wire \tile_x7y8_framestrobe_o[3] ;
wire \tile_x7y8_framestrobe_o[4] ;
wire \tile_x7y8_framestrobe_o[5] ;
wire \tile_x7y8_framestrobe_o[6] ;
wire \tile_x7y8_framestrobe_o[7] ;
wire \tile_x7y8_framestrobe_o[8] ;
wire \tile_x7y8_framestrobe_o[9] ;
wire \tile_x7y8_n1beg[0] ;
wire \tile_x7y8_n1beg[1] ;
wire \tile_x7y8_n1beg[2] ;
wire \tile_x7y8_n1beg[3] ;
wire \tile_x7y8_n2beg[0] ;
wire \tile_x7y8_n2beg[1] ;
wire \tile_x7y8_n2beg[2] ;
wire \tile_x7y8_n2beg[3] ;
wire \tile_x7y8_n2beg[4] ;
wire \tile_x7y8_n2beg[5] ;
wire \tile_x7y8_n2beg[6] ;
wire \tile_x7y8_n2beg[7] ;
wire \tile_x7y8_n2begb[0] ;
wire \tile_x7y8_n2begb[1] ;
wire \tile_x7y8_n2begb[2] ;
wire \tile_x7y8_n2begb[3] ;
wire \tile_x7y8_n2begb[4] ;
wire \tile_x7y8_n2begb[5] ;
wire \tile_x7y8_n2begb[6] ;
wire \tile_x7y8_n2begb[7] ;
wire \tile_x7y8_n4beg[0] ;
wire \tile_x7y8_n4beg[10] ;
wire \tile_x7y8_n4beg[11] ;
wire \tile_x7y8_n4beg[12] ;
wire \tile_x7y8_n4beg[13] ;
wire \tile_x7y8_n4beg[14] ;
wire \tile_x7y8_n4beg[15] ;
wire \tile_x7y8_n4beg[1] ;
wire \tile_x7y8_n4beg[2] ;
wire \tile_x7y8_n4beg[3] ;
wire \tile_x7y8_n4beg[4] ;
wire \tile_x7y8_n4beg[5] ;
wire \tile_x7y8_n4beg[6] ;
wire \tile_x7y8_n4beg[7] ;
wire \tile_x7y8_n4beg[8] ;
wire \tile_x7y8_n4beg[9] ;
wire \tile_x7y8_nn4beg[0] ;
wire \tile_x7y8_nn4beg[10] ;
wire \tile_x7y8_nn4beg[11] ;
wire \tile_x7y8_nn4beg[12] ;
wire \tile_x7y8_nn4beg[13] ;
wire \tile_x7y8_nn4beg[14] ;
wire \tile_x7y8_nn4beg[15] ;
wire \tile_x7y8_nn4beg[1] ;
wire \tile_x7y8_nn4beg[2] ;
wire \tile_x7y8_nn4beg[3] ;
wire \tile_x7y8_nn4beg[4] ;
wire \tile_x7y8_nn4beg[5] ;
wire \tile_x7y8_nn4beg[6] ;
wire \tile_x7y8_nn4beg[7] ;
wire \tile_x7y8_nn4beg[8] ;
wire \tile_x7y8_nn4beg[9] ;
wire \tile_x7y8_s1beg[0] ;
wire \tile_x7y8_s1beg[1] ;
wire \tile_x7y8_s1beg[2] ;
wire \tile_x7y8_s1beg[3] ;
wire \tile_x7y8_s2beg[0] ;
wire \tile_x7y8_s2beg[1] ;
wire \tile_x7y8_s2beg[2] ;
wire \tile_x7y8_s2beg[3] ;
wire \tile_x7y8_s2beg[4] ;
wire \tile_x7y8_s2beg[5] ;
wire \tile_x7y8_s2beg[6] ;
wire \tile_x7y8_s2beg[7] ;
wire \tile_x7y8_s2begb[0] ;
wire \tile_x7y8_s2begb[1] ;
wire \tile_x7y8_s2begb[2] ;
wire \tile_x7y8_s2begb[3] ;
wire \tile_x7y8_s2begb[4] ;
wire \tile_x7y8_s2begb[5] ;
wire \tile_x7y8_s2begb[6] ;
wire \tile_x7y8_s2begb[7] ;
wire \tile_x7y8_s4beg[0] ;
wire \tile_x7y8_s4beg[10] ;
wire \tile_x7y8_s4beg[11] ;
wire \tile_x7y8_s4beg[12] ;
wire \tile_x7y8_s4beg[13] ;
wire \tile_x7y8_s4beg[14] ;
wire \tile_x7y8_s4beg[15] ;
wire \tile_x7y8_s4beg[1] ;
wire \tile_x7y8_s4beg[2] ;
wire \tile_x7y8_s4beg[3] ;
wire \tile_x7y8_s4beg[4] ;
wire \tile_x7y8_s4beg[5] ;
wire \tile_x7y8_s4beg[6] ;
wire \tile_x7y8_s4beg[7] ;
wire \tile_x7y8_s4beg[8] ;
wire \tile_x7y8_s4beg[9] ;
wire \tile_x7y8_ss4beg[0] ;
wire \tile_x7y8_ss4beg[10] ;
wire \tile_x7y8_ss4beg[11] ;
wire \tile_x7y8_ss4beg[12] ;
wire \tile_x7y8_ss4beg[13] ;
wire \tile_x7y8_ss4beg[14] ;
wire \tile_x7y8_ss4beg[15] ;
wire \tile_x7y8_ss4beg[1] ;
wire \tile_x7y8_ss4beg[2] ;
wire \tile_x7y8_ss4beg[3] ;
wire \tile_x7y8_ss4beg[4] ;
wire \tile_x7y8_ss4beg[5] ;
wire \tile_x7y8_ss4beg[6] ;
wire \tile_x7y8_ss4beg[7] ;
wire \tile_x7y8_ss4beg[8] ;
wire \tile_x7y8_ss4beg[9] ;
wire tile_x7y8_userclko;
wire \tile_x7y8_w1beg[0] ;
wire \tile_x7y8_w1beg[1] ;
wire \tile_x7y8_w1beg[2] ;
wire \tile_x7y8_w1beg[3] ;
wire \tile_x7y8_w2beg[0] ;
wire \tile_x7y8_w2beg[1] ;
wire \tile_x7y8_w2beg[2] ;
wire \tile_x7y8_w2beg[3] ;
wire \tile_x7y8_w2beg[4] ;
wire \tile_x7y8_w2beg[5] ;
wire \tile_x7y8_w2beg[6] ;
wire \tile_x7y8_w2beg[7] ;
wire \tile_x7y8_w2begb[0] ;
wire \tile_x7y8_w2begb[1] ;
wire \tile_x7y8_w2begb[2] ;
wire \tile_x7y8_w2begb[3] ;
wire \tile_x7y8_w2begb[4] ;
wire \tile_x7y8_w2begb[5] ;
wire \tile_x7y8_w2begb[6] ;
wire \tile_x7y8_w2begb[7] ;
wire \tile_x7y8_w6beg[0] ;
wire \tile_x7y8_w6beg[10] ;
wire \tile_x7y8_w6beg[11] ;
wire \tile_x7y8_w6beg[1] ;
wire \tile_x7y8_w6beg[2] ;
wire \tile_x7y8_w6beg[3] ;
wire \tile_x7y8_w6beg[4] ;
wire \tile_x7y8_w6beg[5] ;
wire \tile_x7y8_w6beg[6] ;
wire \tile_x7y8_w6beg[7] ;
wire \tile_x7y8_w6beg[8] ;
wire \tile_x7y8_w6beg[9] ;
wire \tile_x7y8_ww4beg[0] ;
wire \tile_x7y8_ww4beg[10] ;
wire \tile_x7y8_ww4beg[11] ;
wire \tile_x7y8_ww4beg[12] ;
wire \tile_x7y8_ww4beg[13] ;
wire \tile_x7y8_ww4beg[14] ;
wire \tile_x7y8_ww4beg[15] ;
wire \tile_x7y8_ww4beg[1] ;
wire \tile_x7y8_ww4beg[2] ;
wire \tile_x7y8_ww4beg[3] ;
wire \tile_x7y8_ww4beg[4] ;
wire \tile_x7y8_ww4beg[5] ;
wire \tile_x7y8_ww4beg[6] ;
wire \tile_x7y8_ww4beg[7] ;
wire \tile_x7y8_ww4beg[8] ;
wire \tile_x7y8_ww4beg[9] ;
wire tile_x7y9_co;
wire \tile_x7y9_e1beg[0] ;
wire \tile_x7y9_e1beg[1] ;
wire \tile_x7y9_e1beg[2] ;
wire \tile_x7y9_e1beg[3] ;
wire \tile_x7y9_e2beg[0] ;
wire \tile_x7y9_e2beg[1] ;
wire \tile_x7y9_e2beg[2] ;
wire \tile_x7y9_e2beg[3] ;
wire \tile_x7y9_e2beg[4] ;
wire \tile_x7y9_e2beg[5] ;
wire \tile_x7y9_e2beg[6] ;
wire \tile_x7y9_e2beg[7] ;
wire \tile_x7y9_e2begb[0] ;
wire \tile_x7y9_e2begb[1] ;
wire \tile_x7y9_e2begb[2] ;
wire \tile_x7y9_e2begb[3] ;
wire \tile_x7y9_e2begb[4] ;
wire \tile_x7y9_e2begb[5] ;
wire \tile_x7y9_e2begb[6] ;
wire \tile_x7y9_e2begb[7] ;
wire \tile_x7y9_e6beg[0] ;
wire \tile_x7y9_e6beg[10] ;
wire \tile_x7y9_e6beg[11] ;
wire \tile_x7y9_e6beg[1] ;
wire \tile_x7y9_e6beg[2] ;
wire \tile_x7y9_e6beg[3] ;
wire \tile_x7y9_e6beg[4] ;
wire \tile_x7y9_e6beg[5] ;
wire \tile_x7y9_e6beg[6] ;
wire \tile_x7y9_e6beg[7] ;
wire \tile_x7y9_e6beg[8] ;
wire \tile_x7y9_e6beg[9] ;
wire \tile_x7y9_ee4beg[0] ;
wire \tile_x7y9_ee4beg[10] ;
wire \tile_x7y9_ee4beg[11] ;
wire \tile_x7y9_ee4beg[12] ;
wire \tile_x7y9_ee4beg[13] ;
wire \tile_x7y9_ee4beg[14] ;
wire \tile_x7y9_ee4beg[15] ;
wire \tile_x7y9_ee4beg[1] ;
wire \tile_x7y9_ee4beg[2] ;
wire \tile_x7y9_ee4beg[3] ;
wire \tile_x7y9_ee4beg[4] ;
wire \tile_x7y9_ee4beg[5] ;
wire \tile_x7y9_ee4beg[6] ;
wire \tile_x7y9_ee4beg[7] ;
wire \tile_x7y9_ee4beg[8] ;
wire \tile_x7y9_ee4beg[9] ;
wire \tile_x7y9_framedata_o[0] ;
wire \tile_x7y9_framedata_o[10] ;
wire \tile_x7y9_framedata_o[11] ;
wire \tile_x7y9_framedata_o[12] ;
wire \tile_x7y9_framedata_o[13] ;
wire \tile_x7y9_framedata_o[14] ;
wire \tile_x7y9_framedata_o[15] ;
wire \tile_x7y9_framedata_o[16] ;
wire \tile_x7y9_framedata_o[17] ;
wire \tile_x7y9_framedata_o[18] ;
wire \tile_x7y9_framedata_o[19] ;
wire \tile_x7y9_framedata_o[1] ;
wire \tile_x7y9_framedata_o[20] ;
wire \tile_x7y9_framedata_o[21] ;
wire \tile_x7y9_framedata_o[22] ;
wire \tile_x7y9_framedata_o[23] ;
wire \tile_x7y9_framedata_o[24] ;
wire \tile_x7y9_framedata_o[25] ;
wire \tile_x7y9_framedata_o[26] ;
wire \tile_x7y9_framedata_o[27] ;
wire \tile_x7y9_framedata_o[28] ;
wire \tile_x7y9_framedata_o[29] ;
wire \tile_x7y9_framedata_o[2] ;
wire \tile_x7y9_framedata_o[30] ;
wire \tile_x7y9_framedata_o[31] ;
wire \tile_x7y9_framedata_o[3] ;
wire \tile_x7y9_framedata_o[4] ;
wire \tile_x7y9_framedata_o[5] ;
wire \tile_x7y9_framedata_o[6] ;
wire \tile_x7y9_framedata_o[7] ;
wire \tile_x7y9_framedata_o[8] ;
wire \tile_x7y9_framedata_o[9] ;
wire \tile_x7y9_framestrobe_o[0] ;
wire \tile_x7y9_framestrobe_o[10] ;
wire \tile_x7y9_framestrobe_o[11] ;
wire \tile_x7y9_framestrobe_o[12] ;
wire \tile_x7y9_framestrobe_o[13] ;
wire \tile_x7y9_framestrobe_o[14] ;
wire \tile_x7y9_framestrobe_o[15] ;
wire \tile_x7y9_framestrobe_o[16] ;
wire \tile_x7y9_framestrobe_o[17] ;
wire \tile_x7y9_framestrobe_o[18] ;
wire \tile_x7y9_framestrobe_o[19] ;
wire \tile_x7y9_framestrobe_o[1] ;
wire \tile_x7y9_framestrobe_o[2] ;
wire \tile_x7y9_framestrobe_o[3] ;
wire \tile_x7y9_framestrobe_o[4] ;
wire \tile_x7y9_framestrobe_o[5] ;
wire \tile_x7y9_framestrobe_o[6] ;
wire \tile_x7y9_framestrobe_o[7] ;
wire \tile_x7y9_framestrobe_o[8] ;
wire \tile_x7y9_framestrobe_o[9] ;
wire \tile_x7y9_n1beg[0] ;
wire \tile_x7y9_n1beg[1] ;
wire \tile_x7y9_n1beg[2] ;
wire \tile_x7y9_n1beg[3] ;
wire \tile_x7y9_n2beg[0] ;
wire \tile_x7y9_n2beg[1] ;
wire \tile_x7y9_n2beg[2] ;
wire \tile_x7y9_n2beg[3] ;
wire \tile_x7y9_n2beg[4] ;
wire \tile_x7y9_n2beg[5] ;
wire \tile_x7y9_n2beg[6] ;
wire \tile_x7y9_n2beg[7] ;
wire \tile_x7y9_n2begb[0] ;
wire \tile_x7y9_n2begb[1] ;
wire \tile_x7y9_n2begb[2] ;
wire \tile_x7y9_n2begb[3] ;
wire \tile_x7y9_n2begb[4] ;
wire \tile_x7y9_n2begb[5] ;
wire \tile_x7y9_n2begb[6] ;
wire \tile_x7y9_n2begb[7] ;
wire \tile_x7y9_n4beg[0] ;
wire \tile_x7y9_n4beg[10] ;
wire \tile_x7y9_n4beg[11] ;
wire \tile_x7y9_n4beg[12] ;
wire \tile_x7y9_n4beg[13] ;
wire \tile_x7y9_n4beg[14] ;
wire \tile_x7y9_n4beg[15] ;
wire \tile_x7y9_n4beg[1] ;
wire \tile_x7y9_n4beg[2] ;
wire \tile_x7y9_n4beg[3] ;
wire \tile_x7y9_n4beg[4] ;
wire \tile_x7y9_n4beg[5] ;
wire \tile_x7y9_n4beg[6] ;
wire \tile_x7y9_n4beg[7] ;
wire \tile_x7y9_n4beg[8] ;
wire \tile_x7y9_n4beg[9] ;
wire \tile_x7y9_nn4beg[0] ;
wire \tile_x7y9_nn4beg[10] ;
wire \tile_x7y9_nn4beg[11] ;
wire \tile_x7y9_nn4beg[12] ;
wire \tile_x7y9_nn4beg[13] ;
wire \tile_x7y9_nn4beg[14] ;
wire \tile_x7y9_nn4beg[15] ;
wire \tile_x7y9_nn4beg[1] ;
wire \tile_x7y9_nn4beg[2] ;
wire \tile_x7y9_nn4beg[3] ;
wire \tile_x7y9_nn4beg[4] ;
wire \tile_x7y9_nn4beg[5] ;
wire \tile_x7y9_nn4beg[6] ;
wire \tile_x7y9_nn4beg[7] ;
wire \tile_x7y9_nn4beg[8] ;
wire \tile_x7y9_nn4beg[9] ;
wire \tile_x7y9_s1beg[0] ;
wire \tile_x7y9_s1beg[1] ;
wire \tile_x7y9_s1beg[2] ;
wire \tile_x7y9_s1beg[3] ;
wire \tile_x7y9_s2beg[0] ;
wire \tile_x7y9_s2beg[1] ;
wire \tile_x7y9_s2beg[2] ;
wire \tile_x7y9_s2beg[3] ;
wire \tile_x7y9_s2beg[4] ;
wire \tile_x7y9_s2beg[5] ;
wire \tile_x7y9_s2beg[6] ;
wire \tile_x7y9_s2beg[7] ;
wire \tile_x7y9_s2begb[0] ;
wire \tile_x7y9_s2begb[1] ;
wire \tile_x7y9_s2begb[2] ;
wire \tile_x7y9_s2begb[3] ;
wire \tile_x7y9_s2begb[4] ;
wire \tile_x7y9_s2begb[5] ;
wire \tile_x7y9_s2begb[6] ;
wire \tile_x7y9_s2begb[7] ;
wire \tile_x7y9_s4beg[0] ;
wire \tile_x7y9_s4beg[10] ;
wire \tile_x7y9_s4beg[11] ;
wire \tile_x7y9_s4beg[12] ;
wire \tile_x7y9_s4beg[13] ;
wire \tile_x7y9_s4beg[14] ;
wire \tile_x7y9_s4beg[15] ;
wire \tile_x7y9_s4beg[1] ;
wire \tile_x7y9_s4beg[2] ;
wire \tile_x7y9_s4beg[3] ;
wire \tile_x7y9_s4beg[4] ;
wire \tile_x7y9_s4beg[5] ;
wire \tile_x7y9_s4beg[6] ;
wire \tile_x7y9_s4beg[7] ;
wire \tile_x7y9_s4beg[8] ;
wire \tile_x7y9_s4beg[9] ;
wire \tile_x7y9_ss4beg[0] ;
wire \tile_x7y9_ss4beg[10] ;
wire \tile_x7y9_ss4beg[11] ;
wire \tile_x7y9_ss4beg[12] ;
wire \tile_x7y9_ss4beg[13] ;
wire \tile_x7y9_ss4beg[14] ;
wire \tile_x7y9_ss4beg[15] ;
wire \tile_x7y9_ss4beg[1] ;
wire \tile_x7y9_ss4beg[2] ;
wire \tile_x7y9_ss4beg[3] ;
wire \tile_x7y9_ss4beg[4] ;
wire \tile_x7y9_ss4beg[5] ;
wire \tile_x7y9_ss4beg[6] ;
wire \tile_x7y9_ss4beg[7] ;
wire \tile_x7y9_ss4beg[8] ;
wire \tile_x7y9_ss4beg[9] ;
wire tile_x7y9_userclko;
wire \tile_x7y9_w1beg[0] ;
wire \tile_x7y9_w1beg[1] ;
wire \tile_x7y9_w1beg[2] ;
wire \tile_x7y9_w1beg[3] ;
wire \tile_x7y9_w2beg[0] ;
wire \tile_x7y9_w2beg[1] ;
wire \tile_x7y9_w2beg[2] ;
wire \tile_x7y9_w2beg[3] ;
wire \tile_x7y9_w2beg[4] ;
wire \tile_x7y9_w2beg[5] ;
wire \tile_x7y9_w2beg[6] ;
wire \tile_x7y9_w2beg[7] ;
wire \tile_x7y9_w2begb[0] ;
wire \tile_x7y9_w2begb[1] ;
wire \tile_x7y9_w2begb[2] ;
wire \tile_x7y9_w2begb[3] ;
wire \tile_x7y9_w2begb[4] ;
wire \tile_x7y9_w2begb[5] ;
wire \tile_x7y9_w2begb[6] ;
wire \tile_x7y9_w2begb[7] ;
wire \tile_x7y9_w6beg[0] ;
wire \tile_x7y9_w6beg[10] ;
wire \tile_x7y9_w6beg[11] ;
wire \tile_x7y9_w6beg[1] ;
wire \tile_x7y9_w6beg[2] ;
wire \tile_x7y9_w6beg[3] ;
wire \tile_x7y9_w6beg[4] ;
wire \tile_x7y9_w6beg[5] ;
wire \tile_x7y9_w6beg[6] ;
wire \tile_x7y9_w6beg[7] ;
wire \tile_x7y9_w6beg[8] ;
wire \tile_x7y9_w6beg[9] ;
wire \tile_x7y9_ww4beg[0] ;
wire \tile_x7y9_ww4beg[10] ;
wire \tile_x7y9_ww4beg[11] ;
wire \tile_x7y9_ww4beg[12] ;
wire \tile_x7y9_ww4beg[13] ;
wire \tile_x7y9_ww4beg[14] ;
wire \tile_x7y9_ww4beg[15] ;
wire \tile_x7y9_ww4beg[1] ;
wire \tile_x7y9_ww4beg[2] ;
wire \tile_x7y9_ww4beg[3] ;
wire \tile_x7y9_ww4beg[4] ;
wire \tile_x7y9_ww4beg[5] ;
wire \tile_x7y9_ww4beg[6] ;
wire \tile_x7y9_ww4beg[7] ;
wire \tile_x7y9_ww4beg[8] ;
wire \tile_x7y9_ww4beg[9] ;
wire \tile_x8y0_framestrobe_o[0] ;
wire \tile_x8y0_framestrobe_o[10] ;
wire \tile_x8y0_framestrobe_o[11] ;
wire \tile_x8y0_framestrobe_o[12] ;
wire \tile_x8y0_framestrobe_o[13] ;
wire \tile_x8y0_framestrobe_o[14] ;
wire \tile_x8y0_framestrobe_o[15] ;
wire \tile_x8y0_framestrobe_o[16] ;
wire \tile_x8y0_framestrobe_o[17] ;
wire \tile_x8y0_framestrobe_o[18] ;
wire \tile_x8y0_framestrobe_o[19] ;
wire \tile_x8y0_framestrobe_o[1] ;
wire \tile_x8y0_framestrobe_o[2] ;
wire \tile_x8y0_framestrobe_o[3] ;
wire \tile_x8y0_framestrobe_o[4] ;
wire \tile_x8y0_framestrobe_o[5] ;
wire \tile_x8y0_framestrobe_o[6] ;
wire \tile_x8y0_framestrobe_o[7] ;
wire \tile_x8y0_framestrobe_o[8] ;
wire \tile_x8y0_framestrobe_o[9] ;
wire \tile_x8y0_s1beg[0] ;
wire \tile_x8y0_s1beg[1] ;
wire \tile_x8y0_s1beg[2] ;
wire \tile_x8y0_s1beg[3] ;
wire \tile_x8y0_s2beg[0] ;
wire \tile_x8y0_s2beg[1] ;
wire \tile_x8y0_s2beg[2] ;
wire \tile_x8y0_s2beg[3] ;
wire \tile_x8y0_s2beg[4] ;
wire \tile_x8y0_s2beg[5] ;
wire \tile_x8y0_s2beg[6] ;
wire \tile_x8y0_s2beg[7] ;
wire \tile_x8y0_s2begb[0] ;
wire \tile_x8y0_s2begb[1] ;
wire \tile_x8y0_s2begb[2] ;
wire \tile_x8y0_s2begb[3] ;
wire \tile_x8y0_s2begb[4] ;
wire \tile_x8y0_s2begb[5] ;
wire \tile_x8y0_s2begb[6] ;
wire \tile_x8y0_s2begb[7] ;
wire \tile_x8y0_s4beg[0] ;
wire \tile_x8y0_s4beg[10] ;
wire \tile_x8y0_s4beg[11] ;
wire \tile_x8y0_s4beg[12] ;
wire \tile_x8y0_s4beg[13] ;
wire \tile_x8y0_s4beg[14] ;
wire \tile_x8y0_s4beg[15] ;
wire \tile_x8y0_s4beg[1] ;
wire \tile_x8y0_s4beg[2] ;
wire \tile_x8y0_s4beg[3] ;
wire \tile_x8y0_s4beg[4] ;
wire \tile_x8y0_s4beg[5] ;
wire \tile_x8y0_s4beg[6] ;
wire \tile_x8y0_s4beg[7] ;
wire \tile_x8y0_s4beg[8] ;
wire \tile_x8y0_s4beg[9] ;
wire \tile_x8y0_ss4beg[0] ;
wire \tile_x8y0_ss4beg[10] ;
wire \tile_x8y0_ss4beg[11] ;
wire \tile_x8y0_ss4beg[12] ;
wire \tile_x8y0_ss4beg[13] ;
wire \tile_x8y0_ss4beg[14] ;
wire \tile_x8y0_ss4beg[15] ;
wire \tile_x8y0_ss4beg[1] ;
wire \tile_x8y0_ss4beg[2] ;
wire \tile_x8y0_ss4beg[3] ;
wire \tile_x8y0_ss4beg[4] ;
wire \tile_x8y0_ss4beg[5] ;
wire \tile_x8y0_ss4beg[6] ;
wire \tile_x8y0_ss4beg[7] ;
wire \tile_x8y0_ss4beg[8] ;
wire \tile_x8y0_ss4beg[9] ;
wire tile_x8y0_userclko;
wire \tile_x8y10_e1beg[0] ;
wire \tile_x8y10_e1beg[1] ;
wire \tile_x8y10_e1beg[2] ;
wire \tile_x8y10_e1beg[3] ;
wire \tile_x8y10_e2beg[0] ;
wire \tile_x8y10_e2beg[1] ;
wire \tile_x8y10_e2beg[2] ;
wire \tile_x8y10_e2beg[3] ;
wire \tile_x8y10_e2beg[4] ;
wire \tile_x8y10_e2beg[5] ;
wire \tile_x8y10_e2beg[6] ;
wire \tile_x8y10_e2beg[7] ;
wire \tile_x8y10_e2begb[0] ;
wire \tile_x8y10_e2begb[1] ;
wire \tile_x8y10_e2begb[2] ;
wire \tile_x8y10_e2begb[3] ;
wire \tile_x8y10_e2begb[4] ;
wire \tile_x8y10_e2begb[5] ;
wire \tile_x8y10_e2begb[6] ;
wire \tile_x8y10_e2begb[7] ;
wire \tile_x8y10_e6beg[0] ;
wire \tile_x8y10_e6beg[10] ;
wire \tile_x8y10_e6beg[11] ;
wire \tile_x8y10_e6beg[1] ;
wire \tile_x8y10_e6beg[2] ;
wire \tile_x8y10_e6beg[3] ;
wire \tile_x8y10_e6beg[4] ;
wire \tile_x8y10_e6beg[5] ;
wire \tile_x8y10_e6beg[6] ;
wire \tile_x8y10_e6beg[7] ;
wire \tile_x8y10_e6beg[8] ;
wire \tile_x8y10_e6beg[9] ;
wire \tile_x8y10_ee4beg[0] ;
wire \tile_x8y10_ee4beg[10] ;
wire \tile_x8y10_ee4beg[11] ;
wire \tile_x8y10_ee4beg[12] ;
wire \tile_x8y10_ee4beg[13] ;
wire \tile_x8y10_ee4beg[14] ;
wire \tile_x8y10_ee4beg[15] ;
wire \tile_x8y10_ee4beg[1] ;
wire \tile_x8y10_ee4beg[2] ;
wire \tile_x8y10_ee4beg[3] ;
wire \tile_x8y10_ee4beg[4] ;
wire \tile_x8y10_ee4beg[5] ;
wire \tile_x8y10_ee4beg[6] ;
wire \tile_x8y10_ee4beg[7] ;
wire \tile_x8y10_ee4beg[8] ;
wire \tile_x8y10_ee4beg[9] ;
wire \tile_x8y10_framedata_o[0] ;
wire \tile_x8y10_framedata_o[10] ;
wire \tile_x8y10_framedata_o[11] ;
wire \tile_x8y10_framedata_o[12] ;
wire \tile_x8y10_framedata_o[13] ;
wire \tile_x8y10_framedata_o[14] ;
wire \tile_x8y10_framedata_o[15] ;
wire \tile_x8y10_framedata_o[16] ;
wire \tile_x8y10_framedata_o[17] ;
wire \tile_x8y10_framedata_o[18] ;
wire \tile_x8y10_framedata_o[19] ;
wire \tile_x8y10_framedata_o[1] ;
wire \tile_x8y10_framedata_o[20] ;
wire \tile_x8y10_framedata_o[21] ;
wire \tile_x8y10_framedata_o[22] ;
wire \tile_x8y10_framedata_o[23] ;
wire \tile_x8y10_framedata_o[24] ;
wire \tile_x8y10_framedata_o[25] ;
wire \tile_x8y10_framedata_o[26] ;
wire \tile_x8y10_framedata_o[27] ;
wire \tile_x8y10_framedata_o[28] ;
wire \tile_x8y10_framedata_o[29] ;
wire \tile_x8y10_framedata_o[2] ;
wire \tile_x8y10_framedata_o[30] ;
wire \tile_x8y10_framedata_o[31] ;
wire \tile_x8y10_framedata_o[3] ;
wire \tile_x8y10_framedata_o[4] ;
wire \tile_x8y10_framedata_o[5] ;
wire \tile_x8y10_framedata_o[6] ;
wire \tile_x8y10_framedata_o[7] ;
wire \tile_x8y10_framedata_o[8] ;
wire \tile_x8y10_framedata_o[9] ;
wire \tile_x8y10_s1beg[0] ;
wire \tile_x8y10_s1beg[1] ;
wire \tile_x8y10_s1beg[2] ;
wire \tile_x8y10_s1beg[3] ;
wire \tile_x8y10_s2beg[0] ;
wire \tile_x8y10_s2beg[1] ;
wire \tile_x8y10_s2beg[2] ;
wire \tile_x8y10_s2beg[3] ;
wire \tile_x8y10_s2beg[4] ;
wire \tile_x8y10_s2beg[5] ;
wire \tile_x8y10_s2beg[6] ;
wire \tile_x8y10_s2beg[7] ;
wire \tile_x8y10_s2begb[0] ;
wire \tile_x8y10_s2begb[1] ;
wire \tile_x8y10_s2begb[2] ;
wire \tile_x8y10_s2begb[3] ;
wire \tile_x8y10_s2begb[4] ;
wire \tile_x8y10_s2begb[5] ;
wire \tile_x8y10_s2begb[6] ;
wire \tile_x8y10_s2begb[7] ;
wire \tile_x8y10_s4beg[0] ;
wire \tile_x8y10_s4beg[10] ;
wire \tile_x8y10_s4beg[11] ;
wire \tile_x8y10_s4beg[12] ;
wire \tile_x8y10_s4beg[13] ;
wire \tile_x8y10_s4beg[14] ;
wire \tile_x8y10_s4beg[15] ;
wire \tile_x8y10_s4beg[1] ;
wire \tile_x8y10_s4beg[2] ;
wire \tile_x8y10_s4beg[3] ;
wire \tile_x8y10_s4beg[4] ;
wire \tile_x8y10_s4beg[5] ;
wire \tile_x8y10_s4beg[6] ;
wire \tile_x8y10_s4beg[7] ;
wire \tile_x8y10_s4beg[8] ;
wire \tile_x8y10_s4beg[9] ;
wire \tile_x8y10_ss4beg[0] ;
wire \tile_x8y10_ss4beg[10] ;
wire \tile_x8y10_ss4beg[11] ;
wire \tile_x8y10_ss4beg[12] ;
wire \tile_x8y10_ss4beg[13] ;
wire \tile_x8y10_ss4beg[14] ;
wire \tile_x8y10_ss4beg[15] ;
wire \tile_x8y10_ss4beg[1] ;
wire \tile_x8y10_ss4beg[2] ;
wire \tile_x8y10_ss4beg[3] ;
wire \tile_x8y10_ss4beg[4] ;
wire \tile_x8y10_ss4beg[5] ;
wire \tile_x8y10_ss4beg[6] ;
wire \tile_x8y10_ss4beg[7] ;
wire \tile_x8y10_ss4beg[8] ;
wire \tile_x8y10_ss4beg[9] ;
wire \tile_x8y10_w1beg[0] ;
wire \tile_x8y10_w1beg[1] ;
wire \tile_x8y10_w1beg[2] ;
wire \tile_x8y10_w1beg[3] ;
wire \tile_x8y10_w2beg[0] ;
wire \tile_x8y10_w2beg[1] ;
wire \tile_x8y10_w2beg[2] ;
wire \tile_x8y10_w2beg[3] ;
wire \tile_x8y10_w2beg[4] ;
wire \tile_x8y10_w2beg[5] ;
wire \tile_x8y10_w2beg[6] ;
wire \tile_x8y10_w2beg[7] ;
wire \tile_x8y10_w2begb[0] ;
wire \tile_x8y10_w2begb[1] ;
wire \tile_x8y10_w2begb[2] ;
wire \tile_x8y10_w2begb[3] ;
wire \tile_x8y10_w2begb[4] ;
wire \tile_x8y10_w2begb[5] ;
wire \tile_x8y10_w2begb[6] ;
wire \tile_x8y10_w2begb[7] ;
wire \tile_x8y10_w6beg[0] ;
wire \tile_x8y10_w6beg[10] ;
wire \tile_x8y10_w6beg[11] ;
wire \tile_x8y10_w6beg[1] ;
wire \tile_x8y10_w6beg[2] ;
wire \tile_x8y10_w6beg[3] ;
wire \tile_x8y10_w6beg[4] ;
wire \tile_x8y10_w6beg[5] ;
wire \tile_x8y10_w6beg[6] ;
wire \tile_x8y10_w6beg[7] ;
wire \tile_x8y10_w6beg[8] ;
wire \tile_x8y10_w6beg[9] ;
wire \tile_x8y10_ww4beg[0] ;
wire \tile_x8y10_ww4beg[10] ;
wire \tile_x8y10_ww4beg[11] ;
wire \tile_x8y10_ww4beg[12] ;
wire \tile_x8y10_ww4beg[13] ;
wire \tile_x8y10_ww4beg[14] ;
wire \tile_x8y10_ww4beg[15] ;
wire \tile_x8y10_ww4beg[1] ;
wire \tile_x8y10_ww4beg[2] ;
wire \tile_x8y10_ww4beg[3] ;
wire \tile_x8y10_ww4beg[4] ;
wire \tile_x8y10_ww4beg[5] ;
wire \tile_x8y10_ww4beg[6] ;
wire \tile_x8y10_ww4beg[7] ;
wire \tile_x8y10_ww4beg[8] ;
wire \tile_x8y10_ww4beg[9] ;
wire \tile_x8y11_e1beg[0] ;
wire \tile_x8y11_e1beg[1] ;
wire \tile_x8y11_e1beg[2] ;
wire \tile_x8y11_e1beg[3] ;
wire \tile_x8y11_e2beg[0] ;
wire \tile_x8y11_e2beg[1] ;
wire \tile_x8y11_e2beg[2] ;
wire \tile_x8y11_e2beg[3] ;
wire \tile_x8y11_e2beg[4] ;
wire \tile_x8y11_e2beg[5] ;
wire \tile_x8y11_e2beg[6] ;
wire \tile_x8y11_e2beg[7] ;
wire \tile_x8y11_e2begb[0] ;
wire \tile_x8y11_e2begb[1] ;
wire \tile_x8y11_e2begb[2] ;
wire \tile_x8y11_e2begb[3] ;
wire \tile_x8y11_e2begb[4] ;
wire \tile_x8y11_e2begb[5] ;
wire \tile_x8y11_e2begb[6] ;
wire \tile_x8y11_e2begb[7] ;
wire \tile_x8y11_e6beg[0] ;
wire \tile_x8y11_e6beg[10] ;
wire \tile_x8y11_e6beg[11] ;
wire \tile_x8y11_e6beg[1] ;
wire \tile_x8y11_e6beg[2] ;
wire \tile_x8y11_e6beg[3] ;
wire \tile_x8y11_e6beg[4] ;
wire \tile_x8y11_e6beg[5] ;
wire \tile_x8y11_e6beg[6] ;
wire \tile_x8y11_e6beg[7] ;
wire \tile_x8y11_e6beg[8] ;
wire \tile_x8y11_e6beg[9] ;
wire \tile_x8y11_ee4beg[0] ;
wire \tile_x8y11_ee4beg[10] ;
wire \tile_x8y11_ee4beg[11] ;
wire \tile_x8y11_ee4beg[12] ;
wire \tile_x8y11_ee4beg[13] ;
wire \tile_x8y11_ee4beg[14] ;
wire \tile_x8y11_ee4beg[15] ;
wire \tile_x8y11_ee4beg[1] ;
wire \tile_x8y11_ee4beg[2] ;
wire \tile_x8y11_ee4beg[3] ;
wire \tile_x8y11_ee4beg[4] ;
wire \tile_x8y11_ee4beg[5] ;
wire \tile_x8y11_ee4beg[6] ;
wire \tile_x8y11_ee4beg[7] ;
wire \tile_x8y11_ee4beg[8] ;
wire \tile_x8y11_ee4beg[9] ;
wire \tile_x8y11_framedata_o[0] ;
wire \tile_x8y11_framedata_o[10] ;
wire \tile_x8y11_framedata_o[11] ;
wire \tile_x8y11_framedata_o[12] ;
wire \tile_x8y11_framedata_o[13] ;
wire \tile_x8y11_framedata_o[14] ;
wire \tile_x8y11_framedata_o[15] ;
wire \tile_x8y11_framedata_o[16] ;
wire \tile_x8y11_framedata_o[17] ;
wire \tile_x8y11_framedata_o[18] ;
wire \tile_x8y11_framedata_o[19] ;
wire \tile_x8y11_framedata_o[1] ;
wire \tile_x8y11_framedata_o[20] ;
wire \tile_x8y11_framedata_o[21] ;
wire \tile_x8y11_framedata_o[22] ;
wire \tile_x8y11_framedata_o[23] ;
wire \tile_x8y11_framedata_o[24] ;
wire \tile_x8y11_framedata_o[25] ;
wire \tile_x8y11_framedata_o[26] ;
wire \tile_x8y11_framedata_o[27] ;
wire \tile_x8y11_framedata_o[28] ;
wire \tile_x8y11_framedata_o[29] ;
wire \tile_x8y11_framedata_o[2] ;
wire \tile_x8y11_framedata_o[30] ;
wire \tile_x8y11_framedata_o[31] ;
wire \tile_x8y11_framedata_o[3] ;
wire \tile_x8y11_framedata_o[4] ;
wire \tile_x8y11_framedata_o[5] ;
wire \tile_x8y11_framedata_o[6] ;
wire \tile_x8y11_framedata_o[7] ;
wire \tile_x8y11_framedata_o[8] ;
wire \tile_x8y11_framedata_o[9] ;
wire \tile_x8y11_framestrobe_o[0] ;
wire \tile_x8y11_framestrobe_o[10] ;
wire \tile_x8y11_framestrobe_o[11] ;
wire \tile_x8y11_framestrobe_o[12] ;
wire \tile_x8y11_framestrobe_o[13] ;
wire \tile_x8y11_framestrobe_o[14] ;
wire \tile_x8y11_framestrobe_o[15] ;
wire \tile_x8y11_framestrobe_o[16] ;
wire \tile_x8y11_framestrobe_o[17] ;
wire \tile_x8y11_framestrobe_o[18] ;
wire \tile_x8y11_framestrobe_o[19] ;
wire \tile_x8y11_framestrobe_o[1] ;
wire \tile_x8y11_framestrobe_o[2] ;
wire \tile_x8y11_framestrobe_o[3] ;
wire \tile_x8y11_framestrobe_o[4] ;
wire \tile_x8y11_framestrobe_o[5] ;
wire \tile_x8y11_framestrobe_o[6] ;
wire \tile_x8y11_framestrobe_o[7] ;
wire \tile_x8y11_framestrobe_o[8] ;
wire \tile_x8y11_framestrobe_o[9] ;
wire \tile_x8y11_n1beg[0] ;
wire \tile_x8y11_n1beg[1] ;
wire \tile_x8y11_n1beg[2] ;
wire \tile_x8y11_n1beg[3] ;
wire \tile_x8y11_n2beg[0] ;
wire \tile_x8y11_n2beg[1] ;
wire \tile_x8y11_n2beg[2] ;
wire \tile_x8y11_n2beg[3] ;
wire \tile_x8y11_n2beg[4] ;
wire \tile_x8y11_n2beg[5] ;
wire \tile_x8y11_n2beg[6] ;
wire \tile_x8y11_n2beg[7] ;
wire \tile_x8y11_n2begb[0] ;
wire \tile_x8y11_n2begb[1] ;
wire \tile_x8y11_n2begb[2] ;
wire \tile_x8y11_n2begb[3] ;
wire \tile_x8y11_n2begb[4] ;
wire \tile_x8y11_n2begb[5] ;
wire \tile_x8y11_n2begb[6] ;
wire \tile_x8y11_n2begb[7] ;
wire \tile_x8y11_n4beg[0] ;
wire \tile_x8y11_n4beg[10] ;
wire \tile_x8y11_n4beg[11] ;
wire \tile_x8y11_n4beg[12] ;
wire \tile_x8y11_n4beg[13] ;
wire \tile_x8y11_n4beg[14] ;
wire \tile_x8y11_n4beg[15] ;
wire \tile_x8y11_n4beg[1] ;
wire \tile_x8y11_n4beg[2] ;
wire \tile_x8y11_n4beg[3] ;
wire \tile_x8y11_n4beg[4] ;
wire \tile_x8y11_n4beg[5] ;
wire \tile_x8y11_n4beg[6] ;
wire \tile_x8y11_n4beg[7] ;
wire \tile_x8y11_n4beg[8] ;
wire \tile_x8y11_n4beg[9] ;
wire \tile_x8y11_nn4beg[0] ;
wire \tile_x8y11_nn4beg[10] ;
wire \tile_x8y11_nn4beg[11] ;
wire \tile_x8y11_nn4beg[12] ;
wire \tile_x8y11_nn4beg[13] ;
wire \tile_x8y11_nn4beg[14] ;
wire \tile_x8y11_nn4beg[15] ;
wire \tile_x8y11_nn4beg[1] ;
wire \tile_x8y11_nn4beg[2] ;
wire \tile_x8y11_nn4beg[3] ;
wire \tile_x8y11_nn4beg[4] ;
wire \tile_x8y11_nn4beg[5] ;
wire \tile_x8y11_nn4beg[6] ;
wire \tile_x8y11_nn4beg[7] ;
wire \tile_x8y11_nn4beg[8] ;
wire \tile_x8y11_nn4beg[9] ;
wire tile_x8y11_userclko;
wire \tile_x8y11_w1beg[0] ;
wire \tile_x8y11_w1beg[1] ;
wire \tile_x8y11_w1beg[2] ;
wire \tile_x8y11_w1beg[3] ;
wire \tile_x8y11_w2beg[0] ;
wire \tile_x8y11_w2beg[1] ;
wire \tile_x8y11_w2beg[2] ;
wire \tile_x8y11_w2beg[3] ;
wire \tile_x8y11_w2beg[4] ;
wire \tile_x8y11_w2beg[5] ;
wire \tile_x8y11_w2beg[6] ;
wire \tile_x8y11_w2beg[7] ;
wire \tile_x8y11_w2begb[0] ;
wire \tile_x8y11_w2begb[1] ;
wire \tile_x8y11_w2begb[2] ;
wire \tile_x8y11_w2begb[3] ;
wire \tile_x8y11_w2begb[4] ;
wire \tile_x8y11_w2begb[5] ;
wire \tile_x8y11_w2begb[6] ;
wire \tile_x8y11_w2begb[7] ;
wire \tile_x8y11_w6beg[0] ;
wire \tile_x8y11_w6beg[10] ;
wire \tile_x8y11_w6beg[11] ;
wire \tile_x8y11_w6beg[1] ;
wire \tile_x8y11_w6beg[2] ;
wire \tile_x8y11_w6beg[3] ;
wire \tile_x8y11_w6beg[4] ;
wire \tile_x8y11_w6beg[5] ;
wire \tile_x8y11_w6beg[6] ;
wire \tile_x8y11_w6beg[7] ;
wire \tile_x8y11_w6beg[8] ;
wire \tile_x8y11_w6beg[9] ;
wire \tile_x8y11_ww4beg[0] ;
wire \tile_x8y11_ww4beg[10] ;
wire \tile_x8y11_ww4beg[11] ;
wire \tile_x8y11_ww4beg[12] ;
wire \tile_x8y11_ww4beg[13] ;
wire \tile_x8y11_ww4beg[14] ;
wire \tile_x8y11_ww4beg[15] ;
wire \tile_x8y11_ww4beg[1] ;
wire \tile_x8y11_ww4beg[2] ;
wire \tile_x8y11_ww4beg[3] ;
wire \tile_x8y11_ww4beg[4] ;
wire \tile_x8y11_ww4beg[5] ;
wire \tile_x8y11_ww4beg[6] ;
wire \tile_x8y11_ww4beg[7] ;
wire \tile_x8y11_ww4beg[8] ;
wire \tile_x8y11_ww4beg[9] ;
wire \tile_x8y12_e1beg[0] ;
wire \tile_x8y12_e1beg[1] ;
wire \tile_x8y12_e1beg[2] ;
wire \tile_x8y12_e1beg[3] ;
wire \tile_x8y12_e2beg[0] ;
wire \tile_x8y12_e2beg[1] ;
wire \tile_x8y12_e2beg[2] ;
wire \tile_x8y12_e2beg[3] ;
wire \tile_x8y12_e2beg[4] ;
wire \tile_x8y12_e2beg[5] ;
wire \tile_x8y12_e2beg[6] ;
wire \tile_x8y12_e2beg[7] ;
wire \tile_x8y12_e2begb[0] ;
wire \tile_x8y12_e2begb[1] ;
wire \tile_x8y12_e2begb[2] ;
wire \tile_x8y12_e2begb[3] ;
wire \tile_x8y12_e2begb[4] ;
wire \tile_x8y12_e2begb[5] ;
wire \tile_x8y12_e2begb[6] ;
wire \tile_x8y12_e2begb[7] ;
wire \tile_x8y12_e6beg[0] ;
wire \tile_x8y12_e6beg[10] ;
wire \tile_x8y12_e6beg[11] ;
wire \tile_x8y12_e6beg[1] ;
wire \tile_x8y12_e6beg[2] ;
wire \tile_x8y12_e6beg[3] ;
wire \tile_x8y12_e6beg[4] ;
wire \tile_x8y12_e6beg[5] ;
wire \tile_x8y12_e6beg[6] ;
wire \tile_x8y12_e6beg[7] ;
wire \tile_x8y12_e6beg[8] ;
wire \tile_x8y12_e6beg[9] ;
wire \tile_x8y12_ee4beg[0] ;
wire \tile_x8y12_ee4beg[10] ;
wire \tile_x8y12_ee4beg[11] ;
wire \tile_x8y12_ee4beg[12] ;
wire \tile_x8y12_ee4beg[13] ;
wire \tile_x8y12_ee4beg[14] ;
wire \tile_x8y12_ee4beg[15] ;
wire \tile_x8y12_ee4beg[1] ;
wire \tile_x8y12_ee4beg[2] ;
wire \tile_x8y12_ee4beg[3] ;
wire \tile_x8y12_ee4beg[4] ;
wire \tile_x8y12_ee4beg[5] ;
wire \tile_x8y12_ee4beg[6] ;
wire \tile_x8y12_ee4beg[7] ;
wire \tile_x8y12_ee4beg[8] ;
wire \tile_x8y12_ee4beg[9] ;
wire \tile_x8y12_framedata_o[0] ;
wire \tile_x8y12_framedata_o[10] ;
wire \tile_x8y12_framedata_o[11] ;
wire \tile_x8y12_framedata_o[12] ;
wire \tile_x8y12_framedata_o[13] ;
wire \tile_x8y12_framedata_o[14] ;
wire \tile_x8y12_framedata_o[15] ;
wire \tile_x8y12_framedata_o[16] ;
wire \tile_x8y12_framedata_o[17] ;
wire \tile_x8y12_framedata_o[18] ;
wire \tile_x8y12_framedata_o[19] ;
wire \tile_x8y12_framedata_o[1] ;
wire \tile_x8y12_framedata_o[20] ;
wire \tile_x8y12_framedata_o[21] ;
wire \tile_x8y12_framedata_o[22] ;
wire \tile_x8y12_framedata_o[23] ;
wire \tile_x8y12_framedata_o[24] ;
wire \tile_x8y12_framedata_o[25] ;
wire \tile_x8y12_framedata_o[26] ;
wire \tile_x8y12_framedata_o[27] ;
wire \tile_x8y12_framedata_o[28] ;
wire \tile_x8y12_framedata_o[29] ;
wire \tile_x8y12_framedata_o[2] ;
wire \tile_x8y12_framedata_o[30] ;
wire \tile_x8y12_framedata_o[31] ;
wire \tile_x8y12_framedata_o[3] ;
wire \tile_x8y12_framedata_o[4] ;
wire \tile_x8y12_framedata_o[5] ;
wire \tile_x8y12_framedata_o[6] ;
wire \tile_x8y12_framedata_o[7] ;
wire \tile_x8y12_framedata_o[8] ;
wire \tile_x8y12_framedata_o[9] ;
wire \tile_x8y12_s1beg[0] ;
wire \tile_x8y12_s1beg[1] ;
wire \tile_x8y12_s1beg[2] ;
wire \tile_x8y12_s1beg[3] ;
wire \tile_x8y12_s2beg[0] ;
wire \tile_x8y12_s2beg[1] ;
wire \tile_x8y12_s2beg[2] ;
wire \tile_x8y12_s2beg[3] ;
wire \tile_x8y12_s2beg[4] ;
wire \tile_x8y12_s2beg[5] ;
wire \tile_x8y12_s2beg[6] ;
wire \tile_x8y12_s2beg[7] ;
wire \tile_x8y12_s2begb[0] ;
wire \tile_x8y12_s2begb[1] ;
wire \tile_x8y12_s2begb[2] ;
wire \tile_x8y12_s2begb[3] ;
wire \tile_x8y12_s2begb[4] ;
wire \tile_x8y12_s2begb[5] ;
wire \tile_x8y12_s2begb[6] ;
wire \tile_x8y12_s2begb[7] ;
wire \tile_x8y12_s4beg[0] ;
wire \tile_x8y12_s4beg[10] ;
wire \tile_x8y12_s4beg[11] ;
wire \tile_x8y12_s4beg[12] ;
wire \tile_x8y12_s4beg[13] ;
wire \tile_x8y12_s4beg[14] ;
wire \tile_x8y12_s4beg[15] ;
wire \tile_x8y12_s4beg[1] ;
wire \tile_x8y12_s4beg[2] ;
wire \tile_x8y12_s4beg[3] ;
wire \tile_x8y12_s4beg[4] ;
wire \tile_x8y12_s4beg[5] ;
wire \tile_x8y12_s4beg[6] ;
wire \tile_x8y12_s4beg[7] ;
wire \tile_x8y12_s4beg[8] ;
wire \tile_x8y12_s4beg[9] ;
wire \tile_x8y12_ss4beg[0] ;
wire \tile_x8y12_ss4beg[10] ;
wire \tile_x8y12_ss4beg[11] ;
wire \tile_x8y12_ss4beg[12] ;
wire \tile_x8y12_ss4beg[13] ;
wire \tile_x8y12_ss4beg[14] ;
wire \tile_x8y12_ss4beg[15] ;
wire \tile_x8y12_ss4beg[1] ;
wire \tile_x8y12_ss4beg[2] ;
wire \tile_x8y12_ss4beg[3] ;
wire \tile_x8y12_ss4beg[4] ;
wire \tile_x8y12_ss4beg[5] ;
wire \tile_x8y12_ss4beg[6] ;
wire \tile_x8y12_ss4beg[7] ;
wire \tile_x8y12_ss4beg[8] ;
wire \tile_x8y12_ss4beg[9] ;
wire \tile_x8y12_w1beg[0] ;
wire \tile_x8y12_w1beg[1] ;
wire \tile_x8y12_w1beg[2] ;
wire \tile_x8y12_w1beg[3] ;
wire \tile_x8y12_w2beg[0] ;
wire \tile_x8y12_w2beg[1] ;
wire \tile_x8y12_w2beg[2] ;
wire \tile_x8y12_w2beg[3] ;
wire \tile_x8y12_w2beg[4] ;
wire \tile_x8y12_w2beg[5] ;
wire \tile_x8y12_w2beg[6] ;
wire \tile_x8y12_w2beg[7] ;
wire \tile_x8y12_w2begb[0] ;
wire \tile_x8y12_w2begb[1] ;
wire \tile_x8y12_w2begb[2] ;
wire \tile_x8y12_w2begb[3] ;
wire \tile_x8y12_w2begb[4] ;
wire \tile_x8y12_w2begb[5] ;
wire \tile_x8y12_w2begb[6] ;
wire \tile_x8y12_w2begb[7] ;
wire \tile_x8y12_w6beg[0] ;
wire \tile_x8y12_w6beg[10] ;
wire \tile_x8y12_w6beg[11] ;
wire \tile_x8y12_w6beg[1] ;
wire \tile_x8y12_w6beg[2] ;
wire \tile_x8y12_w6beg[3] ;
wire \tile_x8y12_w6beg[4] ;
wire \tile_x8y12_w6beg[5] ;
wire \tile_x8y12_w6beg[6] ;
wire \tile_x8y12_w6beg[7] ;
wire \tile_x8y12_w6beg[8] ;
wire \tile_x8y12_w6beg[9] ;
wire \tile_x8y12_ww4beg[0] ;
wire \tile_x8y12_ww4beg[10] ;
wire \tile_x8y12_ww4beg[11] ;
wire \tile_x8y12_ww4beg[12] ;
wire \tile_x8y12_ww4beg[13] ;
wire \tile_x8y12_ww4beg[14] ;
wire \tile_x8y12_ww4beg[15] ;
wire \tile_x8y12_ww4beg[1] ;
wire \tile_x8y12_ww4beg[2] ;
wire \tile_x8y12_ww4beg[3] ;
wire \tile_x8y12_ww4beg[4] ;
wire \tile_x8y12_ww4beg[5] ;
wire \tile_x8y12_ww4beg[6] ;
wire \tile_x8y12_ww4beg[7] ;
wire \tile_x8y12_ww4beg[8] ;
wire \tile_x8y12_ww4beg[9] ;
wire \tile_x8y13_e1beg[0] ;
wire \tile_x8y13_e1beg[1] ;
wire \tile_x8y13_e1beg[2] ;
wire \tile_x8y13_e1beg[3] ;
wire \tile_x8y13_e2beg[0] ;
wire \tile_x8y13_e2beg[1] ;
wire \tile_x8y13_e2beg[2] ;
wire \tile_x8y13_e2beg[3] ;
wire \tile_x8y13_e2beg[4] ;
wire \tile_x8y13_e2beg[5] ;
wire \tile_x8y13_e2beg[6] ;
wire \tile_x8y13_e2beg[7] ;
wire \tile_x8y13_e2begb[0] ;
wire \tile_x8y13_e2begb[1] ;
wire \tile_x8y13_e2begb[2] ;
wire \tile_x8y13_e2begb[3] ;
wire \tile_x8y13_e2begb[4] ;
wire \tile_x8y13_e2begb[5] ;
wire \tile_x8y13_e2begb[6] ;
wire \tile_x8y13_e2begb[7] ;
wire \tile_x8y13_e6beg[0] ;
wire \tile_x8y13_e6beg[10] ;
wire \tile_x8y13_e6beg[11] ;
wire \tile_x8y13_e6beg[1] ;
wire \tile_x8y13_e6beg[2] ;
wire \tile_x8y13_e6beg[3] ;
wire \tile_x8y13_e6beg[4] ;
wire \tile_x8y13_e6beg[5] ;
wire \tile_x8y13_e6beg[6] ;
wire \tile_x8y13_e6beg[7] ;
wire \tile_x8y13_e6beg[8] ;
wire \tile_x8y13_e6beg[9] ;
wire \tile_x8y13_ee4beg[0] ;
wire \tile_x8y13_ee4beg[10] ;
wire \tile_x8y13_ee4beg[11] ;
wire \tile_x8y13_ee4beg[12] ;
wire \tile_x8y13_ee4beg[13] ;
wire \tile_x8y13_ee4beg[14] ;
wire \tile_x8y13_ee4beg[15] ;
wire \tile_x8y13_ee4beg[1] ;
wire \tile_x8y13_ee4beg[2] ;
wire \tile_x8y13_ee4beg[3] ;
wire \tile_x8y13_ee4beg[4] ;
wire \tile_x8y13_ee4beg[5] ;
wire \tile_x8y13_ee4beg[6] ;
wire \tile_x8y13_ee4beg[7] ;
wire \tile_x8y13_ee4beg[8] ;
wire \tile_x8y13_ee4beg[9] ;
wire \tile_x8y13_framedata_o[0] ;
wire \tile_x8y13_framedata_o[10] ;
wire \tile_x8y13_framedata_o[11] ;
wire \tile_x8y13_framedata_o[12] ;
wire \tile_x8y13_framedata_o[13] ;
wire \tile_x8y13_framedata_o[14] ;
wire \tile_x8y13_framedata_o[15] ;
wire \tile_x8y13_framedata_o[16] ;
wire \tile_x8y13_framedata_o[17] ;
wire \tile_x8y13_framedata_o[18] ;
wire \tile_x8y13_framedata_o[19] ;
wire \tile_x8y13_framedata_o[1] ;
wire \tile_x8y13_framedata_o[20] ;
wire \tile_x8y13_framedata_o[21] ;
wire \tile_x8y13_framedata_o[22] ;
wire \tile_x8y13_framedata_o[23] ;
wire \tile_x8y13_framedata_o[24] ;
wire \tile_x8y13_framedata_o[25] ;
wire \tile_x8y13_framedata_o[26] ;
wire \tile_x8y13_framedata_o[27] ;
wire \tile_x8y13_framedata_o[28] ;
wire \tile_x8y13_framedata_o[29] ;
wire \tile_x8y13_framedata_o[2] ;
wire \tile_x8y13_framedata_o[30] ;
wire \tile_x8y13_framedata_o[31] ;
wire \tile_x8y13_framedata_o[3] ;
wire \tile_x8y13_framedata_o[4] ;
wire \tile_x8y13_framedata_o[5] ;
wire \tile_x8y13_framedata_o[6] ;
wire \tile_x8y13_framedata_o[7] ;
wire \tile_x8y13_framedata_o[8] ;
wire \tile_x8y13_framedata_o[9] ;
wire \tile_x8y13_framestrobe_o[0] ;
wire \tile_x8y13_framestrobe_o[10] ;
wire \tile_x8y13_framestrobe_o[11] ;
wire \tile_x8y13_framestrobe_o[12] ;
wire \tile_x8y13_framestrobe_o[13] ;
wire \tile_x8y13_framestrobe_o[14] ;
wire \tile_x8y13_framestrobe_o[15] ;
wire \tile_x8y13_framestrobe_o[16] ;
wire \tile_x8y13_framestrobe_o[17] ;
wire \tile_x8y13_framestrobe_o[18] ;
wire \tile_x8y13_framestrobe_o[19] ;
wire \tile_x8y13_framestrobe_o[1] ;
wire \tile_x8y13_framestrobe_o[2] ;
wire \tile_x8y13_framestrobe_o[3] ;
wire \tile_x8y13_framestrobe_o[4] ;
wire \tile_x8y13_framestrobe_o[5] ;
wire \tile_x8y13_framestrobe_o[6] ;
wire \tile_x8y13_framestrobe_o[7] ;
wire \tile_x8y13_framestrobe_o[8] ;
wire \tile_x8y13_framestrobe_o[9] ;
wire \tile_x8y13_n1beg[0] ;
wire \tile_x8y13_n1beg[1] ;
wire \tile_x8y13_n1beg[2] ;
wire \tile_x8y13_n1beg[3] ;
wire \tile_x8y13_n2beg[0] ;
wire \tile_x8y13_n2beg[1] ;
wire \tile_x8y13_n2beg[2] ;
wire \tile_x8y13_n2beg[3] ;
wire \tile_x8y13_n2beg[4] ;
wire \tile_x8y13_n2beg[5] ;
wire \tile_x8y13_n2beg[6] ;
wire \tile_x8y13_n2beg[7] ;
wire \tile_x8y13_n2begb[0] ;
wire \tile_x8y13_n2begb[1] ;
wire \tile_x8y13_n2begb[2] ;
wire \tile_x8y13_n2begb[3] ;
wire \tile_x8y13_n2begb[4] ;
wire \tile_x8y13_n2begb[5] ;
wire \tile_x8y13_n2begb[6] ;
wire \tile_x8y13_n2begb[7] ;
wire \tile_x8y13_n4beg[0] ;
wire \tile_x8y13_n4beg[10] ;
wire \tile_x8y13_n4beg[11] ;
wire \tile_x8y13_n4beg[12] ;
wire \tile_x8y13_n4beg[13] ;
wire \tile_x8y13_n4beg[14] ;
wire \tile_x8y13_n4beg[15] ;
wire \tile_x8y13_n4beg[1] ;
wire \tile_x8y13_n4beg[2] ;
wire \tile_x8y13_n4beg[3] ;
wire \tile_x8y13_n4beg[4] ;
wire \tile_x8y13_n4beg[5] ;
wire \tile_x8y13_n4beg[6] ;
wire \tile_x8y13_n4beg[7] ;
wire \tile_x8y13_n4beg[8] ;
wire \tile_x8y13_n4beg[9] ;
wire \tile_x8y13_nn4beg[0] ;
wire \tile_x8y13_nn4beg[10] ;
wire \tile_x8y13_nn4beg[11] ;
wire \tile_x8y13_nn4beg[12] ;
wire \tile_x8y13_nn4beg[13] ;
wire \tile_x8y13_nn4beg[14] ;
wire \tile_x8y13_nn4beg[15] ;
wire \tile_x8y13_nn4beg[1] ;
wire \tile_x8y13_nn4beg[2] ;
wire \tile_x8y13_nn4beg[3] ;
wire \tile_x8y13_nn4beg[4] ;
wire \tile_x8y13_nn4beg[5] ;
wire \tile_x8y13_nn4beg[6] ;
wire \tile_x8y13_nn4beg[7] ;
wire \tile_x8y13_nn4beg[8] ;
wire \tile_x8y13_nn4beg[9] ;
wire tile_x8y13_userclko;
wire \tile_x8y13_w1beg[0] ;
wire \tile_x8y13_w1beg[1] ;
wire \tile_x8y13_w1beg[2] ;
wire \tile_x8y13_w1beg[3] ;
wire \tile_x8y13_w2beg[0] ;
wire \tile_x8y13_w2beg[1] ;
wire \tile_x8y13_w2beg[2] ;
wire \tile_x8y13_w2beg[3] ;
wire \tile_x8y13_w2beg[4] ;
wire \tile_x8y13_w2beg[5] ;
wire \tile_x8y13_w2beg[6] ;
wire \tile_x8y13_w2beg[7] ;
wire \tile_x8y13_w2begb[0] ;
wire \tile_x8y13_w2begb[1] ;
wire \tile_x8y13_w2begb[2] ;
wire \tile_x8y13_w2begb[3] ;
wire \tile_x8y13_w2begb[4] ;
wire \tile_x8y13_w2begb[5] ;
wire \tile_x8y13_w2begb[6] ;
wire \tile_x8y13_w2begb[7] ;
wire \tile_x8y13_w6beg[0] ;
wire \tile_x8y13_w6beg[10] ;
wire \tile_x8y13_w6beg[11] ;
wire \tile_x8y13_w6beg[1] ;
wire \tile_x8y13_w6beg[2] ;
wire \tile_x8y13_w6beg[3] ;
wire \tile_x8y13_w6beg[4] ;
wire \tile_x8y13_w6beg[5] ;
wire \tile_x8y13_w6beg[6] ;
wire \tile_x8y13_w6beg[7] ;
wire \tile_x8y13_w6beg[8] ;
wire \tile_x8y13_w6beg[9] ;
wire \tile_x8y13_ww4beg[0] ;
wire \tile_x8y13_ww4beg[10] ;
wire \tile_x8y13_ww4beg[11] ;
wire \tile_x8y13_ww4beg[12] ;
wire \tile_x8y13_ww4beg[13] ;
wire \tile_x8y13_ww4beg[14] ;
wire \tile_x8y13_ww4beg[15] ;
wire \tile_x8y13_ww4beg[1] ;
wire \tile_x8y13_ww4beg[2] ;
wire \tile_x8y13_ww4beg[3] ;
wire \tile_x8y13_ww4beg[4] ;
wire \tile_x8y13_ww4beg[5] ;
wire \tile_x8y13_ww4beg[6] ;
wire \tile_x8y13_ww4beg[7] ;
wire \tile_x8y13_ww4beg[8] ;
wire \tile_x8y13_ww4beg[9] ;
wire \tile_x8y14_e1beg[0] ;
wire \tile_x8y14_e1beg[1] ;
wire \tile_x8y14_e1beg[2] ;
wire \tile_x8y14_e1beg[3] ;
wire \tile_x8y14_e2beg[0] ;
wire \tile_x8y14_e2beg[1] ;
wire \tile_x8y14_e2beg[2] ;
wire \tile_x8y14_e2beg[3] ;
wire \tile_x8y14_e2beg[4] ;
wire \tile_x8y14_e2beg[5] ;
wire \tile_x8y14_e2beg[6] ;
wire \tile_x8y14_e2beg[7] ;
wire \tile_x8y14_e2begb[0] ;
wire \tile_x8y14_e2begb[1] ;
wire \tile_x8y14_e2begb[2] ;
wire \tile_x8y14_e2begb[3] ;
wire \tile_x8y14_e2begb[4] ;
wire \tile_x8y14_e2begb[5] ;
wire \tile_x8y14_e2begb[6] ;
wire \tile_x8y14_e2begb[7] ;
wire \tile_x8y14_e6beg[0] ;
wire \tile_x8y14_e6beg[10] ;
wire \tile_x8y14_e6beg[11] ;
wire \tile_x8y14_e6beg[1] ;
wire \tile_x8y14_e6beg[2] ;
wire \tile_x8y14_e6beg[3] ;
wire \tile_x8y14_e6beg[4] ;
wire \tile_x8y14_e6beg[5] ;
wire \tile_x8y14_e6beg[6] ;
wire \tile_x8y14_e6beg[7] ;
wire \tile_x8y14_e6beg[8] ;
wire \tile_x8y14_e6beg[9] ;
wire \tile_x8y14_ee4beg[0] ;
wire \tile_x8y14_ee4beg[10] ;
wire \tile_x8y14_ee4beg[11] ;
wire \tile_x8y14_ee4beg[12] ;
wire \tile_x8y14_ee4beg[13] ;
wire \tile_x8y14_ee4beg[14] ;
wire \tile_x8y14_ee4beg[15] ;
wire \tile_x8y14_ee4beg[1] ;
wire \tile_x8y14_ee4beg[2] ;
wire \tile_x8y14_ee4beg[3] ;
wire \tile_x8y14_ee4beg[4] ;
wire \tile_x8y14_ee4beg[5] ;
wire \tile_x8y14_ee4beg[6] ;
wire \tile_x8y14_ee4beg[7] ;
wire \tile_x8y14_ee4beg[8] ;
wire \tile_x8y14_ee4beg[9] ;
wire \tile_x8y14_framedata_o[0] ;
wire \tile_x8y14_framedata_o[10] ;
wire \tile_x8y14_framedata_o[11] ;
wire \tile_x8y14_framedata_o[12] ;
wire \tile_x8y14_framedata_o[13] ;
wire \tile_x8y14_framedata_o[14] ;
wire \tile_x8y14_framedata_o[15] ;
wire \tile_x8y14_framedata_o[16] ;
wire \tile_x8y14_framedata_o[17] ;
wire \tile_x8y14_framedata_o[18] ;
wire \tile_x8y14_framedata_o[19] ;
wire \tile_x8y14_framedata_o[1] ;
wire \tile_x8y14_framedata_o[20] ;
wire \tile_x8y14_framedata_o[21] ;
wire \tile_x8y14_framedata_o[22] ;
wire \tile_x8y14_framedata_o[23] ;
wire \tile_x8y14_framedata_o[24] ;
wire \tile_x8y14_framedata_o[25] ;
wire \tile_x8y14_framedata_o[26] ;
wire \tile_x8y14_framedata_o[27] ;
wire \tile_x8y14_framedata_o[28] ;
wire \tile_x8y14_framedata_o[29] ;
wire \tile_x8y14_framedata_o[2] ;
wire \tile_x8y14_framedata_o[30] ;
wire \tile_x8y14_framedata_o[31] ;
wire \tile_x8y14_framedata_o[3] ;
wire \tile_x8y14_framedata_o[4] ;
wire \tile_x8y14_framedata_o[5] ;
wire \tile_x8y14_framedata_o[6] ;
wire \tile_x8y14_framedata_o[7] ;
wire \tile_x8y14_framedata_o[8] ;
wire \tile_x8y14_framedata_o[9] ;
wire \tile_x8y14_s1beg[0] ;
wire \tile_x8y14_s1beg[1] ;
wire \tile_x8y14_s1beg[2] ;
wire \tile_x8y14_s1beg[3] ;
wire \tile_x8y14_s2beg[0] ;
wire \tile_x8y14_s2beg[1] ;
wire \tile_x8y14_s2beg[2] ;
wire \tile_x8y14_s2beg[3] ;
wire \tile_x8y14_s2beg[4] ;
wire \tile_x8y14_s2beg[5] ;
wire \tile_x8y14_s2beg[6] ;
wire \tile_x8y14_s2beg[7] ;
wire \tile_x8y14_s2begb[0] ;
wire \tile_x8y14_s2begb[1] ;
wire \tile_x8y14_s2begb[2] ;
wire \tile_x8y14_s2begb[3] ;
wire \tile_x8y14_s2begb[4] ;
wire \tile_x8y14_s2begb[5] ;
wire \tile_x8y14_s2begb[6] ;
wire \tile_x8y14_s2begb[7] ;
wire \tile_x8y14_s4beg[0] ;
wire \tile_x8y14_s4beg[10] ;
wire \tile_x8y14_s4beg[11] ;
wire \tile_x8y14_s4beg[12] ;
wire \tile_x8y14_s4beg[13] ;
wire \tile_x8y14_s4beg[14] ;
wire \tile_x8y14_s4beg[15] ;
wire \tile_x8y14_s4beg[1] ;
wire \tile_x8y14_s4beg[2] ;
wire \tile_x8y14_s4beg[3] ;
wire \tile_x8y14_s4beg[4] ;
wire \tile_x8y14_s4beg[5] ;
wire \tile_x8y14_s4beg[6] ;
wire \tile_x8y14_s4beg[7] ;
wire \tile_x8y14_s4beg[8] ;
wire \tile_x8y14_s4beg[9] ;
wire \tile_x8y14_ss4beg[0] ;
wire \tile_x8y14_ss4beg[10] ;
wire \tile_x8y14_ss4beg[11] ;
wire \tile_x8y14_ss4beg[12] ;
wire \tile_x8y14_ss4beg[13] ;
wire \tile_x8y14_ss4beg[14] ;
wire \tile_x8y14_ss4beg[15] ;
wire \tile_x8y14_ss4beg[1] ;
wire \tile_x8y14_ss4beg[2] ;
wire \tile_x8y14_ss4beg[3] ;
wire \tile_x8y14_ss4beg[4] ;
wire \tile_x8y14_ss4beg[5] ;
wire \tile_x8y14_ss4beg[6] ;
wire \tile_x8y14_ss4beg[7] ;
wire \tile_x8y14_ss4beg[8] ;
wire \tile_x8y14_ss4beg[9] ;
wire \tile_x8y14_w1beg[0] ;
wire \tile_x8y14_w1beg[1] ;
wire \tile_x8y14_w1beg[2] ;
wire \tile_x8y14_w1beg[3] ;
wire \tile_x8y14_w2beg[0] ;
wire \tile_x8y14_w2beg[1] ;
wire \tile_x8y14_w2beg[2] ;
wire \tile_x8y14_w2beg[3] ;
wire \tile_x8y14_w2beg[4] ;
wire \tile_x8y14_w2beg[5] ;
wire \tile_x8y14_w2beg[6] ;
wire \tile_x8y14_w2beg[7] ;
wire \tile_x8y14_w2begb[0] ;
wire \tile_x8y14_w2begb[1] ;
wire \tile_x8y14_w2begb[2] ;
wire \tile_x8y14_w2begb[3] ;
wire \tile_x8y14_w2begb[4] ;
wire \tile_x8y14_w2begb[5] ;
wire \tile_x8y14_w2begb[6] ;
wire \tile_x8y14_w2begb[7] ;
wire \tile_x8y14_w6beg[0] ;
wire \tile_x8y14_w6beg[10] ;
wire \tile_x8y14_w6beg[11] ;
wire \tile_x8y14_w6beg[1] ;
wire \tile_x8y14_w6beg[2] ;
wire \tile_x8y14_w6beg[3] ;
wire \tile_x8y14_w6beg[4] ;
wire \tile_x8y14_w6beg[5] ;
wire \tile_x8y14_w6beg[6] ;
wire \tile_x8y14_w6beg[7] ;
wire \tile_x8y14_w6beg[8] ;
wire \tile_x8y14_w6beg[9] ;
wire \tile_x8y14_ww4beg[0] ;
wire \tile_x8y14_ww4beg[10] ;
wire \tile_x8y14_ww4beg[11] ;
wire \tile_x8y14_ww4beg[12] ;
wire \tile_x8y14_ww4beg[13] ;
wire \tile_x8y14_ww4beg[14] ;
wire \tile_x8y14_ww4beg[15] ;
wire \tile_x8y14_ww4beg[1] ;
wire \tile_x8y14_ww4beg[2] ;
wire \tile_x8y14_ww4beg[3] ;
wire \tile_x8y14_ww4beg[4] ;
wire \tile_x8y14_ww4beg[5] ;
wire \tile_x8y14_ww4beg[6] ;
wire \tile_x8y14_ww4beg[7] ;
wire \tile_x8y14_ww4beg[8] ;
wire \tile_x8y14_ww4beg[9] ;
wire \tile_x8y15_framestrobe_o[0] ;
wire \tile_x8y15_framestrobe_o[10] ;
wire \tile_x8y15_framestrobe_o[11] ;
wire \tile_x8y15_framestrobe_o[12] ;
wire \tile_x8y15_framestrobe_o[13] ;
wire \tile_x8y15_framestrobe_o[14] ;
wire \tile_x8y15_framestrobe_o[15] ;
wire \tile_x8y15_framestrobe_o[16] ;
wire \tile_x8y15_framestrobe_o[17] ;
wire \tile_x8y15_framestrobe_o[18] ;
wire \tile_x8y15_framestrobe_o[19] ;
wire \tile_x8y15_framestrobe_o[1] ;
wire \tile_x8y15_framestrobe_o[2] ;
wire \tile_x8y15_framestrobe_o[3] ;
wire \tile_x8y15_framestrobe_o[4] ;
wire \tile_x8y15_framestrobe_o[5] ;
wire \tile_x8y15_framestrobe_o[6] ;
wire \tile_x8y15_framestrobe_o[7] ;
wire \tile_x8y15_framestrobe_o[8] ;
wire \tile_x8y15_framestrobe_o[9] ;
wire \tile_x8y15_n1beg[0] ;
wire \tile_x8y15_n1beg[1] ;
wire \tile_x8y15_n1beg[2] ;
wire \tile_x8y15_n1beg[3] ;
wire \tile_x8y15_n2beg[0] ;
wire \tile_x8y15_n2beg[1] ;
wire \tile_x8y15_n2beg[2] ;
wire \tile_x8y15_n2beg[3] ;
wire \tile_x8y15_n2beg[4] ;
wire \tile_x8y15_n2beg[5] ;
wire \tile_x8y15_n2beg[6] ;
wire \tile_x8y15_n2beg[7] ;
wire \tile_x8y15_n2begb[0] ;
wire \tile_x8y15_n2begb[1] ;
wire \tile_x8y15_n2begb[2] ;
wire \tile_x8y15_n2begb[3] ;
wire \tile_x8y15_n2begb[4] ;
wire \tile_x8y15_n2begb[5] ;
wire \tile_x8y15_n2begb[6] ;
wire \tile_x8y15_n2begb[7] ;
wire \tile_x8y15_n4beg[0] ;
wire \tile_x8y15_n4beg[10] ;
wire \tile_x8y15_n4beg[11] ;
wire \tile_x8y15_n4beg[12] ;
wire \tile_x8y15_n4beg[13] ;
wire \tile_x8y15_n4beg[14] ;
wire \tile_x8y15_n4beg[15] ;
wire \tile_x8y15_n4beg[1] ;
wire \tile_x8y15_n4beg[2] ;
wire \tile_x8y15_n4beg[3] ;
wire \tile_x8y15_n4beg[4] ;
wire \tile_x8y15_n4beg[5] ;
wire \tile_x8y15_n4beg[6] ;
wire \tile_x8y15_n4beg[7] ;
wire \tile_x8y15_n4beg[8] ;
wire \tile_x8y15_n4beg[9] ;
wire \tile_x8y15_nn4beg[0] ;
wire \tile_x8y15_nn4beg[10] ;
wire \tile_x8y15_nn4beg[11] ;
wire \tile_x8y15_nn4beg[12] ;
wire \tile_x8y15_nn4beg[13] ;
wire \tile_x8y15_nn4beg[14] ;
wire \tile_x8y15_nn4beg[15] ;
wire \tile_x8y15_nn4beg[1] ;
wire \tile_x8y15_nn4beg[2] ;
wire \tile_x8y15_nn4beg[3] ;
wire \tile_x8y15_nn4beg[4] ;
wire \tile_x8y15_nn4beg[5] ;
wire \tile_x8y15_nn4beg[6] ;
wire \tile_x8y15_nn4beg[7] ;
wire \tile_x8y15_nn4beg[8] ;
wire \tile_x8y15_nn4beg[9] ;
wire tile_x8y15_userclko;
wire \tile_x8y1_e1beg[0] ;
wire \tile_x8y1_e1beg[1] ;
wire \tile_x8y1_e1beg[2] ;
wire \tile_x8y1_e1beg[3] ;
wire \tile_x8y1_e2beg[0] ;
wire \tile_x8y1_e2beg[1] ;
wire \tile_x8y1_e2beg[2] ;
wire \tile_x8y1_e2beg[3] ;
wire \tile_x8y1_e2beg[4] ;
wire \tile_x8y1_e2beg[5] ;
wire \tile_x8y1_e2beg[6] ;
wire \tile_x8y1_e2beg[7] ;
wire \tile_x8y1_e2begb[0] ;
wire \tile_x8y1_e2begb[1] ;
wire \tile_x8y1_e2begb[2] ;
wire \tile_x8y1_e2begb[3] ;
wire \tile_x8y1_e2begb[4] ;
wire \tile_x8y1_e2begb[5] ;
wire \tile_x8y1_e2begb[6] ;
wire \tile_x8y1_e2begb[7] ;
wire \tile_x8y1_e6beg[0] ;
wire \tile_x8y1_e6beg[10] ;
wire \tile_x8y1_e6beg[11] ;
wire \tile_x8y1_e6beg[1] ;
wire \tile_x8y1_e6beg[2] ;
wire \tile_x8y1_e6beg[3] ;
wire \tile_x8y1_e6beg[4] ;
wire \tile_x8y1_e6beg[5] ;
wire \tile_x8y1_e6beg[6] ;
wire \tile_x8y1_e6beg[7] ;
wire \tile_x8y1_e6beg[8] ;
wire \tile_x8y1_e6beg[9] ;
wire \tile_x8y1_ee4beg[0] ;
wire \tile_x8y1_ee4beg[10] ;
wire \tile_x8y1_ee4beg[11] ;
wire \tile_x8y1_ee4beg[12] ;
wire \tile_x8y1_ee4beg[13] ;
wire \tile_x8y1_ee4beg[14] ;
wire \tile_x8y1_ee4beg[15] ;
wire \tile_x8y1_ee4beg[1] ;
wire \tile_x8y1_ee4beg[2] ;
wire \tile_x8y1_ee4beg[3] ;
wire \tile_x8y1_ee4beg[4] ;
wire \tile_x8y1_ee4beg[5] ;
wire \tile_x8y1_ee4beg[6] ;
wire \tile_x8y1_ee4beg[7] ;
wire \tile_x8y1_ee4beg[8] ;
wire \tile_x8y1_ee4beg[9] ;
wire \tile_x8y1_framedata_o[0] ;
wire \tile_x8y1_framedata_o[10] ;
wire \tile_x8y1_framedata_o[11] ;
wire \tile_x8y1_framedata_o[12] ;
wire \tile_x8y1_framedata_o[13] ;
wire \tile_x8y1_framedata_o[14] ;
wire \tile_x8y1_framedata_o[15] ;
wire \tile_x8y1_framedata_o[16] ;
wire \tile_x8y1_framedata_o[17] ;
wire \tile_x8y1_framedata_o[18] ;
wire \tile_x8y1_framedata_o[19] ;
wire \tile_x8y1_framedata_o[1] ;
wire \tile_x8y1_framedata_o[20] ;
wire \tile_x8y1_framedata_o[21] ;
wire \tile_x8y1_framedata_o[22] ;
wire \tile_x8y1_framedata_o[23] ;
wire \tile_x8y1_framedata_o[24] ;
wire \tile_x8y1_framedata_o[25] ;
wire \tile_x8y1_framedata_o[26] ;
wire \tile_x8y1_framedata_o[27] ;
wire \tile_x8y1_framedata_o[28] ;
wire \tile_x8y1_framedata_o[29] ;
wire \tile_x8y1_framedata_o[2] ;
wire \tile_x8y1_framedata_o[30] ;
wire \tile_x8y1_framedata_o[31] ;
wire \tile_x8y1_framedata_o[3] ;
wire \tile_x8y1_framedata_o[4] ;
wire \tile_x8y1_framedata_o[5] ;
wire \tile_x8y1_framedata_o[6] ;
wire \tile_x8y1_framedata_o[7] ;
wire \tile_x8y1_framedata_o[8] ;
wire \tile_x8y1_framedata_o[9] ;
wire \tile_x8y1_framestrobe_o[0] ;
wire \tile_x8y1_framestrobe_o[10] ;
wire \tile_x8y1_framestrobe_o[11] ;
wire \tile_x8y1_framestrobe_o[12] ;
wire \tile_x8y1_framestrobe_o[13] ;
wire \tile_x8y1_framestrobe_o[14] ;
wire \tile_x8y1_framestrobe_o[15] ;
wire \tile_x8y1_framestrobe_o[16] ;
wire \tile_x8y1_framestrobe_o[17] ;
wire \tile_x8y1_framestrobe_o[18] ;
wire \tile_x8y1_framestrobe_o[19] ;
wire \tile_x8y1_framestrobe_o[1] ;
wire \tile_x8y1_framestrobe_o[2] ;
wire \tile_x8y1_framestrobe_o[3] ;
wire \tile_x8y1_framestrobe_o[4] ;
wire \tile_x8y1_framestrobe_o[5] ;
wire \tile_x8y1_framestrobe_o[6] ;
wire \tile_x8y1_framestrobe_o[7] ;
wire \tile_x8y1_framestrobe_o[8] ;
wire \tile_x8y1_framestrobe_o[9] ;
wire \tile_x8y1_n1beg[0] ;
wire \tile_x8y1_n1beg[1] ;
wire \tile_x8y1_n1beg[2] ;
wire \tile_x8y1_n1beg[3] ;
wire \tile_x8y1_n2beg[0] ;
wire \tile_x8y1_n2beg[1] ;
wire \tile_x8y1_n2beg[2] ;
wire \tile_x8y1_n2beg[3] ;
wire \tile_x8y1_n2beg[4] ;
wire \tile_x8y1_n2beg[5] ;
wire \tile_x8y1_n2beg[6] ;
wire \tile_x8y1_n2beg[7] ;
wire \tile_x8y1_n2begb[0] ;
wire \tile_x8y1_n2begb[1] ;
wire \tile_x8y1_n2begb[2] ;
wire \tile_x8y1_n2begb[3] ;
wire \tile_x8y1_n2begb[4] ;
wire \tile_x8y1_n2begb[5] ;
wire \tile_x8y1_n2begb[6] ;
wire \tile_x8y1_n2begb[7] ;
wire \tile_x8y1_n4beg[0] ;
wire \tile_x8y1_n4beg[10] ;
wire \tile_x8y1_n4beg[11] ;
wire \tile_x8y1_n4beg[12] ;
wire \tile_x8y1_n4beg[13] ;
wire \tile_x8y1_n4beg[14] ;
wire \tile_x8y1_n4beg[15] ;
wire \tile_x8y1_n4beg[1] ;
wire \tile_x8y1_n4beg[2] ;
wire \tile_x8y1_n4beg[3] ;
wire \tile_x8y1_n4beg[4] ;
wire \tile_x8y1_n4beg[5] ;
wire \tile_x8y1_n4beg[6] ;
wire \tile_x8y1_n4beg[7] ;
wire \tile_x8y1_n4beg[8] ;
wire \tile_x8y1_n4beg[9] ;
wire \tile_x8y1_nn4beg[0] ;
wire \tile_x8y1_nn4beg[10] ;
wire \tile_x8y1_nn4beg[11] ;
wire \tile_x8y1_nn4beg[12] ;
wire \tile_x8y1_nn4beg[13] ;
wire \tile_x8y1_nn4beg[14] ;
wire \tile_x8y1_nn4beg[15] ;
wire \tile_x8y1_nn4beg[1] ;
wire \tile_x8y1_nn4beg[2] ;
wire \tile_x8y1_nn4beg[3] ;
wire \tile_x8y1_nn4beg[4] ;
wire \tile_x8y1_nn4beg[5] ;
wire \tile_x8y1_nn4beg[6] ;
wire \tile_x8y1_nn4beg[7] ;
wire \tile_x8y1_nn4beg[8] ;
wire \tile_x8y1_nn4beg[9] ;
wire tile_x8y1_userclko;
wire \tile_x8y1_w1beg[0] ;
wire \tile_x8y1_w1beg[1] ;
wire \tile_x8y1_w1beg[2] ;
wire \tile_x8y1_w1beg[3] ;
wire \tile_x8y1_w2beg[0] ;
wire \tile_x8y1_w2beg[1] ;
wire \tile_x8y1_w2beg[2] ;
wire \tile_x8y1_w2beg[3] ;
wire \tile_x8y1_w2beg[4] ;
wire \tile_x8y1_w2beg[5] ;
wire \tile_x8y1_w2beg[6] ;
wire \tile_x8y1_w2beg[7] ;
wire \tile_x8y1_w2begb[0] ;
wire \tile_x8y1_w2begb[1] ;
wire \tile_x8y1_w2begb[2] ;
wire \tile_x8y1_w2begb[3] ;
wire \tile_x8y1_w2begb[4] ;
wire \tile_x8y1_w2begb[5] ;
wire \tile_x8y1_w2begb[6] ;
wire \tile_x8y1_w2begb[7] ;
wire \tile_x8y1_w6beg[0] ;
wire \tile_x8y1_w6beg[10] ;
wire \tile_x8y1_w6beg[11] ;
wire \tile_x8y1_w6beg[1] ;
wire \tile_x8y1_w6beg[2] ;
wire \tile_x8y1_w6beg[3] ;
wire \tile_x8y1_w6beg[4] ;
wire \tile_x8y1_w6beg[5] ;
wire \tile_x8y1_w6beg[6] ;
wire \tile_x8y1_w6beg[7] ;
wire \tile_x8y1_w6beg[8] ;
wire \tile_x8y1_w6beg[9] ;
wire \tile_x8y1_ww4beg[0] ;
wire \tile_x8y1_ww4beg[10] ;
wire \tile_x8y1_ww4beg[11] ;
wire \tile_x8y1_ww4beg[12] ;
wire \tile_x8y1_ww4beg[13] ;
wire \tile_x8y1_ww4beg[14] ;
wire \tile_x8y1_ww4beg[15] ;
wire \tile_x8y1_ww4beg[1] ;
wire \tile_x8y1_ww4beg[2] ;
wire \tile_x8y1_ww4beg[3] ;
wire \tile_x8y1_ww4beg[4] ;
wire \tile_x8y1_ww4beg[5] ;
wire \tile_x8y1_ww4beg[6] ;
wire \tile_x8y1_ww4beg[7] ;
wire \tile_x8y1_ww4beg[8] ;
wire \tile_x8y1_ww4beg[9] ;
wire \tile_x8y2_e1beg[0] ;
wire \tile_x8y2_e1beg[1] ;
wire \tile_x8y2_e1beg[2] ;
wire \tile_x8y2_e1beg[3] ;
wire \tile_x8y2_e2beg[0] ;
wire \tile_x8y2_e2beg[1] ;
wire \tile_x8y2_e2beg[2] ;
wire \tile_x8y2_e2beg[3] ;
wire \tile_x8y2_e2beg[4] ;
wire \tile_x8y2_e2beg[5] ;
wire \tile_x8y2_e2beg[6] ;
wire \tile_x8y2_e2beg[7] ;
wire \tile_x8y2_e2begb[0] ;
wire \tile_x8y2_e2begb[1] ;
wire \tile_x8y2_e2begb[2] ;
wire \tile_x8y2_e2begb[3] ;
wire \tile_x8y2_e2begb[4] ;
wire \tile_x8y2_e2begb[5] ;
wire \tile_x8y2_e2begb[6] ;
wire \tile_x8y2_e2begb[7] ;
wire \tile_x8y2_e6beg[0] ;
wire \tile_x8y2_e6beg[10] ;
wire \tile_x8y2_e6beg[11] ;
wire \tile_x8y2_e6beg[1] ;
wire \tile_x8y2_e6beg[2] ;
wire \tile_x8y2_e6beg[3] ;
wire \tile_x8y2_e6beg[4] ;
wire \tile_x8y2_e6beg[5] ;
wire \tile_x8y2_e6beg[6] ;
wire \tile_x8y2_e6beg[7] ;
wire \tile_x8y2_e6beg[8] ;
wire \tile_x8y2_e6beg[9] ;
wire \tile_x8y2_ee4beg[0] ;
wire \tile_x8y2_ee4beg[10] ;
wire \tile_x8y2_ee4beg[11] ;
wire \tile_x8y2_ee4beg[12] ;
wire \tile_x8y2_ee4beg[13] ;
wire \tile_x8y2_ee4beg[14] ;
wire \tile_x8y2_ee4beg[15] ;
wire \tile_x8y2_ee4beg[1] ;
wire \tile_x8y2_ee4beg[2] ;
wire \tile_x8y2_ee4beg[3] ;
wire \tile_x8y2_ee4beg[4] ;
wire \tile_x8y2_ee4beg[5] ;
wire \tile_x8y2_ee4beg[6] ;
wire \tile_x8y2_ee4beg[7] ;
wire \tile_x8y2_ee4beg[8] ;
wire \tile_x8y2_ee4beg[9] ;
wire \tile_x8y2_framedata_o[0] ;
wire \tile_x8y2_framedata_o[10] ;
wire \tile_x8y2_framedata_o[11] ;
wire \tile_x8y2_framedata_o[12] ;
wire \tile_x8y2_framedata_o[13] ;
wire \tile_x8y2_framedata_o[14] ;
wire \tile_x8y2_framedata_o[15] ;
wire \tile_x8y2_framedata_o[16] ;
wire \tile_x8y2_framedata_o[17] ;
wire \tile_x8y2_framedata_o[18] ;
wire \tile_x8y2_framedata_o[19] ;
wire \tile_x8y2_framedata_o[1] ;
wire \tile_x8y2_framedata_o[20] ;
wire \tile_x8y2_framedata_o[21] ;
wire \tile_x8y2_framedata_o[22] ;
wire \tile_x8y2_framedata_o[23] ;
wire \tile_x8y2_framedata_o[24] ;
wire \tile_x8y2_framedata_o[25] ;
wire \tile_x8y2_framedata_o[26] ;
wire \tile_x8y2_framedata_o[27] ;
wire \tile_x8y2_framedata_o[28] ;
wire \tile_x8y2_framedata_o[29] ;
wire \tile_x8y2_framedata_o[2] ;
wire \tile_x8y2_framedata_o[30] ;
wire \tile_x8y2_framedata_o[31] ;
wire \tile_x8y2_framedata_o[3] ;
wire \tile_x8y2_framedata_o[4] ;
wire \tile_x8y2_framedata_o[5] ;
wire \tile_x8y2_framedata_o[6] ;
wire \tile_x8y2_framedata_o[7] ;
wire \tile_x8y2_framedata_o[8] ;
wire \tile_x8y2_framedata_o[9] ;
wire \tile_x8y2_s1beg[0] ;
wire \tile_x8y2_s1beg[1] ;
wire \tile_x8y2_s1beg[2] ;
wire \tile_x8y2_s1beg[3] ;
wire \tile_x8y2_s2beg[0] ;
wire \tile_x8y2_s2beg[1] ;
wire \tile_x8y2_s2beg[2] ;
wire \tile_x8y2_s2beg[3] ;
wire \tile_x8y2_s2beg[4] ;
wire \tile_x8y2_s2beg[5] ;
wire \tile_x8y2_s2beg[6] ;
wire \tile_x8y2_s2beg[7] ;
wire \tile_x8y2_s2begb[0] ;
wire \tile_x8y2_s2begb[1] ;
wire \tile_x8y2_s2begb[2] ;
wire \tile_x8y2_s2begb[3] ;
wire \tile_x8y2_s2begb[4] ;
wire \tile_x8y2_s2begb[5] ;
wire \tile_x8y2_s2begb[6] ;
wire \tile_x8y2_s2begb[7] ;
wire \tile_x8y2_s4beg[0] ;
wire \tile_x8y2_s4beg[10] ;
wire \tile_x8y2_s4beg[11] ;
wire \tile_x8y2_s4beg[12] ;
wire \tile_x8y2_s4beg[13] ;
wire \tile_x8y2_s4beg[14] ;
wire \tile_x8y2_s4beg[15] ;
wire \tile_x8y2_s4beg[1] ;
wire \tile_x8y2_s4beg[2] ;
wire \tile_x8y2_s4beg[3] ;
wire \tile_x8y2_s4beg[4] ;
wire \tile_x8y2_s4beg[5] ;
wire \tile_x8y2_s4beg[6] ;
wire \tile_x8y2_s4beg[7] ;
wire \tile_x8y2_s4beg[8] ;
wire \tile_x8y2_s4beg[9] ;
wire \tile_x8y2_ss4beg[0] ;
wire \tile_x8y2_ss4beg[10] ;
wire \tile_x8y2_ss4beg[11] ;
wire \tile_x8y2_ss4beg[12] ;
wire \tile_x8y2_ss4beg[13] ;
wire \tile_x8y2_ss4beg[14] ;
wire \tile_x8y2_ss4beg[15] ;
wire \tile_x8y2_ss4beg[1] ;
wire \tile_x8y2_ss4beg[2] ;
wire \tile_x8y2_ss4beg[3] ;
wire \tile_x8y2_ss4beg[4] ;
wire \tile_x8y2_ss4beg[5] ;
wire \tile_x8y2_ss4beg[6] ;
wire \tile_x8y2_ss4beg[7] ;
wire \tile_x8y2_ss4beg[8] ;
wire \tile_x8y2_ss4beg[9] ;
wire \tile_x8y2_w1beg[0] ;
wire \tile_x8y2_w1beg[1] ;
wire \tile_x8y2_w1beg[2] ;
wire \tile_x8y2_w1beg[3] ;
wire \tile_x8y2_w2beg[0] ;
wire \tile_x8y2_w2beg[1] ;
wire \tile_x8y2_w2beg[2] ;
wire \tile_x8y2_w2beg[3] ;
wire \tile_x8y2_w2beg[4] ;
wire \tile_x8y2_w2beg[5] ;
wire \tile_x8y2_w2beg[6] ;
wire \tile_x8y2_w2beg[7] ;
wire \tile_x8y2_w2begb[0] ;
wire \tile_x8y2_w2begb[1] ;
wire \tile_x8y2_w2begb[2] ;
wire \tile_x8y2_w2begb[3] ;
wire \tile_x8y2_w2begb[4] ;
wire \tile_x8y2_w2begb[5] ;
wire \tile_x8y2_w2begb[6] ;
wire \tile_x8y2_w2begb[7] ;
wire \tile_x8y2_w6beg[0] ;
wire \tile_x8y2_w6beg[10] ;
wire \tile_x8y2_w6beg[11] ;
wire \tile_x8y2_w6beg[1] ;
wire \tile_x8y2_w6beg[2] ;
wire \tile_x8y2_w6beg[3] ;
wire \tile_x8y2_w6beg[4] ;
wire \tile_x8y2_w6beg[5] ;
wire \tile_x8y2_w6beg[6] ;
wire \tile_x8y2_w6beg[7] ;
wire \tile_x8y2_w6beg[8] ;
wire \tile_x8y2_w6beg[9] ;
wire \tile_x8y2_ww4beg[0] ;
wire \tile_x8y2_ww4beg[10] ;
wire \tile_x8y2_ww4beg[11] ;
wire \tile_x8y2_ww4beg[12] ;
wire \tile_x8y2_ww4beg[13] ;
wire \tile_x8y2_ww4beg[14] ;
wire \tile_x8y2_ww4beg[15] ;
wire \tile_x8y2_ww4beg[1] ;
wire \tile_x8y2_ww4beg[2] ;
wire \tile_x8y2_ww4beg[3] ;
wire \tile_x8y2_ww4beg[4] ;
wire \tile_x8y2_ww4beg[5] ;
wire \tile_x8y2_ww4beg[6] ;
wire \tile_x8y2_ww4beg[7] ;
wire \tile_x8y2_ww4beg[8] ;
wire \tile_x8y2_ww4beg[9] ;
wire \tile_x8y3_e1beg[0] ;
wire \tile_x8y3_e1beg[1] ;
wire \tile_x8y3_e1beg[2] ;
wire \tile_x8y3_e1beg[3] ;
wire \tile_x8y3_e2beg[0] ;
wire \tile_x8y3_e2beg[1] ;
wire \tile_x8y3_e2beg[2] ;
wire \tile_x8y3_e2beg[3] ;
wire \tile_x8y3_e2beg[4] ;
wire \tile_x8y3_e2beg[5] ;
wire \tile_x8y3_e2beg[6] ;
wire \tile_x8y3_e2beg[7] ;
wire \tile_x8y3_e2begb[0] ;
wire \tile_x8y3_e2begb[1] ;
wire \tile_x8y3_e2begb[2] ;
wire \tile_x8y3_e2begb[3] ;
wire \tile_x8y3_e2begb[4] ;
wire \tile_x8y3_e2begb[5] ;
wire \tile_x8y3_e2begb[6] ;
wire \tile_x8y3_e2begb[7] ;
wire \tile_x8y3_e6beg[0] ;
wire \tile_x8y3_e6beg[10] ;
wire \tile_x8y3_e6beg[11] ;
wire \tile_x8y3_e6beg[1] ;
wire \tile_x8y3_e6beg[2] ;
wire \tile_x8y3_e6beg[3] ;
wire \tile_x8y3_e6beg[4] ;
wire \tile_x8y3_e6beg[5] ;
wire \tile_x8y3_e6beg[6] ;
wire \tile_x8y3_e6beg[7] ;
wire \tile_x8y3_e6beg[8] ;
wire \tile_x8y3_e6beg[9] ;
wire \tile_x8y3_ee4beg[0] ;
wire \tile_x8y3_ee4beg[10] ;
wire \tile_x8y3_ee4beg[11] ;
wire \tile_x8y3_ee4beg[12] ;
wire \tile_x8y3_ee4beg[13] ;
wire \tile_x8y3_ee4beg[14] ;
wire \tile_x8y3_ee4beg[15] ;
wire \tile_x8y3_ee4beg[1] ;
wire \tile_x8y3_ee4beg[2] ;
wire \tile_x8y3_ee4beg[3] ;
wire \tile_x8y3_ee4beg[4] ;
wire \tile_x8y3_ee4beg[5] ;
wire \tile_x8y3_ee4beg[6] ;
wire \tile_x8y3_ee4beg[7] ;
wire \tile_x8y3_ee4beg[8] ;
wire \tile_x8y3_ee4beg[9] ;
wire \tile_x8y3_framedata_o[0] ;
wire \tile_x8y3_framedata_o[10] ;
wire \tile_x8y3_framedata_o[11] ;
wire \tile_x8y3_framedata_o[12] ;
wire \tile_x8y3_framedata_o[13] ;
wire \tile_x8y3_framedata_o[14] ;
wire \tile_x8y3_framedata_o[15] ;
wire \tile_x8y3_framedata_o[16] ;
wire \tile_x8y3_framedata_o[17] ;
wire \tile_x8y3_framedata_o[18] ;
wire \tile_x8y3_framedata_o[19] ;
wire \tile_x8y3_framedata_o[1] ;
wire \tile_x8y3_framedata_o[20] ;
wire \tile_x8y3_framedata_o[21] ;
wire \tile_x8y3_framedata_o[22] ;
wire \tile_x8y3_framedata_o[23] ;
wire \tile_x8y3_framedata_o[24] ;
wire \tile_x8y3_framedata_o[25] ;
wire \tile_x8y3_framedata_o[26] ;
wire \tile_x8y3_framedata_o[27] ;
wire \tile_x8y3_framedata_o[28] ;
wire \tile_x8y3_framedata_o[29] ;
wire \tile_x8y3_framedata_o[2] ;
wire \tile_x8y3_framedata_o[30] ;
wire \tile_x8y3_framedata_o[31] ;
wire \tile_x8y3_framedata_o[3] ;
wire \tile_x8y3_framedata_o[4] ;
wire \tile_x8y3_framedata_o[5] ;
wire \tile_x8y3_framedata_o[6] ;
wire \tile_x8y3_framedata_o[7] ;
wire \tile_x8y3_framedata_o[8] ;
wire \tile_x8y3_framedata_o[9] ;
wire \tile_x8y3_framestrobe_o[0] ;
wire \tile_x8y3_framestrobe_o[10] ;
wire \tile_x8y3_framestrobe_o[11] ;
wire \tile_x8y3_framestrobe_o[12] ;
wire \tile_x8y3_framestrobe_o[13] ;
wire \tile_x8y3_framestrobe_o[14] ;
wire \tile_x8y3_framestrobe_o[15] ;
wire \tile_x8y3_framestrobe_o[16] ;
wire \tile_x8y3_framestrobe_o[17] ;
wire \tile_x8y3_framestrobe_o[18] ;
wire \tile_x8y3_framestrobe_o[19] ;
wire \tile_x8y3_framestrobe_o[1] ;
wire \tile_x8y3_framestrobe_o[2] ;
wire \tile_x8y3_framestrobe_o[3] ;
wire \tile_x8y3_framestrobe_o[4] ;
wire \tile_x8y3_framestrobe_o[5] ;
wire \tile_x8y3_framestrobe_o[6] ;
wire \tile_x8y3_framestrobe_o[7] ;
wire \tile_x8y3_framestrobe_o[8] ;
wire \tile_x8y3_framestrobe_o[9] ;
wire \tile_x8y3_n1beg[0] ;
wire \tile_x8y3_n1beg[1] ;
wire \tile_x8y3_n1beg[2] ;
wire \tile_x8y3_n1beg[3] ;
wire \tile_x8y3_n2beg[0] ;
wire \tile_x8y3_n2beg[1] ;
wire \tile_x8y3_n2beg[2] ;
wire \tile_x8y3_n2beg[3] ;
wire \tile_x8y3_n2beg[4] ;
wire \tile_x8y3_n2beg[5] ;
wire \tile_x8y3_n2beg[6] ;
wire \tile_x8y3_n2beg[7] ;
wire \tile_x8y3_n2begb[0] ;
wire \tile_x8y3_n2begb[1] ;
wire \tile_x8y3_n2begb[2] ;
wire \tile_x8y3_n2begb[3] ;
wire \tile_x8y3_n2begb[4] ;
wire \tile_x8y3_n2begb[5] ;
wire \tile_x8y3_n2begb[6] ;
wire \tile_x8y3_n2begb[7] ;
wire \tile_x8y3_n4beg[0] ;
wire \tile_x8y3_n4beg[10] ;
wire \tile_x8y3_n4beg[11] ;
wire \tile_x8y3_n4beg[12] ;
wire \tile_x8y3_n4beg[13] ;
wire \tile_x8y3_n4beg[14] ;
wire \tile_x8y3_n4beg[15] ;
wire \tile_x8y3_n4beg[1] ;
wire \tile_x8y3_n4beg[2] ;
wire \tile_x8y3_n4beg[3] ;
wire \tile_x8y3_n4beg[4] ;
wire \tile_x8y3_n4beg[5] ;
wire \tile_x8y3_n4beg[6] ;
wire \tile_x8y3_n4beg[7] ;
wire \tile_x8y3_n4beg[8] ;
wire \tile_x8y3_n4beg[9] ;
wire \tile_x8y3_nn4beg[0] ;
wire \tile_x8y3_nn4beg[10] ;
wire \tile_x8y3_nn4beg[11] ;
wire \tile_x8y3_nn4beg[12] ;
wire \tile_x8y3_nn4beg[13] ;
wire \tile_x8y3_nn4beg[14] ;
wire \tile_x8y3_nn4beg[15] ;
wire \tile_x8y3_nn4beg[1] ;
wire \tile_x8y3_nn4beg[2] ;
wire \tile_x8y3_nn4beg[3] ;
wire \tile_x8y3_nn4beg[4] ;
wire \tile_x8y3_nn4beg[5] ;
wire \tile_x8y3_nn4beg[6] ;
wire \tile_x8y3_nn4beg[7] ;
wire \tile_x8y3_nn4beg[8] ;
wire \tile_x8y3_nn4beg[9] ;
wire tile_x8y3_userclko;
wire \tile_x8y3_w1beg[0] ;
wire \tile_x8y3_w1beg[1] ;
wire \tile_x8y3_w1beg[2] ;
wire \tile_x8y3_w1beg[3] ;
wire \tile_x8y3_w2beg[0] ;
wire \tile_x8y3_w2beg[1] ;
wire \tile_x8y3_w2beg[2] ;
wire \tile_x8y3_w2beg[3] ;
wire \tile_x8y3_w2beg[4] ;
wire \tile_x8y3_w2beg[5] ;
wire \tile_x8y3_w2beg[6] ;
wire \tile_x8y3_w2beg[7] ;
wire \tile_x8y3_w2begb[0] ;
wire \tile_x8y3_w2begb[1] ;
wire \tile_x8y3_w2begb[2] ;
wire \tile_x8y3_w2begb[3] ;
wire \tile_x8y3_w2begb[4] ;
wire \tile_x8y3_w2begb[5] ;
wire \tile_x8y3_w2begb[6] ;
wire \tile_x8y3_w2begb[7] ;
wire \tile_x8y3_w6beg[0] ;
wire \tile_x8y3_w6beg[10] ;
wire \tile_x8y3_w6beg[11] ;
wire \tile_x8y3_w6beg[1] ;
wire \tile_x8y3_w6beg[2] ;
wire \tile_x8y3_w6beg[3] ;
wire \tile_x8y3_w6beg[4] ;
wire \tile_x8y3_w6beg[5] ;
wire \tile_x8y3_w6beg[6] ;
wire \tile_x8y3_w6beg[7] ;
wire \tile_x8y3_w6beg[8] ;
wire \tile_x8y3_w6beg[9] ;
wire \tile_x8y3_ww4beg[0] ;
wire \tile_x8y3_ww4beg[10] ;
wire \tile_x8y3_ww4beg[11] ;
wire \tile_x8y3_ww4beg[12] ;
wire \tile_x8y3_ww4beg[13] ;
wire \tile_x8y3_ww4beg[14] ;
wire \tile_x8y3_ww4beg[15] ;
wire \tile_x8y3_ww4beg[1] ;
wire \tile_x8y3_ww4beg[2] ;
wire \tile_x8y3_ww4beg[3] ;
wire \tile_x8y3_ww4beg[4] ;
wire \tile_x8y3_ww4beg[5] ;
wire \tile_x8y3_ww4beg[6] ;
wire \tile_x8y3_ww4beg[7] ;
wire \tile_x8y3_ww4beg[8] ;
wire \tile_x8y3_ww4beg[9] ;
wire \tile_x8y4_e1beg[0] ;
wire \tile_x8y4_e1beg[1] ;
wire \tile_x8y4_e1beg[2] ;
wire \tile_x8y4_e1beg[3] ;
wire \tile_x8y4_e2beg[0] ;
wire \tile_x8y4_e2beg[1] ;
wire \tile_x8y4_e2beg[2] ;
wire \tile_x8y4_e2beg[3] ;
wire \tile_x8y4_e2beg[4] ;
wire \tile_x8y4_e2beg[5] ;
wire \tile_x8y4_e2beg[6] ;
wire \tile_x8y4_e2beg[7] ;
wire \tile_x8y4_e2begb[0] ;
wire \tile_x8y4_e2begb[1] ;
wire \tile_x8y4_e2begb[2] ;
wire \tile_x8y4_e2begb[3] ;
wire \tile_x8y4_e2begb[4] ;
wire \tile_x8y4_e2begb[5] ;
wire \tile_x8y4_e2begb[6] ;
wire \tile_x8y4_e2begb[7] ;
wire \tile_x8y4_e6beg[0] ;
wire \tile_x8y4_e6beg[10] ;
wire \tile_x8y4_e6beg[11] ;
wire \tile_x8y4_e6beg[1] ;
wire \tile_x8y4_e6beg[2] ;
wire \tile_x8y4_e6beg[3] ;
wire \tile_x8y4_e6beg[4] ;
wire \tile_x8y4_e6beg[5] ;
wire \tile_x8y4_e6beg[6] ;
wire \tile_x8y4_e6beg[7] ;
wire \tile_x8y4_e6beg[8] ;
wire \tile_x8y4_e6beg[9] ;
wire \tile_x8y4_ee4beg[0] ;
wire \tile_x8y4_ee4beg[10] ;
wire \tile_x8y4_ee4beg[11] ;
wire \tile_x8y4_ee4beg[12] ;
wire \tile_x8y4_ee4beg[13] ;
wire \tile_x8y4_ee4beg[14] ;
wire \tile_x8y4_ee4beg[15] ;
wire \tile_x8y4_ee4beg[1] ;
wire \tile_x8y4_ee4beg[2] ;
wire \tile_x8y4_ee4beg[3] ;
wire \tile_x8y4_ee4beg[4] ;
wire \tile_x8y4_ee4beg[5] ;
wire \tile_x8y4_ee4beg[6] ;
wire \tile_x8y4_ee4beg[7] ;
wire \tile_x8y4_ee4beg[8] ;
wire \tile_x8y4_ee4beg[9] ;
wire \tile_x8y4_framedata_o[0] ;
wire \tile_x8y4_framedata_o[10] ;
wire \tile_x8y4_framedata_o[11] ;
wire \tile_x8y4_framedata_o[12] ;
wire \tile_x8y4_framedata_o[13] ;
wire \tile_x8y4_framedata_o[14] ;
wire \tile_x8y4_framedata_o[15] ;
wire \tile_x8y4_framedata_o[16] ;
wire \tile_x8y4_framedata_o[17] ;
wire \tile_x8y4_framedata_o[18] ;
wire \tile_x8y4_framedata_o[19] ;
wire \tile_x8y4_framedata_o[1] ;
wire \tile_x8y4_framedata_o[20] ;
wire \tile_x8y4_framedata_o[21] ;
wire \tile_x8y4_framedata_o[22] ;
wire \tile_x8y4_framedata_o[23] ;
wire \tile_x8y4_framedata_o[24] ;
wire \tile_x8y4_framedata_o[25] ;
wire \tile_x8y4_framedata_o[26] ;
wire \tile_x8y4_framedata_o[27] ;
wire \tile_x8y4_framedata_o[28] ;
wire \tile_x8y4_framedata_o[29] ;
wire \tile_x8y4_framedata_o[2] ;
wire \tile_x8y4_framedata_o[30] ;
wire \tile_x8y4_framedata_o[31] ;
wire \tile_x8y4_framedata_o[3] ;
wire \tile_x8y4_framedata_o[4] ;
wire \tile_x8y4_framedata_o[5] ;
wire \tile_x8y4_framedata_o[6] ;
wire \tile_x8y4_framedata_o[7] ;
wire \tile_x8y4_framedata_o[8] ;
wire \tile_x8y4_framedata_o[9] ;
wire \tile_x8y4_s1beg[0] ;
wire \tile_x8y4_s1beg[1] ;
wire \tile_x8y4_s1beg[2] ;
wire \tile_x8y4_s1beg[3] ;
wire \tile_x8y4_s2beg[0] ;
wire \tile_x8y4_s2beg[1] ;
wire \tile_x8y4_s2beg[2] ;
wire \tile_x8y4_s2beg[3] ;
wire \tile_x8y4_s2beg[4] ;
wire \tile_x8y4_s2beg[5] ;
wire \tile_x8y4_s2beg[6] ;
wire \tile_x8y4_s2beg[7] ;
wire \tile_x8y4_s2begb[0] ;
wire \tile_x8y4_s2begb[1] ;
wire \tile_x8y4_s2begb[2] ;
wire \tile_x8y4_s2begb[3] ;
wire \tile_x8y4_s2begb[4] ;
wire \tile_x8y4_s2begb[5] ;
wire \tile_x8y4_s2begb[6] ;
wire \tile_x8y4_s2begb[7] ;
wire \tile_x8y4_s4beg[0] ;
wire \tile_x8y4_s4beg[10] ;
wire \tile_x8y4_s4beg[11] ;
wire \tile_x8y4_s4beg[12] ;
wire \tile_x8y4_s4beg[13] ;
wire \tile_x8y4_s4beg[14] ;
wire \tile_x8y4_s4beg[15] ;
wire \tile_x8y4_s4beg[1] ;
wire \tile_x8y4_s4beg[2] ;
wire \tile_x8y4_s4beg[3] ;
wire \tile_x8y4_s4beg[4] ;
wire \tile_x8y4_s4beg[5] ;
wire \tile_x8y4_s4beg[6] ;
wire \tile_x8y4_s4beg[7] ;
wire \tile_x8y4_s4beg[8] ;
wire \tile_x8y4_s4beg[9] ;
wire \tile_x8y4_ss4beg[0] ;
wire \tile_x8y4_ss4beg[10] ;
wire \tile_x8y4_ss4beg[11] ;
wire \tile_x8y4_ss4beg[12] ;
wire \tile_x8y4_ss4beg[13] ;
wire \tile_x8y4_ss4beg[14] ;
wire \tile_x8y4_ss4beg[15] ;
wire \tile_x8y4_ss4beg[1] ;
wire \tile_x8y4_ss4beg[2] ;
wire \tile_x8y4_ss4beg[3] ;
wire \tile_x8y4_ss4beg[4] ;
wire \tile_x8y4_ss4beg[5] ;
wire \tile_x8y4_ss4beg[6] ;
wire \tile_x8y4_ss4beg[7] ;
wire \tile_x8y4_ss4beg[8] ;
wire \tile_x8y4_ss4beg[9] ;
wire \tile_x8y4_w1beg[0] ;
wire \tile_x8y4_w1beg[1] ;
wire \tile_x8y4_w1beg[2] ;
wire \tile_x8y4_w1beg[3] ;
wire \tile_x8y4_w2beg[0] ;
wire \tile_x8y4_w2beg[1] ;
wire \tile_x8y4_w2beg[2] ;
wire \tile_x8y4_w2beg[3] ;
wire \tile_x8y4_w2beg[4] ;
wire \tile_x8y4_w2beg[5] ;
wire \tile_x8y4_w2beg[6] ;
wire \tile_x8y4_w2beg[7] ;
wire \tile_x8y4_w2begb[0] ;
wire \tile_x8y4_w2begb[1] ;
wire \tile_x8y4_w2begb[2] ;
wire \tile_x8y4_w2begb[3] ;
wire \tile_x8y4_w2begb[4] ;
wire \tile_x8y4_w2begb[5] ;
wire \tile_x8y4_w2begb[6] ;
wire \tile_x8y4_w2begb[7] ;
wire \tile_x8y4_w6beg[0] ;
wire \tile_x8y4_w6beg[10] ;
wire \tile_x8y4_w6beg[11] ;
wire \tile_x8y4_w6beg[1] ;
wire \tile_x8y4_w6beg[2] ;
wire \tile_x8y4_w6beg[3] ;
wire \tile_x8y4_w6beg[4] ;
wire \tile_x8y4_w6beg[5] ;
wire \tile_x8y4_w6beg[6] ;
wire \tile_x8y4_w6beg[7] ;
wire \tile_x8y4_w6beg[8] ;
wire \tile_x8y4_w6beg[9] ;
wire \tile_x8y4_ww4beg[0] ;
wire \tile_x8y4_ww4beg[10] ;
wire \tile_x8y4_ww4beg[11] ;
wire \tile_x8y4_ww4beg[12] ;
wire \tile_x8y4_ww4beg[13] ;
wire \tile_x8y4_ww4beg[14] ;
wire \tile_x8y4_ww4beg[15] ;
wire \tile_x8y4_ww4beg[1] ;
wire \tile_x8y4_ww4beg[2] ;
wire \tile_x8y4_ww4beg[3] ;
wire \tile_x8y4_ww4beg[4] ;
wire \tile_x8y4_ww4beg[5] ;
wire \tile_x8y4_ww4beg[6] ;
wire \tile_x8y4_ww4beg[7] ;
wire \tile_x8y4_ww4beg[8] ;
wire \tile_x8y4_ww4beg[9] ;
wire \tile_x8y5_e1beg[0] ;
wire \tile_x8y5_e1beg[1] ;
wire \tile_x8y5_e1beg[2] ;
wire \tile_x8y5_e1beg[3] ;
wire \tile_x8y5_e2beg[0] ;
wire \tile_x8y5_e2beg[1] ;
wire \tile_x8y5_e2beg[2] ;
wire \tile_x8y5_e2beg[3] ;
wire \tile_x8y5_e2beg[4] ;
wire \tile_x8y5_e2beg[5] ;
wire \tile_x8y5_e2beg[6] ;
wire \tile_x8y5_e2beg[7] ;
wire \tile_x8y5_e2begb[0] ;
wire \tile_x8y5_e2begb[1] ;
wire \tile_x8y5_e2begb[2] ;
wire \tile_x8y5_e2begb[3] ;
wire \tile_x8y5_e2begb[4] ;
wire \tile_x8y5_e2begb[5] ;
wire \tile_x8y5_e2begb[6] ;
wire \tile_x8y5_e2begb[7] ;
wire \tile_x8y5_e6beg[0] ;
wire \tile_x8y5_e6beg[10] ;
wire \tile_x8y5_e6beg[11] ;
wire \tile_x8y5_e6beg[1] ;
wire \tile_x8y5_e6beg[2] ;
wire \tile_x8y5_e6beg[3] ;
wire \tile_x8y5_e6beg[4] ;
wire \tile_x8y5_e6beg[5] ;
wire \tile_x8y5_e6beg[6] ;
wire \tile_x8y5_e6beg[7] ;
wire \tile_x8y5_e6beg[8] ;
wire \tile_x8y5_e6beg[9] ;
wire \tile_x8y5_ee4beg[0] ;
wire \tile_x8y5_ee4beg[10] ;
wire \tile_x8y5_ee4beg[11] ;
wire \tile_x8y5_ee4beg[12] ;
wire \tile_x8y5_ee4beg[13] ;
wire \tile_x8y5_ee4beg[14] ;
wire \tile_x8y5_ee4beg[15] ;
wire \tile_x8y5_ee4beg[1] ;
wire \tile_x8y5_ee4beg[2] ;
wire \tile_x8y5_ee4beg[3] ;
wire \tile_x8y5_ee4beg[4] ;
wire \tile_x8y5_ee4beg[5] ;
wire \tile_x8y5_ee4beg[6] ;
wire \tile_x8y5_ee4beg[7] ;
wire \tile_x8y5_ee4beg[8] ;
wire \tile_x8y5_ee4beg[9] ;
wire \tile_x8y5_framedata_o[0] ;
wire \tile_x8y5_framedata_o[10] ;
wire \tile_x8y5_framedata_o[11] ;
wire \tile_x8y5_framedata_o[12] ;
wire \tile_x8y5_framedata_o[13] ;
wire \tile_x8y5_framedata_o[14] ;
wire \tile_x8y5_framedata_o[15] ;
wire \tile_x8y5_framedata_o[16] ;
wire \tile_x8y5_framedata_o[17] ;
wire \tile_x8y5_framedata_o[18] ;
wire \tile_x8y5_framedata_o[19] ;
wire \tile_x8y5_framedata_o[1] ;
wire \tile_x8y5_framedata_o[20] ;
wire \tile_x8y5_framedata_o[21] ;
wire \tile_x8y5_framedata_o[22] ;
wire \tile_x8y5_framedata_o[23] ;
wire \tile_x8y5_framedata_o[24] ;
wire \tile_x8y5_framedata_o[25] ;
wire \tile_x8y5_framedata_o[26] ;
wire \tile_x8y5_framedata_o[27] ;
wire \tile_x8y5_framedata_o[28] ;
wire \tile_x8y5_framedata_o[29] ;
wire \tile_x8y5_framedata_o[2] ;
wire \tile_x8y5_framedata_o[30] ;
wire \tile_x8y5_framedata_o[31] ;
wire \tile_x8y5_framedata_o[3] ;
wire \tile_x8y5_framedata_o[4] ;
wire \tile_x8y5_framedata_o[5] ;
wire \tile_x8y5_framedata_o[6] ;
wire \tile_x8y5_framedata_o[7] ;
wire \tile_x8y5_framedata_o[8] ;
wire \tile_x8y5_framedata_o[9] ;
wire \tile_x8y5_framestrobe_o[0] ;
wire \tile_x8y5_framestrobe_o[10] ;
wire \tile_x8y5_framestrobe_o[11] ;
wire \tile_x8y5_framestrobe_o[12] ;
wire \tile_x8y5_framestrobe_o[13] ;
wire \tile_x8y5_framestrobe_o[14] ;
wire \tile_x8y5_framestrobe_o[15] ;
wire \tile_x8y5_framestrobe_o[16] ;
wire \tile_x8y5_framestrobe_o[17] ;
wire \tile_x8y5_framestrobe_o[18] ;
wire \tile_x8y5_framestrobe_o[19] ;
wire \tile_x8y5_framestrobe_o[1] ;
wire \tile_x8y5_framestrobe_o[2] ;
wire \tile_x8y5_framestrobe_o[3] ;
wire \tile_x8y5_framestrobe_o[4] ;
wire \tile_x8y5_framestrobe_o[5] ;
wire \tile_x8y5_framestrobe_o[6] ;
wire \tile_x8y5_framestrobe_o[7] ;
wire \tile_x8y5_framestrobe_o[8] ;
wire \tile_x8y5_framestrobe_o[9] ;
wire \tile_x8y5_n1beg[0] ;
wire \tile_x8y5_n1beg[1] ;
wire \tile_x8y5_n1beg[2] ;
wire \tile_x8y5_n1beg[3] ;
wire \tile_x8y5_n2beg[0] ;
wire \tile_x8y5_n2beg[1] ;
wire \tile_x8y5_n2beg[2] ;
wire \tile_x8y5_n2beg[3] ;
wire \tile_x8y5_n2beg[4] ;
wire \tile_x8y5_n2beg[5] ;
wire \tile_x8y5_n2beg[6] ;
wire \tile_x8y5_n2beg[7] ;
wire \tile_x8y5_n2begb[0] ;
wire \tile_x8y5_n2begb[1] ;
wire \tile_x8y5_n2begb[2] ;
wire \tile_x8y5_n2begb[3] ;
wire \tile_x8y5_n2begb[4] ;
wire \tile_x8y5_n2begb[5] ;
wire \tile_x8y5_n2begb[6] ;
wire \tile_x8y5_n2begb[7] ;
wire \tile_x8y5_n4beg[0] ;
wire \tile_x8y5_n4beg[10] ;
wire \tile_x8y5_n4beg[11] ;
wire \tile_x8y5_n4beg[12] ;
wire \tile_x8y5_n4beg[13] ;
wire \tile_x8y5_n4beg[14] ;
wire \tile_x8y5_n4beg[15] ;
wire \tile_x8y5_n4beg[1] ;
wire \tile_x8y5_n4beg[2] ;
wire \tile_x8y5_n4beg[3] ;
wire \tile_x8y5_n4beg[4] ;
wire \tile_x8y5_n4beg[5] ;
wire \tile_x8y5_n4beg[6] ;
wire \tile_x8y5_n4beg[7] ;
wire \tile_x8y5_n4beg[8] ;
wire \tile_x8y5_n4beg[9] ;
wire \tile_x8y5_nn4beg[0] ;
wire \tile_x8y5_nn4beg[10] ;
wire \tile_x8y5_nn4beg[11] ;
wire \tile_x8y5_nn4beg[12] ;
wire \tile_x8y5_nn4beg[13] ;
wire \tile_x8y5_nn4beg[14] ;
wire \tile_x8y5_nn4beg[15] ;
wire \tile_x8y5_nn4beg[1] ;
wire \tile_x8y5_nn4beg[2] ;
wire \tile_x8y5_nn4beg[3] ;
wire \tile_x8y5_nn4beg[4] ;
wire \tile_x8y5_nn4beg[5] ;
wire \tile_x8y5_nn4beg[6] ;
wire \tile_x8y5_nn4beg[7] ;
wire \tile_x8y5_nn4beg[8] ;
wire \tile_x8y5_nn4beg[9] ;
wire tile_x8y5_userclko;
wire \tile_x8y5_w1beg[0] ;
wire \tile_x8y5_w1beg[1] ;
wire \tile_x8y5_w1beg[2] ;
wire \tile_x8y5_w1beg[3] ;
wire \tile_x8y5_w2beg[0] ;
wire \tile_x8y5_w2beg[1] ;
wire \tile_x8y5_w2beg[2] ;
wire \tile_x8y5_w2beg[3] ;
wire \tile_x8y5_w2beg[4] ;
wire \tile_x8y5_w2beg[5] ;
wire \tile_x8y5_w2beg[6] ;
wire \tile_x8y5_w2beg[7] ;
wire \tile_x8y5_w2begb[0] ;
wire \tile_x8y5_w2begb[1] ;
wire \tile_x8y5_w2begb[2] ;
wire \tile_x8y5_w2begb[3] ;
wire \tile_x8y5_w2begb[4] ;
wire \tile_x8y5_w2begb[5] ;
wire \tile_x8y5_w2begb[6] ;
wire \tile_x8y5_w2begb[7] ;
wire \tile_x8y5_w6beg[0] ;
wire \tile_x8y5_w6beg[10] ;
wire \tile_x8y5_w6beg[11] ;
wire \tile_x8y5_w6beg[1] ;
wire \tile_x8y5_w6beg[2] ;
wire \tile_x8y5_w6beg[3] ;
wire \tile_x8y5_w6beg[4] ;
wire \tile_x8y5_w6beg[5] ;
wire \tile_x8y5_w6beg[6] ;
wire \tile_x8y5_w6beg[7] ;
wire \tile_x8y5_w6beg[8] ;
wire \tile_x8y5_w6beg[9] ;
wire \tile_x8y5_ww4beg[0] ;
wire \tile_x8y5_ww4beg[10] ;
wire \tile_x8y5_ww4beg[11] ;
wire \tile_x8y5_ww4beg[12] ;
wire \tile_x8y5_ww4beg[13] ;
wire \tile_x8y5_ww4beg[14] ;
wire \tile_x8y5_ww4beg[15] ;
wire \tile_x8y5_ww4beg[1] ;
wire \tile_x8y5_ww4beg[2] ;
wire \tile_x8y5_ww4beg[3] ;
wire \tile_x8y5_ww4beg[4] ;
wire \tile_x8y5_ww4beg[5] ;
wire \tile_x8y5_ww4beg[6] ;
wire \tile_x8y5_ww4beg[7] ;
wire \tile_x8y5_ww4beg[8] ;
wire \tile_x8y5_ww4beg[9] ;
wire \tile_x8y6_e1beg[0] ;
wire \tile_x8y6_e1beg[1] ;
wire \tile_x8y6_e1beg[2] ;
wire \tile_x8y6_e1beg[3] ;
wire \tile_x8y6_e2beg[0] ;
wire \tile_x8y6_e2beg[1] ;
wire \tile_x8y6_e2beg[2] ;
wire \tile_x8y6_e2beg[3] ;
wire \tile_x8y6_e2beg[4] ;
wire \tile_x8y6_e2beg[5] ;
wire \tile_x8y6_e2beg[6] ;
wire \tile_x8y6_e2beg[7] ;
wire \tile_x8y6_e2begb[0] ;
wire \tile_x8y6_e2begb[1] ;
wire \tile_x8y6_e2begb[2] ;
wire \tile_x8y6_e2begb[3] ;
wire \tile_x8y6_e2begb[4] ;
wire \tile_x8y6_e2begb[5] ;
wire \tile_x8y6_e2begb[6] ;
wire \tile_x8y6_e2begb[7] ;
wire \tile_x8y6_e6beg[0] ;
wire \tile_x8y6_e6beg[10] ;
wire \tile_x8y6_e6beg[11] ;
wire \tile_x8y6_e6beg[1] ;
wire \tile_x8y6_e6beg[2] ;
wire \tile_x8y6_e6beg[3] ;
wire \tile_x8y6_e6beg[4] ;
wire \tile_x8y6_e6beg[5] ;
wire \tile_x8y6_e6beg[6] ;
wire \tile_x8y6_e6beg[7] ;
wire \tile_x8y6_e6beg[8] ;
wire \tile_x8y6_e6beg[9] ;
wire \tile_x8y6_ee4beg[0] ;
wire \tile_x8y6_ee4beg[10] ;
wire \tile_x8y6_ee4beg[11] ;
wire \tile_x8y6_ee4beg[12] ;
wire \tile_x8y6_ee4beg[13] ;
wire \tile_x8y6_ee4beg[14] ;
wire \tile_x8y6_ee4beg[15] ;
wire \tile_x8y6_ee4beg[1] ;
wire \tile_x8y6_ee4beg[2] ;
wire \tile_x8y6_ee4beg[3] ;
wire \tile_x8y6_ee4beg[4] ;
wire \tile_x8y6_ee4beg[5] ;
wire \tile_x8y6_ee4beg[6] ;
wire \tile_x8y6_ee4beg[7] ;
wire \tile_x8y6_ee4beg[8] ;
wire \tile_x8y6_ee4beg[9] ;
wire \tile_x8y6_framedata_o[0] ;
wire \tile_x8y6_framedata_o[10] ;
wire \tile_x8y6_framedata_o[11] ;
wire \tile_x8y6_framedata_o[12] ;
wire \tile_x8y6_framedata_o[13] ;
wire \tile_x8y6_framedata_o[14] ;
wire \tile_x8y6_framedata_o[15] ;
wire \tile_x8y6_framedata_o[16] ;
wire \tile_x8y6_framedata_o[17] ;
wire \tile_x8y6_framedata_o[18] ;
wire \tile_x8y6_framedata_o[19] ;
wire \tile_x8y6_framedata_o[1] ;
wire \tile_x8y6_framedata_o[20] ;
wire \tile_x8y6_framedata_o[21] ;
wire \tile_x8y6_framedata_o[22] ;
wire \tile_x8y6_framedata_o[23] ;
wire \tile_x8y6_framedata_o[24] ;
wire \tile_x8y6_framedata_o[25] ;
wire \tile_x8y6_framedata_o[26] ;
wire \tile_x8y6_framedata_o[27] ;
wire \tile_x8y6_framedata_o[28] ;
wire \tile_x8y6_framedata_o[29] ;
wire \tile_x8y6_framedata_o[2] ;
wire \tile_x8y6_framedata_o[30] ;
wire \tile_x8y6_framedata_o[31] ;
wire \tile_x8y6_framedata_o[3] ;
wire \tile_x8y6_framedata_o[4] ;
wire \tile_x8y6_framedata_o[5] ;
wire \tile_x8y6_framedata_o[6] ;
wire \tile_x8y6_framedata_o[7] ;
wire \tile_x8y6_framedata_o[8] ;
wire \tile_x8y6_framedata_o[9] ;
wire \tile_x8y6_s1beg[0] ;
wire \tile_x8y6_s1beg[1] ;
wire \tile_x8y6_s1beg[2] ;
wire \tile_x8y6_s1beg[3] ;
wire \tile_x8y6_s2beg[0] ;
wire \tile_x8y6_s2beg[1] ;
wire \tile_x8y6_s2beg[2] ;
wire \tile_x8y6_s2beg[3] ;
wire \tile_x8y6_s2beg[4] ;
wire \tile_x8y6_s2beg[5] ;
wire \tile_x8y6_s2beg[6] ;
wire \tile_x8y6_s2beg[7] ;
wire \tile_x8y6_s2begb[0] ;
wire \tile_x8y6_s2begb[1] ;
wire \tile_x8y6_s2begb[2] ;
wire \tile_x8y6_s2begb[3] ;
wire \tile_x8y6_s2begb[4] ;
wire \tile_x8y6_s2begb[5] ;
wire \tile_x8y6_s2begb[6] ;
wire \tile_x8y6_s2begb[7] ;
wire \tile_x8y6_s4beg[0] ;
wire \tile_x8y6_s4beg[10] ;
wire \tile_x8y6_s4beg[11] ;
wire \tile_x8y6_s4beg[12] ;
wire \tile_x8y6_s4beg[13] ;
wire \tile_x8y6_s4beg[14] ;
wire \tile_x8y6_s4beg[15] ;
wire \tile_x8y6_s4beg[1] ;
wire \tile_x8y6_s4beg[2] ;
wire \tile_x8y6_s4beg[3] ;
wire \tile_x8y6_s4beg[4] ;
wire \tile_x8y6_s4beg[5] ;
wire \tile_x8y6_s4beg[6] ;
wire \tile_x8y6_s4beg[7] ;
wire \tile_x8y6_s4beg[8] ;
wire \tile_x8y6_s4beg[9] ;
wire \tile_x8y6_ss4beg[0] ;
wire \tile_x8y6_ss4beg[10] ;
wire \tile_x8y6_ss4beg[11] ;
wire \tile_x8y6_ss4beg[12] ;
wire \tile_x8y6_ss4beg[13] ;
wire \tile_x8y6_ss4beg[14] ;
wire \tile_x8y6_ss4beg[15] ;
wire \tile_x8y6_ss4beg[1] ;
wire \tile_x8y6_ss4beg[2] ;
wire \tile_x8y6_ss4beg[3] ;
wire \tile_x8y6_ss4beg[4] ;
wire \tile_x8y6_ss4beg[5] ;
wire \tile_x8y6_ss4beg[6] ;
wire \tile_x8y6_ss4beg[7] ;
wire \tile_x8y6_ss4beg[8] ;
wire \tile_x8y6_ss4beg[9] ;
wire \tile_x8y6_w1beg[0] ;
wire \tile_x8y6_w1beg[1] ;
wire \tile_x8y6_w1beg[2] ;
wire \tile_x8y6_w1beg[3] ;
wire \tile_x8y6_w2beg[0] ;
wire \tile_x8y6_w2beg[1] ;
wire \tile_x8y6_w2beg[2] ;
wire \tile_x8y6_w2beg[3] ;
wire \tile_x8y6_w2beg[4] ;
wire \tile_x8y6_w2beg[5] ;
wire \tile_x8y6_w2beg[6] ;
wire \tile_x8y6_w2beg[7] ;
wire \tile_x8y6_w2begb[0] ;
wire \tile_x8y6_w2begb[1] ;
wire \tile_x8y6_w2begb[2] ;
wire \tile_x8y6_w2begb[3] ;
wire \tile_x8y6_w2begb[4] ;
wire \tile_x8y6_w2begb[5] ;
wire \tile_x8y6_w2begb[6] ;
wire \tile_x8y6_w2begb[7] ;
wire \tile_x8y6_w6beg[0] ;
wire \tile_x8y6_w6beg[10] ;
wire \tile_x8y6_w6beg[11] ;
wire \tile_x8y6_w6beg[1] ;
wire \tile_x8y6_w6beg[2] ;
wire \tile_x8y6_w6beg[3] ;
wire \tile_x8y6_w6beg[4] ;
wire \tile_x8y6_w6beg[5] ;
wire \tile_x8y6_w6beg[6] ;
wire \tile_x8y6_w6beg[7] ;
wire \tile_x8y6_w6beg[8] ;
wire \tile_x8y6_w6beg[9] ;
wire \tile_x8y6_ww4beg[0] ;
wire \tile_x8y6_ww4beg[10] ;
wire \tile_x8y6_ww4beg[11] ;
wire \tile_x8y6_ww4beg[12] ;
wire \tile_x8y6_ww4beg[13] ;
wire \tile_x8y6_ww4beg[14] ;
wire \tile_x8y6_ww4beg[15] ;
wire \tile_x8y6_ww4beg[1] ;
wire \tile_x8y6_ww4beg[2] ;
wire \tile_x8y6_ww4beg[3] ;
wire \tile_x8y6_ww4beg[4] ;
wire \tile_x8y6_ww4beg[5] ;
wire \tile_x8y6_ww4beg[6] ;
wire \tile_x8y6_ww4beg[7] ;
wire \tile_x8y6_ww4beg[8] ;
wire \tile_x8y6_ww4beg[9] ;
wire \tile_x8y7_e1beg[0] ;
wire \tile_x8y7_e1beg[1] ;
wire \tile_x8y7_e1beg[2] ;
wire \tile_x8y7_e1beg[3] ;
wire \tile_x8y7_e2beg[0] ;
wire \tile_x8y7_e2beg[1] ;
wire \tile_x8y7_e2beg[2] ;
wire \tile_x8y7_e2beg[3] ;
wire \tile_x8y7_e2beg[4] ;
wire \tile_x8y7_e2beg[5] ;
wire \tile_x8y7_e2beg[6] ;
wire \tile_x8y7_e2beg[7] ;
wire \tile_x8y7_e2begb[0] ;
wire \tile_x8y7_e2begb[1] ;
wire \tile_x8y7_e2begb[2] ;
wire \tile_x8y7_e2begb[3] ;
wire \tile_x8y7_e2begb[4] ;
wire \tile_x8y7_e2begb[5] ;
wire \tile_x8y7_e2begb[6] ;
wire \tile_x8y7_e2begb[7] ;
wire \tile_x8y7_e6beg[0] ;
wire \tile_x8y7_e6beg[10] ;
wire \tile_x8y7_e6beg[11] ;
wire \tile_x8y7_e6beg[1] ;
wire \tile_x8y7_e6beg[2] ;
wire \tile_x8y7_e6beg[3] ;
wire \tile_x8y7_e6beg[4] ;
wire \tile_x8y7_e6beg[5] ;
wire \tile_x8y7_e6beg[6] ;
wire \tile_x8y7_e6beg[7] ;
wire \tile_x8y7_e6beg[8] ;
wire \tile_x8y7_e6beg[9] ;
wire \tile_x8y7_ee4beg[0] ;
wire \tile_x8y7_ee4beg[10] ;
wire \tile_x8y7_ee4beg[11] ;
wire \tile_x8y7_ee4beg[12] ;
wire \tile_x8y7_ee4beg[13] ;
wire \tile_x8y7_ee4beg[14] ;
wire \tile_x8y7_ee4beg[15] ;
wire \tile_x8y7_ee4beg[1] ;
wire \tile_x8y7_ee4beg[2] ;
wire \tile_x8y7_ee4beg[3] ;
wire \tile_x8y7_ee4beg[4] ;
wire \tile_x8y7_ee4beg[5] ;
wire \tile_x8y7_ee4beg[6] ;
wire \tile_x8y7_ee4beg[7] ;
wire \tile_x8y7_ee4beg[8] ;
wire \tile_x8y7_ee4beg[9] ;
wire \tile_x8y7_framedata_o[0] ;
wire \tile_x8y7_framedata_o[10] ;
wire \tile_x8y7_framedata_o[11] ;
wire \tile_x8y7_framedata_o[12] ;
wire \tile_x8y7_framedata_o[13] ;
wire \tile_x8y7_framedata_o[14] ;
wire \tile_x8y7_framedata_o[15] ;
wire \tile_x8y7_framedata_o[16] ;
wire \tile_x8y7_framedata_o[17] ;
wire \tile_x8y7_framedata_o[18] ;
wire \tile_x8y7_framedata_o[19] ;
wire \tile_x8y7_framedata_o[1] ;
wire \tile_x8y7_framedata_o[20] ;
wire \tile_x8y7_framedata_o[21] ;
wire \tile_x8y7_framedata_o[22] ;
wire \tile_x8y7_framedata_o[23] ;
wire \tile_x8y7_framedata_o[24] ;
wire \tile_x8y7_framedata_o[25] ;
wire \tile_x8y7_framedata_o[26] ;
wire \tile_x8y7_framedata_o[27] ;
wire \tile_x8y7_framedata_o[28] ;
wire \tile_x8y7_framedata_o[29] ;
wire \tile_x8y7_framedata_o[2] ;
wire \tile_x8y7_framedata_o[30] ;
wire \tile_x8y7_framedata_o[31] ;
wire \tile_x8y7_framedata_o[3] ;
wire \tile_x8y7_framedata_o[4] ;
wire \tile_x8y7_framedata_o[5] ;
wire \tile_x8y7_framedata_o[6] ;
wire \tile_x8y7_framedata_o[7] ;
wire \tile_x8y7_framedata_o[8] ;
wire \tile_x8y7_framedata_o[9] ;
wire \tile_x8y7_framestrobe_o[0] ;
wire \tile_x8y7_framestrobe_o[10] ;
wire \tile_x8y7_framestrobe_o[11] ;
wire \tile_x8y7_framestrobe_o[12] ;
wire \tile_x8y7_framestrobe_o[13] ;
wire \tile_x8y7_framestrobe_o[14] ;
wire \tile_x8y7_framestrobe_o[15] ;
wire \tile_x8y7_framestrobe_o[16] ;
wire \tile_x8y7_framestrobe_o[17] ;
wire \tile_x8y7_framestrobe_o[18] ;
wire \tile_x8y7_framestrobe_o[19] ;
wire \tile_x8y7_framestrobe_o[1] ;
wire \tile_x8y7_framestrobe_o[2] ;
wire \tile_x8y7_framestrobe_o[3] ;
wire \tile_x8y7_framestrobe_o[4] ;
wire \tile_x8y7_framestrobe_o[5] ;
wire \tile_x8y7_framestrobe_o[6] ;
wire \tile_x8y7_framestrobe_o[7] ;
wire \tile_x8y7_framestrobe_o[8] ;
wire \tile_x8y7_framestrobe_o[9] ;
wire \tile_x8y7_n1beg[0] ;
wire \tile_x8y7_n1beg[1] ;
wire \tile_x8y7_n1beg[2] ;
wire \tile_x8y7_n1beg[3] ;
wire \tile_x8y7_n2beg[0] ;
wire \tile_x8y7_n2beg[1] ;
wire \tile_x8y7_n2beg[2] ;
wire \tile_x8y7_n2beg[3] ;
wire \tile_x8y7_n2beg[4] ;
wire \tile_x8y7_n2beg[5] ;
wire \tile_x8y7_n2beg[6] ;
wire \tile_x8y7_n2beg[7] ;
wire \tile_x8y7_n2begb[0] ;
wire \tile_x8y7_n2begb[1] ;
wire \tile_x8y7_n2begb[2] ;
wire \tile_x8y7_n2begb[3] ;
wire \tile_x8y7_n2begb[4] ;
wire \tile_x8y7_n2begb[5] ;
wire \tile_x8y7_n2begb[6] ;
wire \tile_x8y7_n2begb[7] ;
wire \tile_x8y7_n4beg[0] ;
wire \tile_x8y7_n4beg[10] ;
wire \tile_x8y7_n4beg[11] ;
wire \tile_x8y7_n4beg[12] ;
wire \tile_x8y7_n4beg[13] ;
wire \tile_x8y7_n4beg[14] ;
wire \tile_x8y7_n4beg[15] ;
wire \tile_x8y7_n4beg[1] ;
wire \tile_x8y7_n4beg[2] ;
wire \tile_x8y7_n4beg[3] ;
wire \tile_x8y7_n4beg[4] ;
wire \tile_x8y7_n4beg[5] ;
wire \tile_x8y7_n4beg[6] ;
wire \tile_x8y7_n4beg[7] ;
wire \tile_x8y7_n4beg[8] ;
wire \tile_x8y7_n4beg[9] ;
wire \tile_x8y7_nn4beg[0] ;
wire \tile_x8y7_nn4beg[10] ;
wire \tile_x8y7_nn4beg[11] ;
wire \tile_x8y7_nn4beg[12] ;
wire \tile_x8y7_nn4beg[13] ;
wire \tile_x8y7_nn4beg[14] ;
wire \tile_x8y7_nn4beg[15] ;
wire \tile_x8y7_nn4beg[1] ;
wire \tile_x8y7_nn4beg[2] ;
wire \tile_x8y7_nn4beg[3] ;
wire \tile_x8y7_nn4beg[4] ;
wire \tile_x8y7_nn4beg[5] ;
wire \tile_x8y7_nn4beg[6] ;
wire \tile_x8y7_nn4beg[7] ;
wire \tile_x8y7_nn4beg[8] ;
wire \tile_x8y7_nn4beg[9] ;
wire tile_x8y7_userclko;
wire \tile_x8y7_w1beg[0] ;
wire \tile_x8y7_w1beg[1] ;
wire \tile_x8y7_w1beg[2] ;
wire \tile_x8y7_w1beg[3] ;
wire \tile_x8y7_w2beg[0] ;
wire \tile_x8y7_w2beg[1] ;
wire \tile_x8y7_w2beg[2] ;
wire \tile_x8y7_w2beg[3] ;
wire \tile_x8y7_w2beg[4] ;
wire \tile_x8y7_w2beg[5] ;
wire \tile_x8y7_w2beg[6] ;
wire \tile_x8y7_w2beg[7] ;
wire \tile_x8y7_w2begb[0] ;
wire \tile_x8y7_w2begb[1] ;
wire \tile_x8y7_w2begb[2] ;
wire \tile_x8y7_w2begb[3] ;
wire \tile_x8y7_w2begb[4] ;
wire \tile_x8y7_w2begb[5] ;
wire \tile_x8y7_w2begb[6] ;
wire \tile_x8y7_w2begb[7] ;
wire \tile_x8y7_w6beg[0] ;
wire \tile_x8y7_w6beg[10] ;
wire \tile_x8y7_w6beg[11] ;
wire \tile_x8y7_w6beg[1] ;
wire \tile_x8y7_w6beg[2] ;
wire \tile_x8y7_w6beg[3] ;
wire \tile_x8y7_w6beg[4] ;
wire \tile_x8y7_w6beg[5] ;
wire \tile_x8y7_w6beg[6] ;
wire \tile_x8y7_w6beg[7] ;
wire \tile_x8y7_w6beg[8] ;
wire \tile_x8y7_w6beg[9] ;
wire \tile_x8y7_ww4beg[0] ;
wire \tile_x8y7_ww4beg[10] ;
wire \tile_x8y7_ww4beg[11] ;
wire \tile_x8y7_ww4beg[12] ;
wire \tile_x8y7_ww4beg[13] ;
wire \tile_x8y7_ww4beg[14] ;
wire \tile_x8y7_ww4beg[15] ;
wire \tile_x8y7_ww4beg[1] ;
wire \tile_x8y7_ww4beg[2] ;
wire \tile_x8y7_ww4beg[3] ;
wire \tile_x8y7_ww4beg[4] ;
wire \tile_x8y7_ww4beg[5] ;
wire \tile_x8y7_ww4beg[6] ;
wire \tile_x8y7_ww4beg[7] ;
wire \tile_x8y7_ww4beg[8] ;
wire \tile_x8y7_ww4beg[9] ;
wire \tile_x8y8_e1beg[0] ;
wire \tile_x8y8_e1beg[1] ;
wire \tile_x8y8_e1beg[2] ;
wire \tile_x8y8_e1beg[3] ;
wire \tile_x8y8_e2beg[0] ;
wire \tile_x8y8_e2beg[1] ;
wire \tile_x8y8_e2beg[2] ;
wire \tile_x8y8_e2beg[3] ;
wire \tile_x8y8_e2beg[4] ;
wire \tile_x8y8_e2beg[5] ;
wire \tile_x8y8_e2beg[6] ;
wire \tile_x8y8_e2beg[7] ;
wire \tile_x8y8_e2begb[0] ;
wire \tile_x8y8_e2begb[1] ;
wire \tile_x8y8_e2begb[2] ;
wire \tile_x8y8_e2begb[3] ;
wire \tile_x8y8_e2begb[4] ;
wire \tile_x8y8_e2begb[5] ;
wire \tile_x8y8_e2begb[6] ;
wire \tile_x8y8_e2begb[7] ;
wire \tile_x8y8_e6beg[0] ;
wire \tile_x8y8_e6beg[10] ;
wire \tile_x8y8_e6beg[11] ;
wire \tile_x8y8_e6beg[1] ;
wire \tile_x8y8_e6beg[2] ;
wire \tile_x8y8_e6beg[3] ;
wire \tile_x8y8_e6beg[4] ;
wire \tile_x8y8_e6beg[5] ;
wire \tile_x8y8_e6beg[6] ;
wire \tile_x8y8_e6beg[7] ;
wire \tile_x8y8_e6beg[8] ;
wire \tile_x8y8_e6beg[9] ;
wire \tile_x8y8_ee4beg[0] ;
wire \tile_x8y8_ee4beg[10] ;
wire \tile_x8y8_ee4beg[11] ;
wire \tile_x8y8_ee4beg[12] ;
wire \tile_x8y8_ee4beg[13] ;
wire \tile_x8y8_ee4beg[14] ;
wire \tile_x8y8_ee4beg[15] ;
wire \tile_x8y8_ee4beg[1] ;
wire \tile_x8y8_ee4beg[2] ;
wire \tile_x8y8_ee4beg[3] ;
wire \tile_x8y8_ee4beg[4] ;
wire \tile_x8y8_ee4beg[5] ;
wire \tile_x8y8_ee4beg[6] ;
wire \tile_x8y8_ee4beg[7] ;
wire \tile_x8y8_ee4beg[8] ;
wire \tile_x8y8_ee4beg[9] ;
wire \tile_x8y8_framedata_o[0] ;
wire \tile_x8y8_framedata_o[10] ;
wire \tile_x8y8_framedata_o[11] ;
wire \tile_x8y8_framedata_o[12] ;
wire \tile_x8y8_framedata_o[13] ;
wire \tile_x8y8_framedata_o[14] ;
wire \tile_x8y8_framedata_o[15] ;
wire \tile_x8y8_framedata_o[16] ;
wire \tile_x8y8_framedata_o[17] ;
wire \tile_x8y8_framedata_o[18] ;
wire \tile_x8y8_framedata_o[19] ;
wire \tile_x8y8_framedata_o[1] ;
wire \tile_x8y8_framedata_o[20] ;
wire \tile_x8y8_framedata_o[21] ;
wire \tile_x8y8_framedata_o[22] ;
wire \tile_x8y8_framedata_o[23] ;
wire \tile_x8y8_framedata_o[24] ;
wire \tile_x8y8_framedata_o[25] ;
wire \tile_x8y8_framedata_o[26] ;
wire \tile_x8y8_framedata_o[27] ;
wire \tile_x8y8_framedata_o[28] ;
wire \tile_x8y8_framedata_o[29] ;
wire \tile_x8y8_framedata_o[2] ;
wire \tile_x8y8_framedata_o[30] ;
wire \tile_x8y8_framedata_o[31] ;
wire \tile_x8y8_framedata_o[3] ;
wire \tile_x8y8_framedata_o[4] ;
wire \tile_x8y8_framedata_o[5] ;
wire \tile_x8y8_framedata_o[6] ;
wire \tile_x8y8_framedata_o[7] ;
wire \tile_x8y8_framedata_o[8] ;
wire \tile_x8y8_framedata_o[9] ;
wire \tile_x8y8_s1beg[0] ;
wire \tile_x8y8_s1beg[1] ;
wire \tile_x8y8_s1beg[2] ;
wire \tile_x8y8_s1beg[3] ;
wire \tile_x8y8_s2beg[0] ;
wire \tile_x8y8_s2beg[1] ;
wire \tile_x8y8_s2beg[2] ;
wire \tile_x8y8_s2beg[3] ;
wire \tile_x8y8_s2beg[4] ;
wire \tile_x8y8_s2beg[5] ;
wire \tile_x8y8_s2beg[6] ;
wire \tile_x8y8_s2beg[7] ;
wire \tile_x8y8_s2begb[0] ;
wire \tile_x8y8_s2begb[1] ;
wire \tile_x8y8_s2begb[2] ;
wire \tile_x8y8_s2begb[3] ;
wire \tile_x8y8_s2begb[4] ;
wire \tile_x8y8_s2begb[5] ;
wire \tile_x8y8_s2begb[6] ;
wire \tile_x8y8_s2begb[7] ;
wire \tile_x8y8_s4beg[0] ;
wire \tile_x8y8_s4beg[10] ;
wire \tile_x8y8_s4beg[11] ;
wire \tile_x8y8_s4beg[12] ;
wire \tile_x8y8_s4beg[13] ;
wire \tile_x8y8_s4beg[14] ;
wire \tile_x8y8_s4beg[15] ;
wire \tile_x8y8_s4beg[1] ;
wire \tile_x8y8_s4beg[2] ;
wire \tile_x8y8_s4beg[3] ;
wire \tile_x8y8_s4beg[4] ;
wire \tile_x8y8_s4beg[5] ;
wire \tile_x8y8_s4beg[6] ;
wire \tile_x8y8_s4beg[7] ;
wire \tile_x8y8_s4beg[8] ;
wire \tile_x8y8_s4beg[9] ;
wire \tile_x8y8_ss4beg[0] ;
wire \tile_x8y8_ss4beg[10] ;
wire \tile_x8y8_ss4beg[11] ;
wire \tile_x8y8_ss4beg[12] ;
wire \tile_x8y8_ss4beg[13] ;
wire \tile_x8y8_ss4beg[14] ;
wire \tile_x8y8_ss4beg[15] ;
wire \tile_x8y8_ss4beg[1] ;
wire \tile_x8y8_ss4beg[2] ;
wire \tile_x8y8_ss4beg[3] ;
wire \tile_x8y8_ss4beg[4] ;
wire \tile_x8y8_ss4beg[5] ;
wire \tile_x8y8_ss4beg[6] ;
wire \tile_x8y8_ss4beg[7] ;
wire \tile_x8y8_ss4beg[8] ;
wire \tile_x8y8_ss4beg[9] ;
wire \tile_x8y8_w1beg[0] ;
wire \tile_x8y8_w1beg[1] ;
wire \tile_x8y8_w1beg[2] ;
wire \tile_x8y8_w1beg[3] ;
wire \tile_x8y8_w2beg[0] ;
wire \tile_x8y8_w2beg[1] ;
wire \tile_x8y8_w2beg[2] ;
wire \tile_x8y8_w2beg[3] ;
wire \tile_x8y8_w2beg[4] ;
wire \tile_x8y8_w2beg[5] ;
wire \tile_x8y8_w2beg[6] ;
wire \tile_x8y8_w2beg[7] ;
wire \tile_x8y8_w2begb[0] ;
wire \tile_x8y8_w2begb[1] ;
wire \tile_x8y8_w2begb[2] ;
wire \tile_x8y8_w2begb[3] ;
wire \tile_x8y8_w2begb[4] ;
wire \tile_x8y8_w2begb[5] ;
wire \tile_x8y8_w2begb[6] ;
wire \tile_x8y8_w2begb[7] ;
wire \tile_x8y8_w6beg[0] ;
wire \tile_x8y8_w6beg[10] ;
wire \tile_x8y8_w6beg[11] ;
wire \tile_x8y8_w6beg[1] ;
wire \tile_x8y8_w6beg[2] ;
wire \tile_x8y8_w6beg[3] ;
wire \tile_x8y8_w6beg[4] ;
wire \tile_x8y8_w6beg[5] ;
wire \tile_x8y8_w6beg[6] ;
wire \tile_x8y8_w6beg[7] ;
wire \tile_x8y8_w6beg[8] ;
wire \tile_x8y8_w6beg[9] ;
wire \tile_x8y8_ww4beg[0] ;
wire \tile_x8y8_ww4beg[10] ;
wire \tile_x8y8_ww4beg[11] ;
wire \tile_x8y8_ww4beg[12] ;
wire \tile_x8y8_ww4beg[13] ;
wire \tile_x8y8_ww4beg[14] ;
wire \tile_x8y8_ww4beg[15] ;
wire \tile_x8y8_ww4beg[1] ;
wire \tile_x8y8_ww4beg[2] ;
wire \tile_x8y8_ww4beg[3] ;
wire \tile_x8y8_ww4beg[4] ;
wire \tile_x8y8_ww4beg[5] ;
wire \tile_x8y8_ww4beg[6] ;
wire \tile_x8y8_ww4beg[7] ;
wire \tile_x8y8_ww4beg[8] ;
wire \tile_x8y8_ww4beg[9] ;
wire \tile_x8y9_e1beg[0] ;
wire \tile_x8y9_e1beg[1] ;
wire \tile_x8y9_e1beg[2] ;
wire \tile_x8y9_e1beg[3] ;
wire \tile_x8y9_e2beg[0] ;
wire \tile_x8y9_e2beg[1] ;
wire \tile_x8y9_e2beg[2] ;
wire \tile_x8y9_e2beg[3] ;
wire \tile_x8y9_e2beg[4] ;
wire \tile_x8y9_e2beg[5] ;
wire \tile_x8y9_e2beg[6] ;
wire \tile_x8y9_e2beg[7] ;
wire \tile_x8y9_e2begb[0] ;
wire \tile_x8y9_e2begb[1] ;
wire \tile_x8y9_e2begb[2] ;
wire \tile_x8y9_e2begb[3] ;
wire \tile_x8y9_e2begb[4] ;
wire \tile_x8y9_e2begb[5] ;
wire \tile_x8y9_e2begb[6] ;
wire \tile_x8y9_e2begb[7] ;
wire \tile_x8y9_e6beg[0] ;
wire \tile_x8y9_e6beg[10] ;
wire \tile_x8y9_e6beg[11] ;
wire \tile_x8y9_e6beg[1] ;
wire \tile_x8y9_e6beg[2] ;
wire \tile_x8y9_e6beg[3] ;
wire \tile_x8y9_e6beg[4] ;
wire \tile_x8y9_e6beg[5] ;
wire \tile_x8y9_e6beg[6] ;
wire \tile_x8y9_e6beg[7] ;
wire \tile_x8y9_e6beg[8] ;
wire \tile_x8y9_e6beg[9] ;
wire \tile_x8y9_ee4beg[0] ;
wire \tile_x8y9_ee4beg[10] ;
wire \tile_x8y9_ee4beg[11] ;
wire \tile_x8y9_ee4beg[12] ;
wire \tile_x8y9_ee4beg[13] ;
wire \tile_x8y9_ee4beg[14] ;
wire \tile_x8y9_ee4beg[15] ;
wire \tile_x8y9_ee4beg[1] ;
wire \tile_x8y9_ee4beg[2] ;
wire \tile_x8y9_ee4beg[3] ;
wire \tile_x8y9_ee4beg[4] ;
wire \tile_x8y9_ee4beg[5] ;
wire \tile_x8y9_ee4beg[6] ;
wire \tile_x8y9_ee4beg[7] ;
wire \tile_x8y9_ee4beg[8] ;
wire \tile_x8y9_ee4beg[9] ;
wire \tile_x8y9_framedata_o[0] ;
wire \tile_x8y9_framedata_o[10] ;
wire \tile_x8y9_framedata_o[11] ;
wire \tile_x8y9_framedata_o[12] ;
wire \tile_x8y9_framedata_o[13] ;
wire \tile_x8y9_framedata_o[14] ;
wire \tile_x8y9_framedata_o[15] ;
wire \tile_x8y9_framedata_o[16] ;
wire \tile_x8y9_framedata_o[17] ;
wire \tile_x8y9_framedata_o[18] ;
wire \tile_x8y9_framedata_o[19] ;
wire \tile_x8y9_framedata_o[1] ;
wire \tile_x8y9_framedata_o[20] ;
wire \tile_x8y9_framedata_o[21] ;
wire \tile_x8y9_framedata_o[22] ;
wire \tile_x8y9_framedata_o[23] ;
wire \tile_x8y9_framedata_o[24] ;
wire \tile_x8y9_framedata_o[25] ;
wire \tile_x8y9_framedata_o[26] ;
wire \tile_x8y9_framedata_o[27] ;
wire \tile_x8y9_framedata_o[28] ;
wire \tile_x8y9_framedata_o[29] ;
wire \tile_x8y9_framedata_o[2] ;
wire \tile_x8y9_framedata_o[30] ;
wire \tile_x8y9_framedata_o[31] ;
wire \tile_x8y9_framedata_o[3] ;
wire \tile_x8y9_framedata_o[4] ;
wire \tile_x8y9_framedata_o[5] ;
wire \tile_x8y9_framedata_o[6] ;
wire \tile_x8y9_framedata_o[7] ;
wire \tile_x8y9_framedata_o[8] ;
wire \tile_x8y9_framedata_o[9] ;
wire \tile_x8y9_framestrobe_o[0] ;
wire \tile_x8y9_framestrobe_o[10] ;
wire \tile_x8y9_framestrobe_o[11] ;
wire \tile_x8y9_framestrobe_o[12] ;
wire \tile_x8y9_framestrobe_o[13] ;
wire \tile_x8y9_framestrobe_o[14] ;
wire \tile_x8y9_framestrobe_o[15] ;
wire \tile_x8y9_framestrobe_o[16] ;
wire \tile_x8y9_framestrobe_o[17] ;
wire \tile_x8y9_framestrobe_o[18] ;
wire \tile_x8y9_framestrobe_o[19] ;
wire \tile_x8y9_framestrobe_o[1] ;
wire \tile_x8y9_framestrobe_o[2] ;
wire \tile_x8y9_framestrobe_o[3] ;
wire \tile_x8y9_framestrobe_o[4] ;
wire \tile_x8y9_framestrobe_o[5] ;
wire \tile_x8y9_framestrobe_o[6] ;
wire \tile_x8y9_framestrobe_o[7] ;
wire \tile_x8y9_framestrobe_o[8] ;
wire \tile_x8y9_framestrobe_o[9] ;
wire \tile_x8y9_n1beg[0] ;
wire \tile_x8y9_n1beg[1] ;
wire \tile_x8y9_n1beg[2] ;
wire \tile_x8y9_n1beg[3] ;
wire \tile_x8y9_n2beg[0] ;
wire \tile_x8y9_n2beg[1] ;
wire \tile_x8y9_n2beg[2] ;
wire \tile_x8y9_n2beg[3] ;
wire \tile_x8y9_n2beg[4] ;
wire \tile_x8y9_n2beg[5] ;
wire \tile_x8y9_n2beg[6] ;
wire \tile_x8y9_n2beg[7] ;
wire \tile_x8y9_n2begb[0] ;
wire \tile_x8y9_n2begb[1] ;
wire \tile_x8y9_n2begb[2] ;
wire \tile_x8y9_n2begb[3] ;
wire \tile_x8y9_n2begb[4] ;
wire \tile_x8y9_n2begb[5] ;
wire \tile_x8y9_n2begb[6] ;
wire \tile_x8y9_n2begb[7] ;
wire \tile_x8y9_n4beg[0] ;
wire \tile_x8y9_n4beg[10] ;
wire \tile_x8y9_n4beg[11] ;
wire \tile_x8y9_n4beg[12] ;
wire \tile_x8y9_n4beg[13] ;
wire \tile_x8y9_n4beg[14] ;
wire \tile_x8y9_n4beg[15] ;
wire \tile_x8y9_n4beg[1] ;
wire \tile_x8y9_n4beg[2] ;
wire \tile_x8y9_n4beg[3] ;
wire \tile_x8y9_n4beg[4] ;
wire \tile_x8y9_n4beg[5] ;
wire \tile_x8y9_n4beg[6] ;
wire \tile_x8y9_n4beg[7] ;
wire \tile_x8y9_n4beg[8] ;
wire \tile_x8y9_n4beg[9] ;
wire \tile_x8y9_nn4beg[0] ;
wire \tile_x8y9_nn4beg[10] ;
wire \tile_x8y9_nn4beg[11] ;
wire \tile_x8y9_nn4beg[12] ;
wire \tile_x8y9_nn4beg[13] ;
wire \tile_x8y9_nn4beg[14] ;
wire \tile_x8y9_nn4beg[15] ;
wire \tile_x8y9_nn4beg[1] ;
wire \tile_x8y9_nn4beg[2] ;
wire \tile_x8y9_nn4beg[3] ;
wire \tile_x8y9_nn4beg[4] ;
wire \tile_x8y9_nn4beg[5] ;
wire \tile_x8y9_nn4beg[6] ;
wire \tile_x8y9_nn4beg[7] ;
wire \tile_x8y9_nn4beg[8] ;
wire \tile_x8y9_nn4beg[9] ;
wire tile_x8y9_userclko;
wire \tile_x8y9_w1beg[0] ;
wire \tile_x8y9_w1beg[1] ;
wire \tile_x8y9_w1beg[2] ;
wire \tile_x8y9_w1beg[3] ;
wire \tile_x8y9_w2beg[0] ;
wire \tile_x8y9_w2beg[1] ;
wire \tile_x8y9_w2beg[2] ;
wire \tile_x8y9_w2beg[3] ;
wire \tile_x8y9_w2beg[4] ;
wire \tile_x8y9_w2beg[5] ;
wire \tile_x8y9_w2beg[6] ;
wire \tile_x8y9_w2beg[7] ;
wire \tile_x8y9_w2begb[0] ;
wire \tile_x8y9_w2begb[1] ;
wire \tile_x8y9_w2begb[2] ;
wire \tile_x8y9_w2begb[3] ;
wire \tile_x8y9_w2begb[4] ;
wire \tile_x8y9_w2begb[5] ;
wire \tile_x8y9_w2begb[6] ;
wire \tile_x8y9_w2begb[7] ;
wire \tile_x8y9_w6beg[0] ;
wire \tile_x8y9_w6beg[10] ;
wire \tile_x8y9_w6beg[11] ;
wire \tile_x8y9_w6beg[1] ;
wire \tile_x8y9_w6beg[2] ;
wire \tile_x8y9_w6beg[3] ;
wire \tile_x8y9_w6beg[4] ;
wire \tile_x8y9_w6beg[5] ;
wire \tile_x8y9_w6beg[6] ;
wire \tile_x8y9_w6beg[7] ;
wire \tile_x8y9_w6beg[8] ;
wire \tile_x8y9_w6beg[9] ;
wire \tile_x8y9_ww4beg[0] ;
wire \tile_x8y9_ww4beg[10] ;
wire \tile_x8y9_ww4beg[11] ;
wire \tile_x8y9_ww4beg[12] ;
wire \tile_x8y9_ww4beg[13] ;
wire \tile_x8y9_ww4beg[14] ;
wire \tile_x8y9_ww4beg[15] ;
wire \tile_x8y9_ww4beg[1] ;
wire \tile_x8y9_ww4beg[2] ;
wire \tile_x8y9_ww4beg[3] ;
wire \tile_x8y9_ww4beg[4] ;
wire \tile_x8y9_ww4beg[5] ;
wire \tile_x8y9_ww4beg[6] ;
wire \tile_x8y9_ww4beg[7] ;
wire \tile_x8y9_ww4beg[8] ;
wire \tile_x8y9_ww4beg[9] ;
wire \tile_x9y0_framestrobe_o[0] ;
wire \tile_x9y0_framestrobe_o[10] ;
wire \tile_x9y0_framestrobe_o[11] ;
wire \tile_x9y0_framestrobe_o[12] ;
wire \tile_x9y0_framestrobe_o[13] ;
wire \tile_x9y0_framestrobe_o[14] ;
wire \tile_x9y0_framestrobe_o[15] ;
wire \tile_x9y0_framestrobe_o[16] ;
wire \tile_x9y0_framestrobe_o[17] ;
wire \tile_x9y0_framestrobe_o[18] ;
wire \tile_x9y0_framestrobe_o[19] ;
wire \tile_x9y0_framestrobe_o[1] ;
wire \tile_x9y0_framestrobe_o[2] ;
wire \tile_x9y0_framestrobe_o[3] ;
wire \tile_x9y0_framestrobe_o[4] ;
wire \tile_x9y0_framestrobe_o[5] ;
wire \tile_x9y0_framestrobe_o[6] ;
wire \tile_x9y0_framestrobe_o[7] ;
wire \tile_x9y0_framestrobe_o[8] ;
wire \tile_x9y0_framestrobe_o[9] ;
wire \tile_x9y0_s1beg[0] ;
wire \tile_x9y0_s1beg[1] ;
wire \tile_x9y0_s1beg[2] ;
wire \tile_x9y0_s1beg[3] ;
wire \tile_x9y0_s2beg[0] ;
wire \tile_x9y0_s2beg[1] ;
wire \tile_x9y0_s2beg[2] ;
wire \tile_x9y0_s2beg[3] ;
wire \tile_x9y0_s2beg[4] ;
wire \tile_x9y0_s2beg[5] ;
wire \tile_x9y0_s2beg[6] ;
wire \tile_x9y0_s2beg[7] ;
wire \tile_x9y0_s2begb[0] ;
wire \tile_x9y0_s2begb[1] ;
wire \tile_x9y0_s2begb[2] ;
wire \tile_x9y0_s2begb[3] ;
wire \tile_x9y0_s2begb[4] ;
wire \tile_x9y0_s2begb[5] ;
wire \tile_x9y0_s2begb[6] ;
wire \tile_x9y0_s2begb[7] ;
wire \tile_x9y0_s4beg[0] ;
wire \tile_x9y0_s4beg[10] ;
wire \tile_x9y0_s4beg[11] ;
wire \tile_x9y0_s4beg[12] ;
wire \tile_x9y0_s4beg[13] ;
wire \tile_x9y0_s4beg[14] ;
wire \tile_x9y0_s4beg[15] ;
wire \tile_x9y0_s4beg[1] ;
wire \tile_x9y0_s4beg[2] ;
wire \tile_x9y0_s4beg[3] ;
wire \tile_x9y0_s4beg[4] ;
wire \tile_x9y0_s4beg[5] ;
wire \tile_x9y0_s4beg[6] ;
wire \tile_x9y0_s4beg[7] ;
wire \tile_x9y0_s4beg[8] ;
wire \tile_x9y0_s4beg[9] ;
wire \tile_x9y0_ss4beg[0] ;
wire \tile_x9y0_ss4beg[10] ;
wire \tile_x9y0_ss4beg[11] ;
wire \tile_x9y0_ss4beg[12] ;
wire \tile_x9y0_ss4beg[13] ;
wire \tile_x9y0_ss4beg[14] ;
wire \tile_x9y0_ss4beg[15] ;
wire \tile_x9y0_ss4beg[1] ;
wire \tile_x9y0_ss4beg[2] ;
wire \tile_x9y0_ss4beg[3] ;
wire \tile_x9y0_ss4beg[4] ;
wire \tile_x9y0_ss4beg[5] ;
wire \tile_x9y0_ss4beg[6] ;
wire \tile_x9y0_ss4beg[7] ;
wire \tile_x9y0_ss4beg[8] ;
wire \tile_x9y0_ss4beg[9] ;
wire tile_x9y0_userclko;
wire tile_x9y10_co;
wire \tile_x9y10_e1beg[0] ;
wire \tile_x9y10_e1beg[1] ;
wire \tile_x9y10_e1beg[2] ;
wire \tile_x9y10_e1beg[3] ;
wire \tile_x9y10_e2beg[0] ;
wire \tile_x9y10_e2beg[1] ;
wire \tile_x9y10_e2beg[2] ;
wire \tile_x9y10_e2beg[3] ;
wire \tile_x9y10_e2beg[4] ;
wire \tile_x9y10_e2beg[5] ;
wire \tile_x9y10_e2beg[6] ;
wire \tile_x9y10_e2beg[7] ;
wire \tile_x9y10_e2begb[0] ;
wire \tile_x9y10_e2begb[1] ;
wire \tile_x9y10_e2begb[2] ;
wire \tile_x9y10_e2begb[3] ;
wire \tile_x9y10_e2begb[4] ;
wire \tile_x9y10_e2begb[5] ;
wire \tile_x9y10_e2begb[6] ;
wire \tile_x9y10_e2begb[7] ;
wire \tile_x9y10_e6beg[0] ;
wire \tile_x9y10_e6beg[10] ;
wire \tile_x9y10_e6beg[11] ;
wire \tile_x9y10_e6beg[1] ;
wire \tile_x9y10_e6beg[2] ;
wire \tile_x9y10_e6beg[3] ;
wire \tile_x9y10_e6beg[4] ;
wire \tile_x9y10_e6beg[5] ;
wire \tile_x9y10_e6beg[6] ;
wire \tile_x9y10_e6beg[7] ;
wire \tile_x9y10_e6beg[8] ;
wire \tile_x9y10_e6beg[9] ;
wire \tile_x9y10_ee4beg[0] ;
wire \tile_x9y10_ee4beg[10] ;
wire \tile_x9y10_ee4beg[11] ;
wire \tile_x9y10_ee4beg[12] ;
wire \tile_x9y10_ee4beg[13] ;
wire \tile_x9y10_ee4beg[14] ;
wire \tile_x9y10_ee4beg[15] ;
wire \tile_x9y10_ee4beg[1] ;
wire \tile_x9y10_ee4beg[2] ;
wire \tile_x9y10_ee4beg[3] ;
wire \tile_x9y10_ee4beg[4] ;
wire \tile_x9y10_ee4beg[5] ;
wire \tile_x9y10_ee4beg[6] ;
wire \tile_x9y10_ee4beg[7] ;
wire \tile_x9y10_ee4beg[8] ;
wire \tile_x9y10_ee4beg[9] ;
wire \tile_x9y10_framedata_o[0] ;
wire \tile_x9y10_framedata_o[10] ;
wire \tile_x9y10_framedata_o[11] ;
wire \tile_x9y10_framedata_o[12] ;
wire \tile_x9y10_framedata_o[13] ;
wire \tile_x9y10_framedata_o[14] ;
wire \tile_x9y10_framedata_o[15] ;
wire \tile_x9y10_framedata_o[16] ;
wire \tile_x9y10_framedata_o[17] ;
wire \tile_x9y10_framedata_o[18] ;
wire \tile_x9y10_framedata_o[19] ;
wire \tile_x9y10_framedata_o[1] ;
wire \tile_x9y10_framedata_o[20] ;
wire \tile_x9y10_framedata_o[21] ;
wire \tile_x9y10_framedata_o[22] ;
wire \tile_x9y10_framedata_o[23] ;
wire \tile_x9y10_framedata_o[24] ;
wire \tile_x9y10_framedata_o[25] ;
wire \tile_x9y10_framedata_o[26] ;
wire \tile_x9y10_framedata_o[27] ;
wire \tile_x9y10_framedata_o[28] ;
wire \tile_x9y10_framedata_o[29] ;
wire \tile_x9y10_framedata_o[2] ;
wire \tile_x9y10_framedata_o[30] ;
wire \tile_x9y10_framedata_o[31] ;
wire \tile_x9y10_framedata_o[3] ;
wire \tile_x9y10_framedata_o[4] ;
wire \tile_x9y10_framedata_o[5] ;
wire \tile_x9y10_framedata_o[6] ;
wire \tile_x9y10_framedata_o[7] ;
wire \tile_x9y10_framedata_o[8] ;
wire \tile_x9y10_framedata_o[9] ;
wire \tile_x9y10_framestrobe_o[0] ;
wire \tile_x9y10_framestrobe_o[10] ;
wire \tile_x9y10_framestrobe_o[11] ;
wire \tile_x9y10_framestrobe_o[12] ;
wire \tile_x9y10_framestrobe_o[13] ;
wire \tile_x9y10_framestrobe_o[14] ;
wire \tile_x9y10_framestrobe_o[15] ;
wire \tile_x9y10_framestrobe_o[16] ;
wire \tile_x9y10_framestrobe_o[17] ;
wire \tile_x9y10_framestrobe_o[18] ;
wire \tile_x9y10_framestrobe_o[19] ;
wire \tile_x9y10_framestrobe_o[1] ;
wire \tile_x9y10_framestrobe_o[2] ;
wire \tile_x9y10_framestrobe_o[3] ;
wire \tile_x9y10_framestrobe_o[4] ;
wire \tile_x9y10_framestrobe_o[5] ;
wire \tile_x9y10_framestrobe_o[6] ;
wire \tile_x9y10_framestrobe_o[7] ;
wire \tile_x9y10_framestrobe_o[8] ;
wire \tile_x9y10_framestrobe_o[9] ;
wire \tile_x9y10_n1beg[0] ;
wire \tile_x9y10_n1beg[1] ;
wire \tile_x9y10_n1beg[2] ;
wire \tile_x9y10_n1beg[3] ;
wire \tile_x9y10_n2beg[0] ;
wire \tile_x9y10_n2beg[1] ;
wire \tile_x9y10_n2beg[2] ;
wire \tile_x9y10_n2beg[3] ;
wire \tile_x9y10_n2beg[4] ;
wire \tile_x9y10_n2beg[5] ;
wire \tile_x9y10_n2beg[6] ;
wire \tile_x9y10_n2beg[7] ;
wire \tile_x9y10_n2begb[0] ;
wire \tile_x9y10_n2begb[1] ;
wire \tile_x9y10_n2begb[2] ;
wire \tile_x9y10_n2begb[3] ;
wire \tile_x9y10_n2begb[4] ;
wire \tile_x9y10_n2begb[5] ;
wire \tile_x9y10_n2begb[6] ;
wire \tile_x9y10_n2begb[7] ;
wire \tile_x9y10_n4beg[0] ;
wire \tile_x9y10_n4beg[10] ;
wire \tile_x9y10_n4beg[11] ;
wire \tile_x9y10_n4beg[12] ;
wire \tile_x9y10_n4beg[13] ;
wire \tile_x9y10_n4beg[14] ;
wire \tile_x9y10_n4beg[15] ;
wire \tile_x9y10_n4beg[1] ;
wire \tile_x9y10_n4beg[2] ;
wire \tile_x9y10_n4beg[3] ;
wire \tile_x9y10_n4beg[4] ;
wire \tile_x9y10_n4beg[5] ;
wire \tile_x9y10_n4beg[6] ;
wire \tile_x9y10_n4beg[7] ;
wire \tile_x9y10_n4beg[8] ;
wire \tile_x9y10_n4beg[9] ;
wire \tile_x9y10_nn4beg[0] ;
wire \tile_x9y10_nn4beg[10] ;
wire \tile_x9y10_nn4beg[11] ;
wire \tile_x9y10_nn4beg[12] ;
wire \tile_x9y10_nn4beg[13] ;
wire \tile_x9y10_nn4beg[14] ;
wire \tile_x9y10_nn4beg[15] ;
wire \tile_x9y10_nn4beg[1] ;
wire \tile_x9y10_nn4beg[2] ;
wire \tile_x9y10_nn4beg[3] ;
wire \tile_x9y10_nn4beg[4] ;
wire \tile_x9y10_nn4beg[5] ;
wire \tile_x9y10_nn4beg[6] ;
wire \tile_x9y10_nn4beg[7] ;
wire \tile_x9y10_nn4beg[8] ;
wire \tile_x9y10_nn4beg[9] ;
wire \tile_x9y10_s1beg[0] ;
wire \tile_x9y10_s1beg[1] ;
wire \tile_x9y10_s1beg[2] ;
wire \tile_x9y10_s1beg[3] ;
wire \tile_x9y10_s2beg[0] ;
wire \tile_x9y10_s2beg[1] ;
wire \tile_x9y10_s2beg[2] ;
wire \tile_x9y10_s2beg[3] ;
wire \tile_x9y10_s2beg[4] ;
wire \tile_x9y10_s2beg[5] ;
wire \tile_x9y10_s2beg[6] ;
wire \tile_x9y10_s2beg[7] ;
wire \tile_x9y10_s2begb[0] ;
wire \tile_x9y10_s2begb[1] ;
wire \tile_x9y10_s2begb[2] ;
wire \tile_x9y10_s2begb[3] ;
wire \tile_x9y10_s2begb[4] ;
wire \tile_x9y10_s2begb[5] ;
wire \tile_x9y10_s2begb[6] ;
wire \tile_x9y10_s2begb[7] ;
wire \tile_x9y10_s4beg[0] ;
wire \tile_x9y10_s4beg[10] ;
wire \tile_x9y10_s4beg[11] ;
wire \tile_x9y10_s4beg[12] ;
wire \tile_x9y10_s4beg[13] ;
wire \tile_x9y10_s4beg[14] ;
wire \tile_x9y10_s4beg[15] ;
wire \tile_x9y10_s4beg[1] ;
wire \tile_x9y10_s4beg[2] ;
wire \tile_x9y10_s4beg[3] ;
wire \tile_x9y10_s4beg[4] ;
wire \tile_x9y10_s4beg[5] ;
wire \tile_x9y10_s4beg[6] ;
wire \tile_x9y10_s4beg[7] ;
wire \tile_x9y10_s4beg[8] ;
wire \tile_x9y10_s4beg[9] ;
wire \tile_x9y10_ss4beg[0] ;
wire \tile_x9y10_ss4beg[10] ;
wire \tile_x9y10_ss4beg[11] ;
wire \tile_x9y10_ss4beg[12] ;
wire \tile_x9y10_ss4beg[13] ;
wire \tile_x9y10_ss4beg[14] ;
wire \tile_x9y10_ss4beg[15] ;
wire \tile_x9y10_ss4beg[1] ;
wire \tile_x9y10_ss4beg[2] ;
wire \tile_x9y10_ss4beg[3] ;
wire \tile_x9y10_ss4beg[4] ;
wire \tile_x9y10_ss4beg[5] ;
wire \tile_x9y10_ss4beg[6] ;
wire \tile_x9y10_ss4beg[7] ;
wire \tile_x9y10_ss4beg[8] ;
wire \tile_x9y10_ss4beg[9] ;
wire tile_x9y10_userclko;
wire \tile_x9y10_w1beg[0] ;
wire \tile_x9y10_w1beg[1] ;
wire \tile_x9y10_w1beg[2] ;
wire \tile_x9y10_w1beg[3] ;
wire \tile_x9y10_w2beg[0] ;
wire \tile_x9y10_w2beg[1] ;
wire \tile_x9y10_w2beg[2] ;
wire \tile_x9y10_w2beg[3] ;
wire \tile_x9y10_w2beg[4] ;
wire \tile_x9y10_w2beg[5] ;
wire \tile_x9y10_w2beg[6] ;
wire \tile_x9y10_w2beg[7] ;
wire \tile_x9y10_w2begb[0] ;
wire \tile_x9y10_w2begb[1] ;
wire \tile_x9y10_w2begb[2] ;
wire \tile_x9y10_w2begb[3] ;
wire \tile_x9y10_w2begb[4] ;
wire \tile_x9y10_w2begb[5] ;
wire \tile_x9y10_w2begb[6] ;
wire \tile_x9y10_w2begb[7] ;
wire \tile_x9y10_w6beg[0] ;
wire \tile_x9y10_w6beg[10] ;
wire \tile_x9y10_w6beg[11] ;
wire \tile_x9y10_w6beg[1] ;
wire \tile_x9y10_w6beg[2] ;
wire \tile_x9y10_w6beg[3] ;
wire \tile_x9y10_w6beg[4] ;
wire \tile_x9y10_w6beg[5] ;
wire \tile_x9y10_w6beg[6] ;
wire \tile_x9y10_w6beg[7] ;
wire \tile_x9y10_w6beg[8] ;
wire \tile_x9y10_w6beg[9] ;
wire \tile_x9y10_ww4beg[0] ;
wire \tile_x9y10_ww4beg[10] ;
wire \tile_x9y10_ww4beg[11] ;
wire \tile_x9y10_ww4beg[12] ;
wire \tile_x9y10_ww4beg[13] ;
wire \tile_x9y10_ww4beg[14] ;
wire \tile_x9y10_ww4beg[15] ;
wire \tile_x9y10_ww4beg[1] ;
wire \tile_x9y10_ww4beg[2] ;
wire \tile_x9y10_ww4beg[3] ;
wire \tile_x9y10_ww4beg[4] ;
wire \tile_x9y10_ww4beg[5] ;
wire \tile_x9y10_ww4beg[6] ;
wire \tile_x9y10_ww4beg[7] ;
wire \tile_x9y10_ww4beg[8] ;
wire \tile_x9y10_ww4beg[9] ;
wire tile_x9y11_co;
wire \tile_x9y11_e1beg[0] ;
wire \tile_x9y11_e1beg[1] ;
wire \tile_x9y11_e1beg[2] ;
wire \tile_x9y11_e1beg[3] ;
wire \tile_x9y11_e2beg[0] ;
wire \tile_x9y11_e2beg[1] ;
wire \tile_x9y11_e2beg[2] ;
wire \tile_x9y11_e2beg[3] ;
wire \tile_x9y11_e2beg[4] ;
wire \tile_x9y11_e2beg[5] ;
wire \tile_x9y11_e2beg[6] ;
wire \tile_x9y11_e2beg[7] ;
wire \tile_x9y11_e2begb[0] ;
wire \tile_x9y11_e2begb[1] ;
wire \tile_x9y11_e2begb[2] ;
wire \tile_x9y11_e2begb[3] ;
wire \tile_x9y11_e2begb[4] ;
wire \tile_x9y11_e2begb[5] ;
wire \tile_x9y11_e2begb[6] ;
wire \tile_x9y11_e2begb[7] ;
wire \tile_x9y11_e6beg[0] ;
wire \tile_x9y11_e6beg[10] ;
wire \tile_x9y11_e6beg[11] ;
wire \tile_x9y11_e6beg[1] ;
wire \tile_x9y11_e6beg[2] ;
wire \tile_x9y11_e6beg[3] ;
wire \tile_x9y11_e6beg[4] ;
wire \tile_x9y11_e6beg[5] ;
wire \tile_x9y11_e6beg[6] ;
wire \tile_x9y11_e6beg[7] ;
wire \tile_x9y11_e6beg[8] ;
wire \tile_x9y11_e6beg[9] ;
wire \tile_x9y11_ee4beg[0] ;
wire \tile_x9y11_ee4beg[10] ;
wire \tile_x9y11_ee4beg[11] ;
wire \tile_x9y11_ee4beg[12] ;
wire \tile_x9y11_ee4beg[13] ;
wire \tile_x9y11_ee4beg[14] ;
wire \tile_x9y11_ee4beg[15] ;
wire \tile_x9y11_ee4beg[1] ;
wire \tile_x9y11_ee4beg[2] ;
wire \tile_x9y11_ee4beg[3] ;
wire \tile_x9y11_ee4beg[4] ;
wire \tile_x9y11_ee4beg[5] ;
wire \tile_x9y11_ee4beg[6] ;
wire \tile_x9y11_ee4beg[7] ;
wire \tile_x9y11_ee4beg[8] ;
wire \tile_x9y11_ee4beg[9] ;
wire \tile_x9y11_framedata_o[0] ;
wire \tile_x9y11_framedata_o[10] ;
wire \tile_x9y11_framedata_o[11] ;
wire \tile_x9y11_framedata_o[12] ;
wire \tile_x9y11_framedata_o[13] ;
wire \tile_x9y11_framedata_o[14] ;
wire \tile_x9y11_framedata_o[15] ;
wire \tile_x9y11_framedata_o[16] ;
wire \tile_x9y11_framedata_o[17] ;
wire \tile_x9y11_framedata_o[18] ;
wire \tile_x9y11_framedata_o[19] ;
wire \tile_x9y11_framedata_o[1] ;
wire \tile_x9y11_framedata_o[20] ;
wire \tile_x9y11_framedata_o[21] ;
wire \tile_x9y11_framedata_o[22] ;
wire \tile_x9y11_framedata_o[23] ;
wire \tile_x9y11_framedata_o[24] ;
wire \tile_x9y11_framedata_o[25] ;
wire \tile_x9y11_framedata_o[26] ;
wire \tile_x9y11_framedata_o[27] ;
wire \tile_x9y11_framedata_o[28] ;
wire \tile_x9y11_framedata_o[29] ;
wire \tile_x9y11_framedata_o[2] ;
wire \tile_x9y11_framedata_o[30] ;
wire \tile_x9y11_framedata_o[31] ;
wire \tile_x9y11_framedata_o[3] ;
wire \tile_x9y11_framedata_o[4] ;
wire \tile_x9y11_framedata_o[5] ;
wire \tile_x9y11_framedata_o[6] ;
wire \tile_x9y11_framedata_o[7] ;
wire \tile_x9y11_framedata_o[8] ;
wire \tile_x9y11_framedata_o[9] ;
wire \tile_x9y11_framestrobe_o[0] ;
wire \tile_x9y11_framestrobe_o[10] ;
wire \tile_x9y11_framestrobe_o[11] ;
wire \tile_x9y11_framestrobe_o[12] ;
wire \tile_x9y11_framestrobe_o[13] ;
wire \tile_x9y11_framestrobe_o[14] ;
wire \tile_x9y11_framestrobe_o[15] ;
wire \tile_x9y11_framestrobe_o[16] ;
wire \tile_x9y11_framestrobe_o[17] ;
wire \tile_x9y11_framestrobe_o[18] ;
wire \tile_x9y11_framestrobe_o[19] ;
wire \tile_x9y11_framestrobe_o[1] ;
wire \tile_x9y11_framestrobe_o[2] ;
wire \tile_x9y11_framestrobe_o[3] ;
wire \tile_x9y11_framestrobe_o[4] ;
wire \tile_x9y11_framestrobe_o[5] ;
wire \tile_x9y11_framestrobe_o[6] ;
wire \tile_x9y11_framestrobe_o[7] ;
wire \tile_x9y11_framestrobe_o[8] ;
wire \tile_x9y11_framestrobe_o[9] ;
wire \tile_x9y11_n1beg[0] ;
wire \tile_x9y11_n1beg[1] ;
wire \tile_x9y11_n1beg[2] ;
wire \tile_x9y11_n1beg[3] ;
wire \tile_x9y11_n2beg[0] ;
wire \tile_x9y11_n2beg[1] ;
wire \tile_x9y11_n2beg[2] ;
wire \tile_x9y11_n2beg[3] ;
wire \tile_x9y11_n2beg[4] ;
wire \tile_x9y11_n2beg[5] ;
wire \tile_x9y11_n2beg[6] ;
wire \tile_x9y11_n2beg[7] ;
wire \tile_x9y11_n2begb[0] ;
wire \tile_x9y11_n2begb[1] ;
wire \tile_x9y11_n2begb[2] ;
wire \tile_x9y11_n2begb[3] ;
wire \tile_x9y11_n2begb[4] ;
wire \tile_x9y11_n2begb[5] ;
wire \tile_x9y11_n2begb[6] ;
wire \tile_x9y11_n2begb[7] ;
wire \tile_x9y11_n4beg[0] ;
wire \tile_x9y11_n4beg[10] ;
wire \tile_x9y11_n4beg[11] ;
wire \tile_x9y11_n4beg[12] ;
wire \tile_x9y11_n4beg[13] ;
wire \tile_x9y11_n4beg[14] ;
wire \tile_x9y11_n4beg[15] ;
wire \tile_x9y11_n4beg[1] ;
wire \tile_x9y11_n4beg[2] ;
wire \tile_x9y11_n4beg[3] ;
wire \tile_x9y11_n4beg[4] ;
wire \tile_x9y11_n4beg[5] ;
wire \tile_x9y11_n4beg[6] ;
wire \tile_x9y11_n4beg[7] ;
wire \tile_x9y11_n4beg[8] ;
wire \tile_x9y11_n4beg[9] ;
wire \tile_x9y11_nn4beg[0] ;
wire \tile_x9y11_nn4beg[10] ;
wire \tile_x9y11_nn4beg[11] ;
wire \tile_x9y11_nn4beg[12] ;
wire \tile_x9y11_nn4beg[13] ;
wire \tile_x9y11_nn4beg[14] ;
wire \tile_x9y11_nn4beg[15] ;
wire \tile_x9y11_nn4beg[1] ;
wire \tile_x9y11_nn4beg[2] ;
wire \tile_x9y11_nn4beg[3] ;
wire \tile_x9y11_nn4beg[4] ;
wire \tile_x9y11_nn4beg[5] ;
wire \tile_x9y11_nn4beg[6] ;
wire \tile_x9y11_nn4beg[7] ;
wire \tile_x9y11_nn4beg[8] ;
wire \tile_x9y11_nn4beg[9] ;
wire \tile_x9y11_s1beg[0] ;
wire \tile_x9y11_s1beg[1] ;
wire \tile_x9y11_s1beg[2] ;
wire \tile_x9y11_s1beg[3] ;
wire \tile_x9y11_s2beg[0] ;
wire \tile_x9y11_s2beg[1] ;
wire \tile_x9y11_s2beg[2] ;
wire \tile_x9y11_s2beg[3] ;
wire \tile_x9y11_s2beg[4] ;
wire \tile_x9y11_s2beg[5] ;
wire \tile_x9y11_s2beg[6] ;
wire \tile_x9y11_s2beg[7] ;
wire \tile_x9y11_s2begb[0] ;
wire \tile_x9y11_s2begb[1] ;
wire \tile_x9y11_s2begb[2] ;
wire \tile_x9y11_s2begb[3] ;
wire \tile_x9y11_s2begb[4] ;
wire \tile_x9y11_s2begb[5] ;
wire \tile_x9y11_s2begb[6] ;
wire \tile_x9y11_s2begb[7] ;
wire \tile_x9y11_s4beg[0] ;
wire \tile_x9y11_s4beg[10] ;
wire \tile_x9y11_s4beg[11] ;
wire \tile_x9y11_s4beg[12] ;
wire \tile_x9y11_s4beg[13] ;
wire \tile_x9y11_s4beg[14] ;
wire \tile_x9y11_s4beg[15] ;
wire \tile_x9y11_s4beg[1] ;
wire \tile_x9y11_s4beg[2] ;
wire \tile_x9y11_s4beg[3] ;
wire \tile_x9y11_s4beg[4] ;
wire \tile_x9y11_s4beg[5] ;
wire \tile_x9y11_s4beg[6] ;
wire \tile_x9y11_s4beg[7] ;
wire \tile_x9y11_s4beg[8] ;
wire \tile_x9y11_s4beg[9] ;
wire \tile_x9y11_ss4beg[0] ;
wire \tile_x9y11_ss4beg[10] ;
wire \tile_x9y11_ss4beg[11] ;
wire \tile_x9y11_ss4beg[12] ;
wire \tile_x9y11_ss4beg[13] ;
wire \tile_x9y11_ss4beg[14] ;
wire \tile_x9y11_ss4beg[15] ;
wire \tile_x9y11_ss4beg[1] ;
wire \tile_x9y11_ss4beg[2] ;
wire \tile_x9y11_ss4beg[3] ;
wire \tile_x9y11_ss4beg[4] ;
wire \tile_x9y11_ss4beg[5] ;
wire \tile_x9y11_ss4beg[6] ;
wire \tile_x9y11_ss4beg[7] ;
wire \tile_x9y11_ss4beg[8] ;
wire \tile_x9y11_ss4beg[9] ;
wire tile_x9y11_userclko;
wire \tile_x9y11_w1beg[0] ;
wire \tile_x9y11_w1beg[1] ;
wire \tile_x9y11_w1beg[2] ;
wire \tile_x9y11_w1beg[3] ;
wire \tile_x9y11_w2beg[0] ;
wire \tile_x9y11_w2beg[1] ;
wire \tile_x9y11_w2beg[2] ;
wire \tile_x9y11_w2beg[3] ;
wire \tile_x9y11_w2beg[4] ;
wire \tile_x9y11_w2beg[5] ;
wire \tile_x9y11_w2beg[6] ;
wire \tile_x9y11_w2beg[7] ;
wire \tile_x9y11_w2begb[0] ;
wire \tile_x9y11_w2begb[1] ;
wire \tile_x9y11_w2begb[2] ;
wire \tile_x9y11_w2begb[3] ;
wire \tile_x9y11_w2begb[4] ;
wire \tile_x9y11_w2begb[5] ;
wire \tile_x9y11_w2begb[6] ;
wire \tile_x9y11_w2begb[7] ;
wire \tile_x9y11_w6beg[0] ;
wire \tile_x9y11_w6beg[10] ;
wire \tile_x9y11_w6beg[11] ;
wire \tile_x9y11_w6beg[1] ;
wire \tile_x9y11_w6beg[2] ;
wire \tile_x9y11_w6beg[3] ;
wire \tile_x9y11_w6beg[4] ;
wire \tile_x9y11_w6beg[5] ;
wire \tile_x9y11_w6beg[6] ;
wire \tile_x9y11_w6beg[7] ;
wire \tile_x9y11_w6beg[8] ;
wire \tile_x9y11_w6beg[9] ;
wire \tile_x9y11_ww4beg[0] ;
wire \tile_x9y11_ww4beg[10] ;
wire \tile_x9y11_ww4beg[11] ;
wire \tile_x9y11_ww4beg[12] ;
wire \tile_x9y11_ww4beg[13] ;
wire \tile_x9y11_ww4beg[14] ;
wire \tile_x9y11_ww4beg[15] ;
wire \tile_x9y11_ww4beg[1] ;
wire \tile_x9y11_ww4beg[2] ;
wire \tile_x9y11_ww4beg[3] ;
wire \tile_x9y11_ww4beg[4] ;
wire \tile_x9y11_ww4beg[5] ;
wire \tile_x9y11_ww4beg[6] ;
wire \tile_x9y11_ww4beg[7] ;
wire \tile_x9y11_ww4beg[8] ;
wire \tile_x9y11_ww4beg[9] ;
wire tile_x9y12_co;
wire \tile_x9y12_e1beg[0] ;
wire \tile_x9y12_e1beg[1] ;
wire \tile_x9y12_e1beg[2] ;
wire \tile_x9y12_e1beg[3] ;
wire \tile_x9y12_e2beg[0] ;
wire \tile_x9y12_e2beg[1] ;
wire \tile_x9y12_e2beg[2] ;
wire \tile_x9y12_e2beg[3] ;
wire \tile_x9y12_e2beg[4] ;
wire \tile_x9y12_e2beg[5] ;
wire \tile_x9y12_e2beg[6] ;
wire \tile_x9y12_e2beg[7] ;
wire \tile_x9y12_e2begb[0] ;
wire \tile_x9y12_e2begb[1] ;
wire \tile_x9y12_e2begb[2] ;
wire \tile_x9y12_e2begb[3] ;
wire \tile_x9y12_e2begb[4] ;
wire \tile_x9y12_e2begb[5] ;
wire \tile_x9y12_e2begb[6] ;
wire \tile_x9y12_e2begb[7] ;
wire \tile_x9y12_e6beg[0] ;
wire \tile_x9y12_e6beg[10] ;
wire \tile_x9y12_e6beg[11] ;
wire \tile_x9y12_e6beg[1] ;
wire \tile_x9y12_e6beg[2] ;
wire \tile_x9y12_e6beg[3] ;
wire \tile_x9y12_e6beg[4] ;
wire \tile_x9y12_e6beg[5] ;
wire \tile_x9y12_e6beg[6] ;
wire \tile_x9y12_e6beg[7] ;
wire \tile_x9y12_e6beg[8] ;
wire \tile_x9y12_e6beg[9] ;
wire \tile_x9y12_ee4beg[0] ;
wire \tile_x9y12_ee4beg[10] ;
wire \tile_x9y12_ee4beg[11] ;
wire \tile_x9y12_ee4beg[12] ;
wire \tile_x9y12_ee4beg[13] ;
wire \tile_x9y12_ee4beg[14] ;
wire \tile_x9y12_ee4beg[15] ;
wire \tile_x9y12_ee4beg[1] ;
wire \tile_x9y12_ee4beg[2] ;
wire \tile_x9y12_ee4beg[3] ;
wire \tile_x9y12_ee4beg[4] ;
wire \tile_x9y12_ee4beg[5] ;
wire \tile_x9y12_ee4beg[6] ;
wire \tile_x9y12_ee4beg[7] ;
wire \tile_x9y12_ee4beg[8] ;
wire \tile_x9y12_ee4beg[9] ;
wire \tile_x9y12_framedata_o[0] ;
wire \tile_x9y12_framedata_o[10] ;
wire \tile_x9y12_framedata_o[11] ;
wire \tile_x9y12_framedata_o[12] ;
wire \tile_x9y12_framedata_o[13] ;
wire \tile_x9y12_framedata_o[14] ;
wire \tile_x9y12_framedata_o[15] ;
wire \tile_x9y12_framedata_o[16] ;
wire \tile_x9y12_framedata_o[17] ;
wire \tile_x9y12_framedata_o[18] ;
wire \tile_x9y12_framedata_o[19] ;
wire \tile_x9y12_framedata_o[1] ;
wire \tile_x9y12_framedata_o[20] ;
wire \tile_x9y12_framedata_o[21] ;
wire \tile_x9y12_framedata_o[22] ;
wire \tile_x9y12_framedata_o[23] ;
wire \tile_x9y12_framedata_o[24] ;
wire \tile_x9y12_framedata_o[25] ;
wire \tile_x9y12_framedata_o[26] ;
wire \tile_x9y12_framedata_o[27] ;
wire \tile_x9y12_framedata_o[28] ;
wire \tile_x9y12_framedata_o[29] ;
wire \tile_x9y12_framedata_o[2] ;
wire \tile_x9y12_framedata_o[30] ;
wire \tile_x9y12_framedata_o[31] ;
wire \tile_x9y12_framedata_o[3] ;
wire \tile_x9y12_framedata_o[4] ;
wire \tile_x9y12_framedata_o[5] ;
wire \tile_x9y12_framedata_o[6] ;
wire \tile_x9y12_framedata_o[7] ;
wire \tile_x9y12_framedata_o[8] ;
wire \tile_x9y12_framedata_o[9] ;
wire \tile_x9y12_framestrobe_o[0] ;
wire \tile_x9y12_framestrobe_o[10] ;
wire \tile_x9y12_framestrobe_o[11] ;
wire \tile_x9y12_framestrobe_o[12] ;
wire \tile_x9y12_framestrobe_o[13] ;
wire \tile_x9y12_framestrobe_o[14] ;
wire \tile_x9y12_framestrobe_o[15] ;
wire \tile_x9y12_framestrobe_o[16] ;
wire \tile_x9y12_framestrobe_o[17] ;
wire \tile_x9y12_framestrobe_o[18] ;
wire \tile_x9y12_framestrobe_o[19] ;
wire \tile_x9y12_framestrobe_o[1] ;
wire \tile_x9y12_framestrobe_o[2] ;
wire \tile_x9y12_framestrobe_o[3] ;
wire \tile_x9y12_framestrobe_o[4] ;
wire \tile_x9y12_framestrobe_o[5] ;
wire \tile_x9y12_framestrobe_o[6] ;
wire \tile_x9y12_framestrobe_o[7] ;
wire \tile_x9y12_framestrobe_o[8] ;
wire \tile_x9y12_framestrobe_o[9] ;
wire \tile_x9y12_n1beg[0] ;
wire \tile_x9y12_n1beg[1] ;
wire \tile_x9y12_n1beg[2] ;
wire \tile_x9y12_n1beg[3] ;
wire \tile_x9y12_n2beg[0] ;
wire \tile_x9y12_n2beg[1] ;
wire \tile_x9y12_n2beg[2] ;
wire \tile_x9y12_n2beg[3] ;
wire \tile_x9y12_n2beg[4] ;
wire \tile_x9y12_n2beg[5] ;
wire \tile_x9y12_n2beg[6] ;
wire \tile_x9y12_n2beg[7] ;
wire \tile_x9y12_n2begb[0] ;
wire \tile_x9y12_n2begb[1] ;
wire \tile_x9y12_n2begb[2] ;
wire \tile_x9y12_n2begb[3] ;
wire \tile_x9y12_n2begb[4] ;
wire \tile_x9y12_n2begb[5] ;
wire \tile_x9y12_n2begb[6] ;
wire \tile_x9y12_n2begb[7] ;
wire \tile_x9y12_n4beg[0] ;
wire \tile_x9y12_n4beg[10] ;
wire \tile_x9y12_n4beg[11] ;
wire \tile_x9y12_n4beg[12] ;
wire \tile_x9y12_n4beg[13] ;
wire \tile_x9y12_n4beg[14] ;
wire \tile_x9y12_n4beg[15] ;
wire \tile_x9y12_n4beg[1] ;
wire \tile_x9y12_n4beg[2] ;
wire \tile_x9y12_n4beg[3] ;
wire \tile_x9y12_n4beg[4] ;
wire \tile_x9y12_n4beg[5] ;
wire \tile_x9y12_n4beg[6] ;
wire \tile_x9y12_n4beg[7] ;
wire \tile_x9y12_n4beg[8] ;
wire \tile_x9y12_n4beg[9] ;
wire \tile_x9y12_nn4beg[0] ;
wire \tile_x9y12_nn4beg[10] ;
wire \tile_x9y12_nn4beg[11] ;
wire \tile_x9y12_nn4beg[12] ;
wire \tile_x9y12_nn4beg[13] ;
wire \tile_x9y12_nn4beg[14] ;
wire \tile_x9y12_nn4beg[15] ;
wire \tile_x9y12_nn4beg[1] ;
wire \tile_x9y12_nn4beg[2] ;
wire \tile_x9y12_nn4beg[3] ;
wire \tile_x9y12_nn4beg[4] ;
wire \tile_x9y12_nn4beg[5] ;
wire \tile_x9y12_nn4beg[6] ;
wire \tile_x9y12_nn4beg[7] ;
wire \tile_x9y12_nn4beg[8] ;
wire \tile_x9y12_nn4beg[9] ;
wire \tile_x9y12_s1beg[0] ;
wire \tile_x9y12_s1beg[1] ;
wire \tile_x9y12_s1beg[2] ;
wire \tile_x9y12_s1beg[3] ;
wire \tile_x9y12_s2beg[0] ;
wire \tile_x9y12_s2beg[1] ;
wire \tile_x9y12_s2beg[2] ;
wire \tile_x9y12_s2beg[3] ;
wire \tile_x9y12_s2beg[4] ;
wire \tile_x9y12_s2beg[5] ;
wire \tile_x9y12_s2beg[6] ;
wire \tile_x9y12_s2beg[7] ;
wire \tile_x9y12_s2begb[0] ;
wire \tile_x9y12_s2begb[1] ;
wire \tile_x9y12_s2begb[2] ;
wire \tile_x9y12_s2begb[3] ;
wire \tile_x9y12_s2begb[4] ;
wire \tile_x9y12_s2begb[5] ;
wire \tile_x9y12_s2begb[6] ;
wire \tile_x9y12_s2begb[7] ;
wire \tile_x9y12_s4beg[0] ;
wire \tile_x9y12_s4beg[10] ;
wire \tile_x9y12_s4beg[11] ;
wire \tile_x9y12_s4beg[12] ;
wire \tile_x9y12_s4beg[13] ;
wire \tile_x9y12_s4beg[14] ;
wire \tile_x9y12_s4beg[15] ;
wire \tile_x9y12_s4beg[1] ;
wire \tile_x9y12_s4beg[2] ;
wire \tile_x9y12_s4beg[3] ;
wire \tile_x9y12_s4beg[4] ;
wire \tile_x9y12_s4beg[5] ;
wire \tile_x9y12_s4beg[6] ;
wire \tile_x9y12_s4beg[7] ;
wire \tile_x9y12_s4beg[8] ;
wire \tile_x9y12_s4beg[9] ;
wire \tile_x9y12_ss4beg[0] ;
wire \tile_x9y12_ss4beg[10] ;
wire \tile_x9y12_ss4beg[11] ;
wire \tile_x9y12_ss4beg[12] ;
wire \tile_x9y12_ss4beg[13] ;
wire \tile_x9y12_ss4beg[14] ;
wire \tile_x9y12_ss4beg[15] ;
wire \tile_x9y12_ss4beg[1] ;
wire \tile_x9y12_ss4beg[2] ;
wire \tile_x9y12_ss4beg[3] ;
wire \tile_x9y12_ss4beg[4] ;
wire \tile_x9y12_ss4beg[5] ;
wire \tile_x9y12_ss4beg[6] ;
wire \tile_x9y12_ss4beg[7] ;
wire \tile_x9y12_ss4beg[8] ;
wire \tile_x9y12_ss4beg[9] ;
wire tile_x9y12_userclko;
wire \tile_x9y12_w1beg[0] ;
wire \tile_x9y12_w1beg[1] ;
wire \tile_x9y12_w1beg[2] ;
wire \tile_x9y12_w1beg[3] ;
wire \tile_x9y12_w2beg[0] ;
wire \tile_x9y12_w2beg[1] ;
wire \tile_x9y12_w2beg[2] ;
wire \tile_x9y12_w2beg[3] ;
wire \tile_x9y12_w2beg[4] ;
wire \tile_x9y12_w2beg[5] ;
wire \tile_x9y12_w2beg[6] ;
wire \tile_x9y12_w2beg[7] ;
wire \tile_x9y12_w2begb[0] ;
wire \tile_x9y12_w2begb[1] ;
wire \tile_x9y12_w2begb[2] ;
wire \tile_x9y12_w2begb[3] ;
wire \tile_x9y12_w2begb[4] ;
wire \tile_x9y12_w2begb[5] ;
wire \tile_x9y12_w2begb[6] ;
wire \tile_x9y12_w2begb[7] ;
wire \tile_x9y12_w6beg[0] ;
wire \tile_x9y12_w6beg[10] ;
wire \tile_x9y12_w6beg[11] ;
wire \tile_x9y12_w6beg[1] ;
wire \tile_x9y12_w6beg[2] ;
wire \tile_x9y12_w6beg[3] ;
wire \tile_x9y12_w6beg[4] ;
wire \tile_x9y12_w6beg[5] ;
wire \tile_x9y12_w6beg[6] ;
wire \tile_x9y12_w6beg[7] ;
wire \tile_x9y12_w6beg[8] ;
wire \tile_x9y12_w6beg[9] ;
wire \tile_x9y12_ww4beg[0] ;
wire \tile_x9y12_ww4beg[10] ;
wire \tile_x9y12_ww4beg[11] ;
wire \tile_x9y12_ww4beg[12] ;
wire \tile_x9y12_ww4beg[13] ;
wire \tile_x9y12_ww4beg[14] ;
wire \tile_x9y12_ww4beg[15] ;
wire \tile_x9y12_ww4beg[1] ;
wire \tile_x9y12_ww4beg[2] ;
wire \tile_x9y12_ww4beg[3] ;
wire \tile_x9y12_ww4beg[4] ;
wire \tile_x9y12_ww4beg[5] ;
wire \tile_x9y12_ww4beg[6] ;
wire \tile_x9y12_ww4beg[7] ;
wire \tile_x9y12_ww4beg[8] ;
wire \tile_x9y12_ww4beg[9] ;
wire tile_x9y13_co;
wire \tile_x9y13_e1beg[0] ;
wire \tile_x9y13_e1beg[1] ;
wire \tile_x9y13_e1beg[2] ;
wire \tile_x9y13_e1beg[3] ;
wire \tile_x9y13_e2beg[0] ;
wire \tile_x9y13_e2beg[1] ;
wire \tile_x9y13_e2beg[2] ;
wire \tile_x9y13_e2beg[3] ;
wire \tile_x9y13_e2beg[4] ;
wire \tile_x9y13_e2beg[5] ;
wire \tile_x9y13_e2beg[6] ;
wire \tile_x9y13_e2beg[7] ;
wire \tile_x9y13_e2begb[0] ;
wire \tile_x9y13_e2begb[1] ;
wire \tile_x9y13_e2begb[2] ;
wire \tile_x9y13_e2begb[3] ;
wire \tile_x9y13_e2begb[4] ;
wire \tile_x9y13_e2begb[5] ;
wire \tile_x9y13_e2begb[6] ;
wire \tile_x9y13_e2begb[7] ;
wire \tile_x9y13_e6beg[0] ;
wire \tile_x9y13_e6beg[10] ;
wire \tile_x9y13_e6beg[11] ;
wire \tile_x9y13_e6beg[1] ;
wire \tile_x9y13_e6beg[2] ;
wire \tile_x9y13_e6beg[3] ;
wire \tile_x9y13_e6beg[4] ;
wire \tile_x9y13_e6beg[5] ;
wire \tile_x9y13_e6beg[6] ;
wire \tile_x9y13_e6beg[7] ;
wire \tile_x9y13_e6beg[8] ;
wire \tile_x9y13_e6beg[9] ;
wire \tile_x9y13_ee4beg[0] ;
wire \tile_x9y13_ee4beg[10] ;
wire \tile_x9y13_ee4beg[11] ;
wire \tile_x9y13_ee4beg[12] ;
wire \tile_x9y13_ee4beg[13] ;
wire \tile_x9y13_ee4beg[14] ;
wire \tile_x9y13_ee4beg[15] ;
wire \tile_x9y13_ee4beg[1] ;
wire \tile_x9y13_ee4beg[2] ;
wire \tile_x9y13_ee4beg[3] ;
wire \tile_x9y13_ee4beg[4] ;
wire \tile_x9y13_ee4beg[5] ;
wire \tile_x9y13_ee4beg[6] ;
wire \tile_x9y13_ee4beg[7] ;
wire \tile_x9y13_ee4beg[8] ;
wire \tile_x9y13_ee4beg[9] ;
wire \tile_x9y13_framedata_o[0] ;
wire \tile_x9y13_framedata_o[10] ;
wire \tile_x9y13_framedata_o[11] ;
wire \tile_x9y13_framedata_o[12] ;
wire \tile_x9y13_framedata_o[13] ;
wire \tile_x9y13_framedata_o[14] ;
wire \tile_x9y13_framedata_o[15] ;
wire \tile_x9y13_framedata_o[16] ;
wire \tile_x9y13_framedata_o[17] ;
wire \tile_x9y13_framedata_o[18] ;
wire \tile_x9y13_framedata_o[19] ;
wire \tile_x9y13_framedata_o[1] ;
wire \tile_x9y13_framedata_o[20] ;
wire \tile_x9y13_framedata_o[21] ;
wire \tile_x9y13_framedata_o[22] ;
wire \tile_x9y13_framedata_o[23] ;
wire \tile_x9y13_framedata_o[24] ;
wire \tile_x9y13_framedata_o[25] ;
wire \tile_x9y13_framedata_o[26] ;
wire \tile_x9y13_framedata_o[27] ;
wire \tile_x9y13_framedata_o[28] ;
wire \tile_x9y13_framedata_o[29] ;
wire \tile_x9y13_framedata_o[2] ;
wire \tile_x9y13_framedata_o[30] ;
wire \tile_x9y13_framedata_o[31] ;
wire \tile_x9y13_framedata_o[3] ;
wire \tile_x9y13_framedata_o[4] ;
wire \tile_x9y13_framedata_o[5] ;
wire \tile_x9y13_framedata_o[6] ;
wire \tile_x9y13_framedata_o[7] ;
wire \tile_x9y13_framedata_o[8] ;
wire \tile_x9y13_framedata_o[9] ;
wire \tile_x9y13_framestrobe_o[0] ;
wire \tile_x9y13_framestrobe_o[10] ;
wire \tile_x9y13_framestrobe_o[11] ;
wire \tile_x9y13_framestrobe_o[12] ;
wire \tile_x9y13_framestrobe_o[13] ;
wire \tile_x9y13_framestrobe_o[14] ;
wire \tile_x9y13_framestrobe_o[15] ;
wire \tile_x9y13_framestrobe_o[16] ;
wire \tile_x9y13_framestrobe_o[17] ;
wire \tile_x9y13_framestrobe_o[18] ;
wire \tile_x9y13_framestrobe_o[19] ;
wire \tile_x9y13_framestrobe_o[1] ;
wire \tile_x9y13_framestrobe_o[2] ;
wire \tile_x9y13_framestrobe_o[3] ;
wire \tile_x9y13_framestrobe_o[4] ;
wire \tile_x9y13_framestrobe_o[5] ;
wire \tile_x9y13_framestrobe_o[6] ;
wire \tile_x9y13_framestrobe_o[7] ;
wire \tile_x9y13_framestrobe_o[8] ;
wire \tile_x9y13_framestrobe_o[9] ;
wire \tile_x9y13_n1beg[0] ;
wire \tile_x9y13_n1beg[1] ;
wire \tile_x9y13_n1beg[2] ;
wire \tile_x9y13_n1beg[3] ;
wire \tile_x9y13_n2beg[0] ;
wire \tile_x9y13_n2beg[1] ;
wire \tile_x9y13_n2beg[2] ;
wire \tile_x9y13_n2beg[3] ;
wire \tile_x9y13_n2beg[4] ;
wire \tile_x9y13_n2beg[5] ;
wire \tile_x9y13_n2beg[6] ;
wire \tile_x9y13_n2beg[7] ;
wire \tile_x9y13_n2begb[0] ;
wire \tile_x9y13_n2begb[1] ;
wire \tile_x9y13_n2begb[2] ;
wire \tile_x9y13_n2begb[3] ;
wire \tile_x9y13_n2begb[4] ;
wire \tile_x9y13_n2begb[5] ;
wire \tile_x9y13_n2begb[6] ;
wire \tile_x9y13_n2begb[7] ;
wire \tile_x9y13_n4beg[0] ;
wire \tile_x9y13_n4beg[10] ;
wire \tile_x9y13_n4beg[11] ;
wire \tile_x9y13_n4beg[12] ;
wire \tile_x9y13_n4beg[13] ;
wire \tile_x9y13_n4beg[14] ;
wire \tile_x9y13_n4beg[15] ;
wire \tile_x9y13_n4beg[1] ;
wire \tile_x9y13_n4beg[2] ;
wire \tile_x9y13_n4beg[3] ;
wire \tile_x9y13_n4beg[4] ;
wire \tile_x9y13_n4beg[5] ;
wire \tile_x9y13_n4beg[6] ;
wire \tile_x9y13_n4beg[7] ;
wire \tile_x9y13_n4beg[8] ;
wire \tile_x9y13_n4beg[9] ;
wire \tile_x9y13_nn4beg[0] ;
wire \tile_x9y13_nn4beg[10] ;
wire \tile_x9y13_nn4beg[11] ;
wire \tile_x9y13_nn4beg[12] ;
wire \tile_x9y13_nn4beg[13] ;
wire \tile_x9y13_nn4beg[14] ;
wire \tile_x9y13_nn4beg[15] ;
wire \tile_x9y13_nn4beg[1] ;
wire \tile_x9y13_nn4beg[2] ;
wire \tile_x9y13_nn4beg[3] ;
wire \tile_x9y13_nn4beg[4] ;
wire \tile_x9y13_nn4beg[5] ;
wire \tile_x9y13_nn4beg[6] ;
wire \tile_x9y13_nn4beg[7] ;
wire \tile_x9y13_nn4beg[8] ;
wire \tile_x9y13_nn4beg[9] ;
wire \tile_x9y13_s1beg[0] ;
wire \tile_x9y13_s1beg[1] ;
wire \tile_x9y13_s1beg[2] ;
wire \tile_x9y13_s1beg[3] ;
wire \tile_x9y13_s2beg[0] ;
wire \tile_x9y13_s2beg[1] ;
wire \tile_x9y13_s2beg[2] ;
wire \tile_x9y13_s2beg[3] ;
wire \tile_x9y13_s2beg[4] ;
wire \tile_x9y13_s2beg[5] ;
wire \tile_x9y13_s2beg[6] ;
wire \tile_x9y13_s2beg[7] ;
wire \tile_x9y13_s2begb[0] ;
wire \tile_x9y13_s2begb[1] ;
wire \tile_x9y13_s2begb[2] ;
wire \tile_x9y13_s2begb[3] ;
wire \tile_x9y13_s2begb[4] ;
wire \tile_x9y13_s2begb[5] ;
wire \tile_x9y13_s2begb[6] ;
wire \tile_x9y13_s2begb[7] ;
wire \tile_x9y13_s4beg[0] ;
wire \tile_x9y13_s4beg[10] ;
wire \tile_x9y13_s4beg[11] ;
wire \tile_x9y13_s4beg[12] ;
wire \tile_x9y13_s4beg[13] ;
wire \tile_x9y13_s4beg[14] ;
wire \tile_x9y13_s4beg[15] ;
wire \tile_x9y13_s4beg[1] ;
wire \tile_x9y13_s4beg[2] ;
wire \tile_x9y13_s4beg[3] ;
wire \tile_x9y13_s4beg[4] ;
wire \tile_x9y13_s4beg[5] ;
wire \tile_x9y13_s4beg[6] ;
wire \tile_x9y13_s4beg[7] ;
wire \tile_x9y13_s4beg[8] ;
wire \tile_x9y13_s4beg[9] ;
wire \tile_x9y13_ss4beg[0] ;
wire \tile_x9y13_ss4beg[10] ;
wire \tile_x9y13_ss4beg[11] ;
wire \tile_x9y13_ss4beg[12] ;
wire \tile_x9y13_ss4beg[13] ;
wire \tile_x9y13_ss4beg[14] ;
wire \tile_x9y13_ss4beg[15] ;
wire \tile_x9y13_ss4beg[1] ;
wire \tile_x9y13_ss4beg[2] ;
wire \tile_x9y13_ss4beg[3] ;
wire \tile_x9y13_ss4beg[4] ;
wire \tile_x9y13_ss4beg[5] ;
wire \tile_x9y13_ss4beg[6] ;
wire \tile_x9y13_ss4beg[7] ;
wire \tile_x9y13_ss4beg[8] ;
wire \tile_x9y13_ss4beg[9] ;
wire tile_x9y13_userclko;
wire \tile_x9y13_w1beg[0] ;
wire \tile_x9y13_w1beg[1] ;
wire \tile_x9y13_w1beg[2] ;
wire \tile_x9y13_w1beg[3] ;
wire \tile_x9y13_w2beg[0] ;
wire \tile_x9y13_w2beg[1] ;
wire \tile_x9y13_w2beg[2] ;
wire \tile_x9y13_w2beg[3] ;
wire \tile_x9y13_w2beg[4] ;
wire \tile_x9y13_w2beg[5] ;
wire \tile_x9y13_w2beg[6] ;
wire \tile_x9y13_w2beg[7] ;
wire \tile_x9y13_w2begb[0] ;
wire \tile_x9y13_w2begb[1] ;
wire \tile_x9y13_w2begb[2] ;
wire \tile_x9y13_w2begb[3] ;
wire \tile_x9y13_w2begb[4] ;
wire \tile_x9y13_w2begb[5] ;
wire \tile_x9y13_w2begb[6] ;
wire \tile_x9y13_w2begb[7] ;
wire \tile_x9y13_w6beg[0] ;
wire \tile_x9y13_w6beg[10] ;
wire \tile_x9y13_w6beg[11] ;
wire \tile_x9y13_w6beg[1] ;
wire \tile_x9y13_w6beg[2] ;
wire \tile_x9y13_w6beg[3] ;
wire \tile_x9y13_w6beg[4] ;
wire \tile_x9y13_w6beg[5] ;
wire \tile_x9y13_w6beg[6] ;
wire \tile_x9y13_w6beg[7] ;
wire \tile_x9y13_w6beg[8] ;
wire \tile_x9y13_w6beg[9] ;
wire \tile_x9y13_ww4beg[0] ;
wire \tile_x9y13_ww4beg[10] ;
wire \tile_x9y13_ww4beg[11] ;
wire \tile_x9y13_ww4beg[12] ;
wire \tile_x9y13_ww4beg[13] ;
wire \tile_x9y13_ww4beg[14] ;
wire \tile_x9y13_ww4beg[15] ;
wire \tile_x9y13_ww4beg[1] ;
wire \tile_x9y13_ww4beg[2] ;
wire \tile_x9y13_ww4beg[3] ;
wire \tile_x9y13_ww4beg[4] ;
wire \tile_x9y13_ww4beg[5] ;
wire \tile_x9y13_ww4beg[6] ;
wire \tile_x9y13_ww4beg[7] ;
wire \tile_x9y13_ww4beg[8] ;
wire \tile_x9y13_ww4beg[9] ;
wire tile_x9y14_co;
wire \tile_x9y14_e1beg[0] ;
wire \tile_x9y14_e1beg[1] ;
wire \tile_x9y14_e1beg[2] ;
wire \tile_x9y14_e1beg[3] ;
wire \tile_x9y14_e2beg[0] ;
wire \tile_x9y14_e2beg[1] ;
wire \tile_x9y14_e2beg[2] ;
wire \tile_x9y14_e2beg[3] ;
wire \tile_x9y14_e2beg[4] ;
wire \tile_x9y14_e2beg[5] ;
wire \tile_x9y14_e2beg[6] ;
wire \tile_x9y14_e2beg[7] ;
wire \tile_x9y14_e2begb[0] ;
wire \tile_x9y14_e2begb[1] ;
wire \tile_x9y14_e2begb[2] ;
wire \tile_x9y14_e2begb[3] ;
wire \tile_x9y14_e2begb[4] ;
wire \tile_x9y14_e2begb[5] ;
wire \tile_x9y14_e2begb[6] ;
wire \tile_x9y14_e2begb[7] ;
wire \tile_x9y14_e6beg[0] ;
wire \tile_x9y14_e6beg[10] ;
wire \tile_x9y14_e6beg[11] ;
wire \tile_x9y14_e6beg[1] ;
wire \tile_x9y14_e6beg[2] ;
wire \tile_x9y14_e6beg[3] ;
wire \tile_x9y14_e6beg[4] ;
wire \tile_x9y14_e6beg[5] ;
wire \tile_x9y14_e6beg[6] ;
wire \tile_x9y14_e6beg[7] ;
wire \tile_x9y14_e6beg[8] ;
wire \tile_x9y14_e6beg[9] ;
wire \tile_x9y14_ee4beg[0] ;
wire \tile_x9y14_ee4beg[10] ;
wire \tile_x9y14_ee4beg[11] ;
wire \tile_x9y14_ee4beg[12] ;
wire \tile_x9y14_ee4beg[13] ;
wire \tile_x9y14_ee4beg[14] ;
wire \tile_x9y14_ee4beg[15] ;
wire \tile_x9y14_ee4beg[1] ;
wire \tile_x9y14_ee4beg[2] ;
wire \tile_x9y14_ee4beg[3] ;
wire \tile_x9y14_ee4beg[4] ;
wire \tile_x9y14_ee4beg[5] ;
wire \tile_x9y14_ee4beg[6] ;
wire \tile_x9y14_ee4beg[7] ;
wire \tile_x9y14_ee4beg[8] ;
wire \tile_x9y14_ee4beg[9] ;
wire \tile_x9y14_framedata_o[0] ;
wire \tile_x9y14_framedata_o[10] ;
wire \tile_x9y14_framedata_o[11] ;
wire \tile_x9y14_framedata_o[12] ;
wire \tile_x9y14_framedata_o[13] ;
wire \tile_x9y14_framedata_o[14] ;
wire \tile_x9y14_framedata_o[15] ;
wire \tile_x9y14_framedata_o[16] ;
wire \tile_x9y14_framedata_o[17] ;
wire \tile_x9y14_framedata_o[18] ;
wire \tile_x9y14_framedata_o[19] ;
wire \tile_x9y14_framedata_o[1] ;
wire \tile_x9y14_framedata_o[20] ;
wire \tile_x9y14_framedata_o[21] ;
wire \tile_x9y14_framedata_o[22] ;
wire \tile_x9y14_framedata_o[23] ;
wire \tile_x9y14_framedata_o[24] ;
wire \tile_x9y14_framedata_o[25] ;
wire \tile_x9y14_framedata_o[26] ;
wire \tile_x9y14_framedata_o[27] ;
wire \tile_x9y14_framedata_o[28] ;
wire \tile_x9y14_framedata_o[29] ;
wire \tile_x9y14_framedata_o[2] ;
wire \tile_x9y14_framedata_o[30] ;
wire \tile_x9y14_framedata_o[31] ;
wire \tile_x9y14_framedata_o[3] ;
wire \tile_x9y14_framedata_o[4] ;
wire \tile_x9y14_framedata_o[5] ;
wire \tile_x9y14_framedata_o[6] ;
wire \tile_x9y14_framedata_o[7] ;
wire \tile_x9y14_framedata_o[8] ;
wire \tile_x9y14_framedata_o[9] ;
wire \tile_x9y14_framestrobe_o[0] ;
wire \tile_x9y14_framestrobe_o[10] ;
wire \tile_x9y14_framestrobe_o[11] ;
wire \tile_x9y14_framestrobe_o[12] ;
wire \tile_x9y14_framestrobe_o[13] ;
wire \tile_x9y14_framestrobe_o[14] ;
wire \tile_x9y14_framestrobe_o[15] ;
wire \tile_x9y14_framestrobe_o[16] ;
wire \tile_x9y14_framestrobe_o[17] ;
wire \tile_x9y14_framestrobe_o[18] ;
wire \tile_x9y14_framestrobe_o[19] ;
wire \tile_x9y14_framestrobe_o[1] ;
wire \tile_x9y14_framestrobe_o[2] ;
wire \tile_x9y14_framestrobe_o[3] ;
wire \tile_x9y14_framestrobe_o[4] ;
wire \tile_x9y14_framestrobe_o[5] ;
wire \tile_x9y14_framestrobe_o[6] ;
wire \tile_x9y14_framestrobe_o[7] ;
wire \tile_x9y14_framestrobe_o[8] ;
wire \tile_x9y14_framestrobe_o[9] ;
wire \tile_x9y14_n1beg[0] ;
wire \tile_x9y14_n1beg[1] ;
wire \tile_x9y14_n1beg[2] ;
wire \tile_x9y14_n1beg[3] ;
wire \tile_x9y14_n2beg[0] ;
wire \tile_x9y14_n2beg[1] ;
wire \tile_x9y14_n2beg[2] ;
wire \tile_x9y14_n2beg[3] ;
wire \tile_x9y14_n2beg[4] ;
wire \tile_x9y14_n2beg[5] ;
wire \tile_x9y14_n2beg[6] ;
wire \tile_x9y14_n2beg[7] ;
wire \tile_x9y14_n2begb[0] ;
wire \tile_x9y14_n2begb[1] ;
wire \tile_x9y14_n2begb[2] ;
wire \tile_x9y14_n2begb[3] ;
wire \tile_x9y14_n2begb[4] ;
wire \tile_x9y14_n2begb[5] ;
wire \tile_x9y14_n2begb[6] ;
wire \tile_x9y14_n2begb[7] ;
wire \tile_x9y14_n4beg[0] ;
wire \tile_x9y14_n4beg[10] ;
wire \tile_x9y14_n4beg[11] ;
wire \tile_x9y14_n4beg[12] ;
wire \tile_x9y14_n4beg[13] ;
wire \tile_x9y14_n4beg[14] ;
wire \tile_x9y14_n4beg[15] ;
wire \tile_x9y14_n4beg[1] ;
wire \tile_x9y14_n4beg[2] ;
wire \tile_x9y14_n4beg[3] ;
wire \tile_x9y14_n4beg[4] ;
wire \tile_x9y14_n4beg[5] ;
wire \tile_x9y14_n4beg[6] ;
wire \tile_x9y14_n4beg[7] ;
wire \tile_x9y14_n4beg[8] ;
wire \tile_x9y14_n4beg[9] ;
wire \tile_x9y14_nn4beg[0] ;
wire \tile_x9y14_nn4beg[10] ;
wire \tile_x9y14_nn4beg[11] ;
wire \tile_x9y14_nn4beg[12] ;
wire \tile_x9y14_nn4beg[13] ;
wire \tile_x9y14_nn4beg[14] ;
wire \tile_x9y14_nn4beg[15] ;
wire \tile_x9y14_nn4beg[1] ;
wire \tile_x9y14_nn4beg[2] ;
wire \tile_x9y14_nn4beg[3] ;
wire \tile_x9y14_nn4beg[4] ;
wire \tile_x9y14_nn4beg[5] ;
wire \tile_x9y14_nn4beg[6] ;
wire \tile_x9y14_nn4beg[7] ;
wire \tile_x9y14_nn4beg[8] ;
wire \tile_x9y14_nn4beg[9] ;
wire \tile_x9y14_s1beg[0] ;
wire \tile_x9y14_s1beg[1] ;
wire \tile_x9y14_s1beg[2] ;
wire \tile_x9y14_s1beg[3] ;
wire \tile_x9y14_s2beg[0] ;
wire \tile_x9y14_s2beg[1] ;
wire \tile_x9y14_s2beg[2] ;
wire \tile_x9y14_s2beg[3] ;
wire \tile_x9y14_s2beg[4] ;
wire \tile_x9y14_s2beg[5] ;
wire \tile_x9y14_s2beg[6] ;
wire \tile_x9y14_s2beg[7] ;
wire \tile_x9y14_s2begb[0] ;
wire \tile_x9y14_s2begb[1] ;
wire \tile_x9y14_s2begb[2] ;
wire \tile_x9y14_s2begb[3] ;
wire \tile_x9y14_s2begb[4] ;
wire \tile_x9y14_s2begb[5] ;
wire \tile_x9y14_s2begb[6] ;
wire \tile_x9y14_s2begb[7] ;
wire \tile_x9y14_s4beg[0] ;
wire \tile_x9y14_s4beg[10] ;
wire \tile_x9y14_s4beg[11] ;
wire \tile_x9y14_s4beg[12] ;
wire \tile_x9y14_s4beg[13] ;
wire \tile_x9y14_s4beg[14] ;
wire \tile_x9y14_s4beg[15] ;
wire \tile_x9y14_s4beg[1] ;
wire \tile_x9y14_s4beg[2] ;
wire \tile_x9y14_s4beg[3] ;
wire \tile_x9y14_s4beg[4] ;
wire \tile_x9y14_s4beg[5] ;
wire \tile_x9y14_s4beg[6] ;
wire \tile_x9y14_s4beg[7] ;
wire \tile_x9y14_s4beg[8] ;
wire \tile_x9y14_s4beg[9] ;
wire \tile_x9y14_ss4beg[0] ;
wire \tile_x9y14_ss4beg[10] ;
wire \tile_x9y14_ss4beg[11] ;
wire \tile_x9y14_ss4beg[12] ;
wire \tile_x9y14_ss4beg[13] ;
wire \tile_x9y14_ss4beg[14] ;
wire \tile_x9y14_ss4beg[15] ;
wire \tile_x9y14_ss4beg[1] ;
wire \tile_x9y14_ss4beg[2] ;
wire \tile_x9y14_ss4beg[3] ;
wire \tile_x9y14_ss4beg[4] ;
wire \tile_x9y14_ss4beg[5] ;
wire \tile_x9y14_ss4beg[6] ;
wire \tile_x9y14_ss4beg[7] ;
wire \tile_x9y14_ss4beg[8] ;
wire \tile_x9y14_ss4beg[9] ;
wire tile_x9y14_userclko;
wire \tile_x9y14_w1beg[0] ;
wire \tile_x9y14_w1beg[1] ;
wire \tile_x9y14_w1beg[2] ;
wire \tile_x9y14_w1beg[3] ;
wire \tile_x9y14_w2beg[0] ;
wire \tile_x9y14_w2beg[1] ;
wire \tile_x9y14_w2beg[2] ;
wire \tile_x9y14_w2beg[3] ;
wire \tile_x9y14_w2beg[4] ;
wire \tile_x9y14_w2beg[5] ;
wire \tile_x9y14_w2beg[6] ;
wire \tile_x9y14_w2beg[7] ;
wire \tile_x9y14_w2begb[0] ;
wire \tile_x9y14_w2begb[1] ;
wire \tile_x9y14_w2begb[2] ;
wire \tile_x9y14_w2begb[3] ;
wire \tile_x9y14_w2begb[4] ;
wire \tile_x9y14_w2begb[5] ;
wire \tile_x9y14_w2begb[6] ;
wire \tile_x9y14_w2begb[7] ;
wire \tile_x9y14_w6beg[0] ;
wire \tile_x9y14_w6beg[10] ;
wire \tile_x9y14_w6beg[11] ;
wire \tile_x9y14_w6beg[1] ;
wire \tile_x9y14_w6beg[2] ;
wire \tile_x9y14_w6beg[3] ;
wire \tile_x9y14_w6beg[4] ;
wire \tile_x9y14_w6beg[5] ;
wire \tile_x9y14_w6beg[6] ;
wire \tile_x9y14_w6beg[7] ;
wire \tile_x9y14_w6beg[8] ;
wire \tile_x9y14_w6beg[9] ;
wire \tile_x9y14_ww4beg[0] ;
wire \tile_x9y14_ww4beg[10] ;
wire \tile_x9y14_ww4beg[11] ;
wire \tile_x9y14_ww4beg[12] ;
wire \tile_x9y14_ww4beg[13] ;
wire \tile_x9y14_ww4beg[14] ;
wire \tile_x9y14_ww4beg[15] ;
wire \tile_x9y14_ww4beg[1] ;
wire \tile_x9y14_ww4beg[2] ;
wire \tile_x9y14_ww4beg[3] ;
wire \tile_x9y14_ww4beg[4] ;
wire \tile_x9y14_ww4beg[5] ;
wire \tile_x9y14_ww4beg[6] ;
wire \tile_x9y14_ww4beg[7] ;
wire \tile_x9y14_ww4beg[8] ;
wire \tile_x9y14_ww4beg[9] ;
wire tile_x9y15_co;
wire \tile_x9y15_framestrobe_o[0] ;
wire \tile_x9y15_framestrobe_o[10] ;
wire \tile_x9y15_framestrobe_o[11] ;
wire \tile_x9y15_framestrobe_o[12] ;
wire \tile_x9y15_framestrobe_o[13] ;
wire \tile_x9y15_framestrobe_o[14] ;
wire \tile_x9y15_framestrobe_o[15] ;
wire \tile_x9y15_framestrobe_o[16] ;
wire \tile_x9y15_framestrobe_o[17] ;
wire \tile_x9y15_framestrobe_o[18] ;
wire \tile_x9y15_framestrobe_o[19] ;
wire \tile_x9y15_framestrobe_o[1] ;
wire \tile_x9y15_framestrobe_o[2] ;
wire \tile_x9y15_framestrobe_o[3] ;
wire \tile_x9y15_framestrobe_o[4] ;
wire \tile_x9y15_framestrobe_o[5] ;
wire \tile_x9y15_framestrobe_o[6] ;
wire \tile_x9y15_framestrobe_o[7] ;
wire \tile_x9y15_framestrobe_o[8] ;
wire \tile_x9y15_framestrobe_o[9] ;
wire \tile_x9y15_n1beg[0] ;
wire \tile_x9y15_n1beg[1] ;
wire \tile_x9y15_n1beg[2] ;
wire \tile_x9y15_n1beg[3] ;
wire \tile_x9y15_n2beg[0] ;
wire \tile_x9y15_n2beg[1] ;
wire \tile_x9y15_n2beg[2] ;
wire \tile_x9y15_n2beg[3] ;
wire \tile_x9y15_n2beg[4] ;
wire \tile_x9y15_n2beg[5] ;
wire \tile_x9y15_n2beg[6] ;
wire \tile_x9y15_n2beg[7] ;
wire \tile_x9y15_n2begb[0] ;
wire \tile_x9y15_n2begb[1] ;
wire \tile_x9y15_n2begb[2] ;
wire \tile_x9y15_n2begb[3] ;
wire \tile_x9y15_n2begb[4] ;
wire \tile_x9y15_n2begb[5] ;
wire \tile_x9y15_n2begb[6] ;
wire \tile_x9y15_n2begb[7] ;
wire \tile_x9y15_n4beg[0] ;
wire \tile_x9y15_n4beg[10] ;
wire \tile_x9y15_n4beg[11] ;
wire \tile_x9y15_n4beg[12] ;
wire \tile_x9y15_n4beg[13] ;
wire \tile_x9y15_n4beg[14] ;
wire \tile_x9y15_n4beg[15] ;
wire \tile_x9y15_n4beg[1] ;
wire \tile_x9y15_n4beg[2] ;
wire \tile_x9y15_n4beg[3] ;
wire \tile_x9y15_n4beg[4] ;
wire \tile_x9y15_n4beg[5] ;
wire \tile_x9y15_n4beg[6] ;
wire \tile_x9y15_n4beg[7] ;
wire \tile_x9y15_n4beg[8] ;
wire \tile_x9y15_n4beg[9] ;
wire \tile_x9y15_nn4beg[0] ;
wire \tile_x9y15_nn4beg[10] ;
wire \tile_x9y15_nn4beg[11] ;
wire \tile_x9y15_nn4beg[12] ;
wire \tile_x9y15_nn4beg[13] ;
wire \tile_x9y15_nn4beg[14] ;
wire \tile_x9y15_nn4beg[15] ;
wire \tile_x9y15_nn4beg[1] ;
wire \tile_x9y15_nn4beg[2] ;
wire \tile_x9y15_nn4beg[3] ;
wire \tile_x9y15_nn4beg[4] ;
wire \tile_x9y15_nn4beg[5] ;
wire \tile_x9y15_nn4beg[6] ;
wire \tile_x9y15_nn4beg[7] ;
wire \tile_x9y15_nn4beg[8] ;
wire \tile_x9y15_nn4beg[9] ;
wire tile_x9y15_userclko;
wire tile_x9y1_co;
wire \tile_x9y1_e1beg[0] ;
wire \tile_x9y1_e1beg[1] ;
wire \tile_x9y1_e1beg[2] ;
wire \tile_x9y1_e1beg[3] ;
wire \tile_x9y1_e2beg[0] ;
wire \tile_x9y1_e2beg[1] ;
wire \tile_x9y1_e2beg[2] ;
wire \tile_x9y1_e2beg[3] ;
wire \tile_x9y1_e2beg[4] ;
wire \tile_x9y1_e2beg[5] ;
wire \tile_x9y1_e2beg[6] ;
wire \tile_x9y1_e2beg[7] ;
wire \tile_x9y1_e2begb[0] ;
wire \tile_x9y1_e2begb[1] ;
wire \tile_x9y1_e2begb[2] ;
wire \tile_x9y1_e2begb[3] ;
wire \tile_x9y1_e2begb[4] ;
wire \tile_x9y1_e2begb[5] ;
wire \tile_x9y1_e2begb[6] ;
wire \tile_x9y1_e2begb[7] ;
wire \tile_x9y1_e6beg[0] ;
wire \tile_x9y1_e6beg[10] ;
wire \tile_x9y1_e6beg[11] ;
wire \tile_x9y1_e6beg[1] ;
wire \tile_x9y1_e6beg[2] ;
wire \tile_x9y1_e6beg[3] ;
wire \tile_x9y1_e6beg[4] ;
wire \tile_x9y1_e6beg[5] ;
wire \tile_x9y1_e6beg[6] ;
wire \tile_x9y1_e6beg[7] ;
wire \tile_x9y1_e6beg[8] ;
wire \tile_x9y1_e6beg[9] ;
wire \tile_x9y1_ee4beg[0] ;
wire \tile_x9y1_ee4beg[10] ;
wire \tile_x9y1_ee4beg[11] ;
wire \tile_x9y1_ee4beg[12] ;
wire \tile_x9y1_ee4beg[13] ;
wire \tile_x9y1_ee4beg[14] ;
wire \tile_x9y1_ee4beg[15] ;
wire \tile_x9y1_ee4beg[1] ;
wire \tile_x9y1_ee4beg[2] ;
wire \tile_x9y1_ee4beg[3] ;
wire \tile_x9y1_ee4beg[4] ;
wire \tile_x9y1_ee4beg[5] ;
wire \tile_x9y1_ee4beg[6] ;
wire \tile_x9y1_ee4beg[7] ;
wire \tile_x9y1_ee4beg[8] ;
wire \tile_x9y1_ee4beg[9] ;
wire \tile_x9y1_framedata_o[0] ;
wire \tile_x9y1_framedata_o[10] ;
wire \tile_x9y1_framedata_o[11] ;
wire \tile_x9y1_framedata_o[12] ;
wire \tile_x9y1_framedata_o[13] ;
wire \tile_x9y1_framedata_o[14] ;
wire \tile_x9y1_framedata_o[15] ;
wire \tile_x9y1_framedata_o[16] ;
wire \tile_x9y1_framedata_o[17] ;
wire \tile_x9y1_framedata_o[18] ;
wire \tile_x9y1_framedata_o[19] ;
wire \tile_x9y1_framedata_o[1] ;
wire \tile_x9y1_framedata_o[20] ;
wire \tile_x9y1_framedata_o[21] ;
wire \tile_x9y1_framedata_o[22] ;
wire \tile_x9y1_framedata_o[23] ;
wire \tile_x9y1_framedata_o[24] ;
wire \tile_x9y1_framedata_o[25] ;
wire \tile_x9y1_framedata_o[26] ;
wire \tile_x9y1_framedata_o[27] ;
wire \tile_x9y1_framedata_o[28] ;
wire \tile_x9y1_framedata_o[29] ;
wire \tile_x9y1_framedata_o[2] ;
wire \tile_x9y1_framedata_o[30] ;
wire \tile_x9y1_framedata_o[31] ;
wire \tile_x9y1_framedata_o[3] ;
wire \tile_x9y1_framedata_o[4] ;
wire \tile_x9y1_framedata_o[5] ;
wire \tile_x9y1_framedata_o[6] ;
wire \tile_x9y1_framedata_o[7] ;
wire \tile_x9y1_framedata_o[8] ;
wire \tile_x9y1_framedata_o[9] ;
wire \tile_x9y1_framestrobe_o[0] ;
wire \tile_x9y1_framestrobe_o[10] ;
wire \tile_x9y1_framestrobe_o[11] ;
wire \tile_x9y1_framestrobe_o[12] ;
wire \tile_x9y1_framestrobe_o[13] ;
wire \tile_x9y1_framestrobe_o[14] ;
wire \tile_x9y1_framestrobe_o[15] ;
wire \tile_x9y1_framestrobe_o[16] ;
wire \tile_x9y1_framestrobe_o[17] ;
wire \tile_x9y1_framestrobe_o[18] ;
wire \tile_x9y1_framestrobe_o[19] ;
wire \tile_x9y1_framestrobe_o[1] ;
wire \tile_x9y1_framestrobe_o[2] ;
wire \tile_x9y1_framestrobe_o[3] ;
wire \tile_x9y1_framestrobe_o[4] ;
wire \tile_x9y1_framestrobe_o[5] ;
wire \tile_x9y1_framestrobe_o[6] ;
wire \tile_x9y1_framestrobe_o[7] ;
wire \tile_x9y1_framestrobe_o[8] ;
wire \tile_x9y1_framestrobe_o[9] ;
wire \tile_x9y1_n1beg[0] ;
wire \tile_x9y1_n1beg[1] ;
wire \tile_x9y1_n1beg[2] ;
wire \tile_x9y1_n1beg[3] ;
wire \tile_x9y1_n2beg[0] ;
wire \tile_x9y1_n2beg[1] ;
wire \tile_x9y1_n2beg[2] ;
wire \tile_x9y1_n2beg[3] ;
wire \tile_x9y1_n2beg[4] ;
wire \tile_x9y1_n2beg[5] ;
wire \tile_x9y1_n2beg[6] ;
wire \tile_x9y1_n2beg[7] ;
wire \tile_x9y1_n2begb[0] ;
wire \tile_x9y1_n2begb[1] ;
wire \tile_x9y1_n2begb[2] ;
wire \tile_x9y1_n2begb[3] ;
wire \tile_x9y1_n2begb[4] ;
wire \tile_x9y1_n2begb[5] ;
wire \tile_x9y1_n2begb[6] ;
wire \tile_x9y1_n2begb[7] ;
wire \tile_x9y1_n4beg[0] ;
wire \tile_x9y1_n4beg[10] ;
wire \tile_x9y1_n4beg[11] ;
wire \tile_x9y1_n4beg[12] ;
wire \tile_x9y1_n4beg[13] ;
wire \tile_x9y1_n4beg[14] ;
wire \tile_x9y1_n4beg[15] ;
wire \tile_x9y1_n4beg[1] ;
wire \tile_x9y1_n4beg[2] ;
wire \tile_x9y1_n4beg[3] ;
wire \tile_x9y1_n4beg[4] ;
wire \tile_x9y1_n4beg[5] ;
wire \tile_x9y1_n4beg[6] ;
wire \tile_x9y1_n4beg[7] ;
wire \tile_x9y1_n4beg[8] ;
wire \tile_x9y1_n4beg[9] ;
wire \tile_x9y1_nn4beg[0] ;
wire \tile_x9y1_nn4beg[10] ;
wire \tile_x9y1_nn4beg[11] ;
wire \tile_x9y1_nn4beg[12] ;
wire \tile_x9y1_nn4beg[13] ;
wire \tile_x9y1_nn4beg[14] ;
wire \tile_x9y1_nn4beg[15] ;
wire \tile_x9y1_nn4beg[1] ;
wire \tile_x9y1_nn4beg[2] ;
wire \tile_x9y1_nn4beg[3] ;
wire \tile_x9y1_nn4beg[4] ;
wire \tile_x9y1_nn4beg[5] ;
wire \tile_x9y1_nn4beg[6] ;
wire \tile_x9y1_nn4beg[7] ;
wire \tile_x9y1_nn4beg[8] ;
wire \tile_x9y1_nn4beg[9] ;
wire \tile_x9y1_s1beg[0] ;
wire \tile_x9y1_s1beg[1] ;
wire \tile_x9y1_s1beg[2] ;
wire \tile_x9y1_s1beg[3] ;
wire \tile_x9y1_s2beg[0] ;
wire \tile_x9y1_s2beg[1] ;
wire \tile_x9y1_s2beg[2] ;
wire \tile_x9y1_s2beg[3] ;
wire \tile_x9y1_s2beg[4] ;
wire \tile_x9y1_s2beg[5] ;
wire \tile_x9y1_s2beg[6] ;
wire \tile_x9y1_s2beg[7] ;
wire \tile_x9y1_s2begb[0] ;
wire \tile_x9y1_s2begb[1] ;
wire \tile_x9y1_s2begb[2] ;
wire \tile_x9y1_s2begb[3] ;
wire \tile_x9y1_s2begb[4] ;
wire \tile_x9y1_s2begb[5] ;
wire \tile_x9y1_s2begb[6] ;
wire \tile_x9y1_s2begb[7] ;
wire \tile_x9y1_s4beg[0] ;
wire \tile_x9y1_s4beg[10] ;
wire \tile_x9y1_s4beg[11] ;
wire \tile_x9y1_s4beg[12] ;
wire \tile_x9y1_s4beg[13] ;
wire \tile_x9y1_s4beg[14] ;
wire \tile_x9y1_s4beg[15] ;
wire \tile_x9y1_s4beg[1] ;
wire \tile_x9y1_s4beg[2] ;
wire \tile_x9y1_s4beg[3] ;
wire \tile_x9y1_s4beg[4] ;
wire \tile_x9y1_s4beg[5] ;
wire \tile_x9y1_s4beg[6] ;
wire \tile_x9y1_s4beg[7] ;
wire \tile_x9y1_s4beg[8] ;
wire \tile_x9y1_s4beg[9] ;
wire \tile_x9y1_ss4beg[0] ;
wire \tile_x9y1_ss4beg[10] ;
wire \tile_x9y1_ss4beg[11] ;
wire \tile_x9y1_ss4beg[12] ;
wire \tile_x9y1_ss4beg[13] ;
wire \tile_x9y1_ss4beg[14] ;
wire \tile_x9y1_ss4beg[15] ;
wire \tile_x9y1_ss4beg[1] ;
wire \tile_x9y1_ss4beg[2] ;
wire \tile_x9y1_ss4beg[3] ;
wire \tile_x9y1_ss4beg[4] ;
wire \tile_x9y1_ss4beg[5] ;
wire \tile_x9y1_ss4beg[6] ;
wire \tile_x9y1_ss4beg[7] ;
wire \tile_x9y1_ss4beg[8] ;
wire \tile_x9y1_ss4beg[9] ;
wire tile_x9y1_userclko;
wire \tile_x9y1_w1beg[0] ;
wire \tile_x9y1_w1beg[1] ;
wire \tile_x9y1_w1beg[2] ;
wire \tile_x9y1_w1beg[3] ;
wire \tile_x9y1_w2beg[0] ;
wire \tile_x9y1_w2beg[1] ;
wire \tile_x9y1_w2beg[2] ;
wire \tile_x9y1_w2beg[3] ;
wire \tile_x9y1_w2beg[4] ;
wire \tile_x9y1_w2beg[5] ;
wire \tile_x9y1_w2beg[6] ;
wire \tile_x9y1_w2beg[7] ;
wire \tile_x9y1_w2begb[0] ;
wire \tile_x9y1_w2begb[1] ;
wire \tile_x9y1_w2begb[2] ;
wire \tile_x9y1_w2begb[3] ;
wire \tile_x9y1_w2begb[4] ;
wire \tile_x9y1_w2begb[5] ;
wire \tile_x9y1_w2begb[6] ;
wire \tile_x9y1_w2begb[7] ;
wire \tile_x9y1_w6beg[0] ;
wire \tile_x9y1_w6beg[10] ;
wire \tile_x9y1_w6beg[11] ;
wire \tile_x9y1_w6beg[1] ;
wire \tile_x9y1_w6beg[2] ;
wire \tile_x9y1_w6beg[3] ;
wire \tile_x9y1_w6beg[4] ;
wire \tile_x9y1_w6beg[5] ;
wire \tile_x9y1_w6beg[6] ;
wire \tile_x9y1_w6beg[7] ;
wire \tile_x9y1_w6beg[8] ;
wire \tile_x9y1_w6beg[9] ;
wire \tile_x9y1_ww4beg[0] ;
wire \tile_x9y1_ww4beg[10] ;
wire \tile_x9y1_ww4beg[11] ;
wire \tile_x9y1_ww4beg[12] ;
wire \tile_x9y1_ww4beg[13] ;
wire \tile_x9y1_ww4beg[14] ;
wire \tile_x9y1_ww4beg[15] ;
wire \tile_x9y1_ww4beg[1] ;
wire \tile_x9y1_ww4beg[2] ;
wire \tile_x9y1_ww4beg[3] ;
wire \tile_x9y1_ww4beg[4] ;
wire \tile_x9y1_ww4beg[5] ;
wire \tile_x9y1_ww4beg[6] ;
wire \tile_x9y1_ww4beg[7] ;
wire \tile_x9y1_ww4beg[8] ;
wire \tile_x9y1_ww4beg[9] ;
wire tile_x9y2_co;
wire \tile_x9y2_e1beg[0] ;
wire \tile_x9y2_e1beg[1] ;
wire \tile_x9y2_e1beg[2] ;
wire \tile_x9y2_e1beg[3] ;
wire \tile_x9y2_e2beg[0] ;
wire \tile_x9y2_e2beg[1] ;
wire \tile_x9y2_e2beg[2] ;
wire \tile_x9y2_e2beg[3] ;
wire \tile_x9y2_e2beg[4] ;
wire \tile_x9y2_e2beg[5] ;
wire \tile_x9y2_e2beg[6] ;
wire \tile_x9y2_e2beg[7] ;
wire \tile_x9y2_e2begb[0] ;
wire \tile_x9y2_e2begb[1] ;
wire \tile_x9y2_e2begb[2] ;
wire \tile_x9y2_e2begb[3] ;
wire \tile_x9y2_e2begb[4] ;
wire \tile_x9y2_e2begb[5] ;
wire \tile_x9y2_e2begb[6] ;
wire \tile_x9y2_e2begb[7] ;
wire \tile_x9y2_e6beg[0] ;
wire \tile_x9y2_e6beg[10] ;
wire \tile_x9y2_e6beg[11] ;
wire \tile_x9y2_e6beg[1] ;
wire \tile_x9y2_e6beg[2] ;
wire \tile_x9y2_e6beg[3] ;
wire \tile_x9y2_e6beg[4] ;
wire \tile_x9y2_e6beg[5] ;
wire \tile_x9y2_e6beg[6] ;
wire \tile_x9y2_e6beg[7] ;
wire \tile_x9y2_e6beg[8] ;
wire \tile_x9y2_e6beg[9] ;
wire \tile_x9y2_ee4beg[0] ;
wire \tile_x9y2_ee4beg[10] ;
wire \tile_x9y2_ee4beg[11] ;
wire \tile_x9y2_ee4beg[12] ;
wire \tile_x9y2_ee4beg[13] ;
wire \tile_x9y2_ee4beg[14] ;
wire \tile_x9y2_ee4beg[15] ;
wire \tile_x9y2_ee4beg[1] ;
wire \tile_x9y2_ee4beg[2] ;
wire \tile_x9y2_ee4beg[3] ;
wire \tile_x9y2_ee4beg[4] ;
wire \tile_x9y2_ee4beg[5] ;
wire \tile_x9y2_ee4beg[6] ;
wire \tile_x9y2_ee4beg[7] ;
wire \tile_x9y2_ee4beg[8] ;
wire \tile_x9y2_ee4beg[9] ;
wire \tile_x9y2_framedata_o[0] ;
wire \tile_x9y2_framedata_o[10] ;
wire \tile_x9y2_framedata_o[11] ;
wire \tile_x9y2_framedata_o[12] ;
wire \tile_x9y2_framedata_o[13] ;
wire \tile_x9y2_framedata_o[14] ;
wire \tile_x9y2_framedata_o[15] ;
wire \tile_x9y2_framedata_o[16] ;
wire \tile_x9y2_framedata_o[17] ;
wire \tile_x9y2_framedata_o[18] ;
wire \tile_x9y2_framedata_o[19] ;
wire \tile_x9y2_framedata_o[1] ;
wire \tile_x9y2_framedata_o[20] ;
wire \tile_x9y2_framedata_o[21] ;
wire \tile_x9y2_framedata_o[22] ;
wire \tile_x9y2_framedata_o[23] ;
wire \tile_x9y2_framedata_o[24] ;
wire \tile_x9y2_framedata_o[25] ;
wire \tile_x9y2_framedata_o[26] ;
wire \tile_x9y2_framedata_o[27] ;
wire \tile_x9y2_framedata_o[28] ;
wire \tile_x9y2_framedata_o[29] ;
wire \tile_x9y2_framedata_o[2] ;
wire \tile_x9y2_framedata_o[30] ;
wire \tile_x9y2_framedata_o[31] ;
wire \tile_x9y2_framedata_o[3] ;
wire \tile_x9y2_framedata_o[4] ;
wire \tile_x9y2_framedata_o[5] ;
wire \tile_x9y2_framedata_o[6] ;
wire \tile_x9y2_framedata_o[7] ;
wire \tile_x9y2_framedata_o[8] ;
wire \tile_x9y2_framedata_o[9] ;
wire \tile_x9y2_framestrobe_o[0] ;
wire \tile_x9y2_framestrobe_o[10] ;
wire \tile_x9y2_framestrobe_o[11] ;
wire \tile_x9y2_framestrobe_o[12] ;
wire \tile_x9y2_framestrobe_o[13] ;
wire \tile_x9y2_framestrobe_o[14] ;
wire \tile_x9y2_framestrobe_o[15] ;
wire \tile_x9y2_framestrobe_o[16] ;
wire \tile_x9y2_framestrobe_o[17] ;
wire \tile_x9y2_framestrobe_o[18] ;
wire \tile_x9y2_framestrobe_o[19] ;
wire \tile_x9y2_framestrobe_o[1] ;
wire \tile_x9y2_framestrobe_o[2] ;
wire \tile_x9y2_framestrobe_o[3] ;
wire \tile_x9y2_framestrobe_o[4] ;
wire \tile_x9y2_framestrobe_o[5] ;
wire \tile_x9y2_framestrobe_o[6] ;
wire \tile_x9y2_framestrobe_o[7] ;
wire \tile_x9y2_framestrobe_o[8] ;
wire \tile_x9y2_framestrobe_o[9] ;
wire \tile_x9y2_n1beg[0] ;
wire \tile_x9y2_n1beg[1] ;
wire \tile_x9y2_n1beg[2] ;
wire \tile_x9y2_n1beg[3] ;
wire \tile_x9y2_n2beg[0] ;
wire \tile_x9y2_n2beg[1] ;
wire \tile_x9y2_n2beg[2] ;
wire \tile_x9y2_n2beg[3] ;
wire \tile_x9y2_n2beg[4] ;
wire \tile_x9y2_n2beg[5] ;
wire \tile_x9y2_n2beg[6] ;
wire \tile_x9y2_n2beg[7] ;
wire \tile_x9y2_n2begb[0] ;
wire \tile_x9y2_n2begb[1] ;
wire \tile_x9y2_n2begb[2] ;
wire \tile_x9y2_n2begb[3] ;
wire \tile_x9y2_n2begb[4] ;
wire \tile_x9y2_n2begb[5] ;
wire \tile_x9y2_n2begb[6] ;
wire \tile_x9y2_n2begb[7] ;
wire \tile_x9y2_n4beg[0] ;
wire \tile_x9y2_n4beg[10] ;
wire \tile_x9y2_n4beg[11] ;
wire \tile_x9y2_n4beg[12] ;
wire \tile_x9y2_n4beg[13] ;
wire \tile_x9y2_n4beg[14] ;
wire \tile_x9y2_n4beg[15] ;
wire \tile_x9y2_n4beg[1] ;
wire \tile_x9y2_n4beg[2] ;
wire \tile_x9y2_n4beg[3] ;
wire \tile_x9y2_n4beg[4] ;
wire \tile_x9y2_n4beg[5] ;
wire \tile_x9y2_n4beg[6] ;
wire \tile_x9y2_n4beg[7] ;
wire \tile_x9y2_n4beg[8] ;
wire \tile_x9y2_n4beg[9] ;
wire \tile_x9y2_nn4beg[0] ;
wire \tile_x9y2_nn4beg[10] ;
wire \tile_x9y2_nn4beg[11] ;
wire \tile_x9y2_nn4beg[12] ;
wire \tile_x9y2_nn4beg[13] ;
wire \tile_x9y2_nn4beg[14] ;
wire \tile_x9y2_nn4beg[15] ;
wire \tile_x9y2_nn4beg[1] ;
wire \tile_x9y2_nn4beg[2] ;
wire \tile_x9y2_nn4beg[3] ;
wire \tile_x9y2_nn4beg[4] ;
wire \tile_x9y2_nn4beg[5] ;
wire \tile_x9y2_nn4beg[6] ;
wire \tile_x9y2_nn4beg[7] ;
wire \tile_x9y2_nn4beg[8] ;
wire \tile_x9y2_nn4beg[9] ;
wire \tile_x9y2_s1beg[0] ;
wire \tile_x9y2_s1beg[1] ;
wire \tile_x9y2_s1beg[2] ;
wire \tile_x9y2_s1beg[3] ;
wire \tile_x9y2_s2beg[0] ;
wire \tile_x9y2_s2beg[1] ;
wire \tile_x9y2_s2beg[2] ;
wire \tile_x9y2_s2beg[3] ;
wire \tile_x9y2_s2beg[4] ;
wire \tile_x9y2_s2beg[5] ;
wire \tile_x9y2_s2beg[6] ;
wire \tile_x9y2_s2beg[7] ;
wire \tile_x9y2_s2begb[0] ;
wire \tile_x9y2_s2begb[1] ;
wire \tile_x9y2_s2begb[2] ;
wire \tile_x9y2_s2begb[3] ;
wire \tile_x9y2_s2begb[4] ;
wire \tile_x9y2_s2begb[5] ;
wire \tile_x9y2_s2begb[6] ;
wire \tile_x9y2_s2begb[7] ;
wire \tile_x9y2_s4beg[0] ;
wire \tile_x9y2_s4beg[10] ;
wire \tile_x9y2_s4beg[11] ;
wire \tile_x9y2_s4beg[12] ;
wire \tile_x9y2_s4beg[13] ;
wire \tile_x9y2_s4beg[14] ;
wire \tile_x9y2_s4beg[15] ;
wire \tile_x9y2_s4beg[1] ;
wire \tile_x9y2_s4beg[2] ;
wire \tile_x9y2_s4beg[3] ;
wire \tile_x9y2_s4beg[4] ;
wire \tile_x9y2_s4beg[5] ;
wire \tile_x9y2_s4beg[6] ;
wire \tile_x9y2_s4beg[7] ;
wire \tile_x9y2_s4beg[8] ;
wire \tile_x9y2_s4beg[9] ;
wire \tile_x9y2_ss4beg[0] ;
wire \tile_x9y2_ss4beg[10] ;
wire \tile_x9y2_ss4beg[11] ;
wire \tile_x9y2_ss4beg[12] ;
wire \tile_x9y2_ss4beg[13] ;
wire \tile_x9y2_ss4beg[14] ;
wire \tile_x9y2_ss4beg[15] ;
wire \tile_x9y2_ss4beg[1] ;
wire \tile_x9y2_ss4beg[2] ;
wire \tile_x9y2_ss4beg[3] ;
wire \tile_x9y2_ss4beg[4] ;
wire \tile_x9y2_ss4beg[5] ;
wire \tile_x9y2_ss4beg[6] ;
wire \tile_x9y2_ss4beg[7] ;
wire \tile_x9y2_ss4beg[8] ;
wire \tile_x9y2_ss4beg[9] ;
wire tile_x9y2_userclko;
wire \tile_x9y2_w1beg[0] ;
wire \tile_x9y2_w1beg[1] ;
wire \tile_x9y2_w1beg[2] ;
wire \tile_x9y2_w1beg[3] ;
wire \tile_x9y2_w2beg[0] ;
wire \tile_x9y2_w2beg[1] ;
wire \tile_x9y2_w2beg[2] ;
wire \tile_x9y2_w2beg[3] ;
wire \tile_x9y2_w2beg[4] ;
wire \tile_x9y2_w2beg[5] ;
wire \tile_x9y2_w2beg[6] ;
wire \tile_x9y2_w2beg[7] ;
wire \tile_x9y2_w2begb[0] ;
wire \tile_x9y2_w2begb[1] ;
wire \tile_x9y2_w2begb[2] ;
wire \tile_x9y2_w2begb[3] ;
wire \tile_x9y2_w2begb[4] ;
wire \tile_x9y2_w2begb[5] ;
wire \tile_x9y2_w2begb[6] ;
wire \tile_x9y2_w2begb[7] ;
wire \tile_x9y2_w6beg[0] ;
wire \tile_x9y2_w6beg[10] ;
wire \tile_x9y2_w6beg[11] ;
wire \tile_x9y2_w6beg[1] ;
wire \tile_x9y2_w6beg[2] ;
wire \tile_x9y2_w6beg[3] ;
wire \tile_x9y2_w6beg[4] ;
wire \tile_x9y2_w6beg[5] ;
wire \tile_x9y2_w6beg[6] ;
wire \tile_x9y2_w6beg[7] ;
wire \tile_x9y2_w6beg[8] ;
wire \tile_x9y2_w6beg[9] ;
wire \tile_x9y2_ww4beg[0] ;
wire \tile_x9y2_ww4beg[10] ;
wire \tile_x9y2_ww4beg[11] ;
wire \tile_x9y2_ww4beg[12] ;
wire \tile_x9y2_ww4beg[13] ;
wire \tile_x9y2_ww4beg[14] ;
wire \tile_x9y2_ww4beg[15] ;
wire \tile_x9y2_ww4beg[1] ;
wire \tile_x9y2_ww4beg[2] ;
wire \tile_x9y2_ww4beg[3] ;
wire \tile_x9y2_ww4beg[4] ;
wire \tile_x9y2_ww4beg[5] ;
wire \tile_x9y2_ww4beg[6] ;
wire \tile_x9y2_ww4beg[7] ;
wire \tile_x9y2_ww4beg[8] ;
wire \tile_x9y2_ww4beg[9] ;
wire tile_x9y3_co;
wire \tile_x9y3_e1beg[0] ;
wire \tile_x9y3_e1beg[1] ;
wire \tile_x9y3_e1beg[2] ;
wire \tile_x9y3_e1beg[3] ;
wire \tile_x9y3_e2beg[0] ;
wire \tile_x9y3_e2beg[1] ;
wire \tile_x9y3_e2beg[2] ;
wire \tile_x9y3_e2beg[3] ;
wire \tile_x9y3_e2beg[4] ;
wire \tile_x9y3_e2beg[5] ;
wire \tile_x9y3_e2beg[6] ;
wire \tile_x9y3_e2beg[7] ;
wire \tile_x9y3_e2begb[0] ;
wire \tile_x9y3_e2begb[1] ;
wire \tile_x9y3_e2begb[2] ;
wire \tile_x9y3_e2begb[3] ;
wire \tile_x9y3_e2begb[4] ;
wire \tile_x9y3_e2begb[5] ;
wire \tile_x9y3_e2begb[6] ;
wire \tile_x9y3_e2begb[7] ;
wire \tile_x9y3_e6beg[0] ;
wire \tile_x9y3_e6beg[10] ;
wire \tile_x9y3_e6beg[11] ;
wire \tile_x9y3_e6beg[1] ;
wire \tile_x9y3_e6beg[2] ;
wire \tile_x9y3_e6beg[3] ;
wire \tile_x9y3_e6beg[4] ;
wire \tile_x9y3_e6beg[5] ;
wire \tile_x9y3_e6beg[6] ;
wire \tile_x9y3_e6beg[7] ;
wire \tile_x9y3_e6beg[8] ;
wire \tile_x9y3_e6beg[9] ;
wire \tile_x9y3_ee4beg[0] ;
wire \tile_x9y3_ee4beg[10] ;
wire \tile_x9y3_ee4beg[11] ;
wire \tile_x9y3_ee4beg[12] ;
wire \tile_x9y3_ee4beg[13] ;
wire \tile_x9y3_ee4beg[14] ;
wire \tile_x9y3_ee4beg[15] ;
wire \tile_x9y3_ee4beg[1] ;
wire \tile_x9y3_ee4beg[2] ;
wire \tile_x9y3_ee4beg[3] ;
wire \tile_x9y3_ee4beg[4] ;
wire \tile_x9y3_ee4beg[5] ;
wire \tile_x9y3_ee4beg[6] ;
wire \tile_x9y3_ee4beg[7] ;
wire \tile_x9y3_ee4beg[8] ;
wire \tile_x9y3_ee4beg[9] ;
wire \tile_x9y3_framedata_o[0] ;
wire \tile_x9y3_framedata_o[10] ;
wire \tile_x9y3_framedata_o[11] ;
wire \tile_x9y3_framedata_o[12] ;
wire \tile_x9y3_framedata_o[13] ;
wire \tile_x9y3_framedata_o[14] ;
wire \tile_x9y3_framedata_o[15] ;
wire \tile_x9y3_framedata_o[16] ;
wire \tile_x9y3_framedata_o[17] ;
wire \tile_x9y3_framedata_o[18] ;
wire \tile_x9y3_framedata_o[19] ;
wire \tile_x9y3_framedata_o[1] ;
wire \tile_x9y3_framedata_o[20] ;
wire \tile_x9y3_framedata_o[21] ;
wire \tile_x9y3_framedata_o[22] ;
wire \tile_x9y3_framedata_o[23] ;
wire \tile_x9y3_framedata_o[24] ;
wire \tile_x9y3_framedata_o[25] ;
wire \tile_x9y3_framedata_o[26] ;
wire \tile_x9y3_framedata_o[27] ;
wire \tile_x9y3_framedata_o[28] ;
wire \tile_x9y3_framedata_o[29] ;
wire \tile_x9y3_framedata_o[2] ;
wire \tile_x9y3_framedata_o[30] ;
wire \tile_x9y3_framedata_o[31] ;
wire \tile_x9y3_framedata_o[3] ;
wire \tile_x9y3_framedata_o[4] ;
wire \tile_x9y3_framedata_o[5] ;
wire \tile_x9y3_framedata_o[6] ;
wire \tile_x9y3_framedata_o[7] ;
wire \tile_x9y3_framedata_o[8] ;
wire \tile_x9y3_framedata_o[9] ;
wire \tile_x9y3_framestrobe_o[0] ;
wire \tile_x9y3_framestrobe_o[10] ;
wire \tile_x9y3_framestrobe_o[11] ;
wire \tile_x9y3_framestrobe_o[12] ;
wire \tile_x9y3_framestrobe_o[13] ;
wire \tile_x9y3_framestrobe_o[14] ;
wire \tile_x9y3_framestrobe_o[15] ;
wire \tile_x9y3_framestrobe_o[16] ;
wire \tile_x9y3_framestrobe_o[17] ;
wire \tile_x9y3_framestrobe_o[18] ;
wire \tile_x9y3_framestrobe_o[19] ;
wire \tile_x9y3_framestrobe_o[1] ;
wire \tile_x9y3_framestrobe_o[2] ;
wire \tile_x9y3_framestrobe_o[3] ;
wire \tile_x9y3_framestrobe_o[4] ;
wire \tile_x9y3_framestrobe_o[5] ;
wire \tile_x9y3_framestrobe_o[6] ;
wire \tile_x9y3_framestrobe_o[7] ;
wire \tile_x9y3_framestrobe_o[8] ;
wire \tile_x9y3_framestrobe_o[9] ;
wire \tile_x9y3_n1beg[0] ;
wire \tile_x9y3_n1beg[1] ;
wire \tile_x9y3_n1beg[2] ;
wire \tile_x9y3_n1beg[3] ;
wire \tile_x9y3_n2beg[0] ;
wire \tile_x9y3_n2beg[1] ;
wire \tile_x9y3_n2beg[2] ;
wire \tile_x9y3_n2beg[3] ;
wire \tile_x9y3_n2beg[4] ;
wire \tile_x9y3_n2beg[5] ;
wire \tile_x9y3_n2beg[6] ;
wire \tile_x9y3_n2beg[7] ;
wire \tile_x9y3_n2begb[0] ;
wire \tile_x9y3_n2begb[1] ;
wire \tile_x9y3_n2begb[2] ;
wire \tile_x9y3_n2begb[3] ;
wire \tile_x9y3_n2begb[4] ;
wire \tile_x9y3_n2begb[5] ;
wire \tile_x9y3_n2begb[6] ;
wire \tile_x9y3_n2begb[7] ;
wire \tile_x9y3_n4beg[0] ;
wire \tile_x9y3_n4beg[10] ;
wire \tile_x9y3_n4beg[11] ;
wire \tile_x9y3_n4beg[12] ;
wire \tile_x9y3_n4beg[13] ;
wire \tile_x9y3_n4beg[14] ;
wire \tile_x9y3_n4beg[15] ;
wire \tile_x9y3_n4beg[1] ;
wire \tile_x9y3_n4beg[2] ;
wire \tile_x9y3_n4beg[3] ;
wire \tile_x9y3_n4beg[4] ;
wire \tile_x9y3_n4beg[5] ;
wire \tile_x9y3_n4beg[6] ;
wire \tile_x9y3_n4beg[7] ;
wire \tile_x9y3_n4beg[8] ;
wire \tile_x9y3_n4beg[9] ;
wire \tile_x9y3_nn4beg[0] ;
wire \tile_x9y3_nn4beg[10] ;
wire \tile_x9y3_nn4beg[11] ;
wire \tile_x9y3_nn4beg[12] ;
wire \tile_x9y3_nn4beg[13] ;
wire \tile_x9y3_nn4beg[14] ;
wire \tile_x9y3_nn4beg[15] ;
wire \tile_x9y3_nn4beg[1] ;
wire \tile_x9y3_nn4beg[2] ;
wire \tile_x9y3_nn4beg[3] ;
wire \tile_x9y3_nn4beg[4] ;
wire \tile_x9y3_nn4beg[5] ;
wire \tile_x9y3_nn4beg[6] ;
wire \tile_x9y3_nn4beg[7] ;
wire \tile_x9y3_nn4beg[8] ;
wire \tile_x9y3_nn4beg[9] ;
wire \tile_x9y3_s1beg[0] ;
wire \tile_x9y3_s1beg[1] ;
wire \tile_x9y3_s1beg[2] ;
wire \tile_x9y3_s1beg[3] ;
wire \tile_x9y3_s2beg[0] ;
wire \tile_x9y3_s2beg[1] ;
wire \tile_x9y3_s2beg[2] ;
wire \tile_x9y3_s2beg[3] ;
wire \tile_x9y3_s2beg[4] ;
wire \tile_x9y3_s2beg[5] ;
wire \tile_x9y3_s2beg[6] ;
wire \tile_x9y3_s2beg[7] ;
wire \tile_x9y3_s2begb[0] ;
wire \tile_x9y3_s2begb[1] ;
wire \tile_x9y3_s2begb[2] ;
wire \tile_x9y3_s2begb[3] ;
wire \tile_x9y3_s2begb[4] ;
wire \tile_x9y3_s2begb[5] ;
wire \tile_x9y3_s2begb[6] ;
wire \tile_x9y3_s2begb[7] ;
wire \tile_x9y3_s4beg[0] ;
wire \tile_x9y3_s4beg[10] ;
wire \tile_x9y3_s4beg[11] ;
wire \tile_x9y3_s4beg[12] ;
wire \tile_x9y3_s4beg[13] ;
wire \tile_x9y3_s4beg[14] ;
wire \tile_x9y3_s4beg[15] ;
wire \tile_x9y3_s4beg[1] ;
wire \tile_x9y3_s4beg[2] ;
wire \tile_x9y3_s4beg[3] ;
wire \tile_x9y3_s4beg[4] ;
wire \tile_x9y3_s4beg[5] ;
wire \tile_x9y3_s4beg[6] ;
wire \tile_x9y3_s4beg[7] ;
wire \tile_x9y3_s4beg[8] ;
wire \tile_x9y3_s4beg[9] ;
wire \tile_x9y3_ss4beg[0] ;
wire \tile_x9y3_ss4beg[10] ;
wire \tile_x9y3_ss4beg[11] ;
wire \tile_x9y3_ss4beg[12] ;
wire \tile_x9y3_ss4beg[13] ;
wire \tile_x9y3_ss4beg[14] ;
wire \tile_x9y3_ss4beg[15] ;
wire \tile_x9y3_ss4beg[1] ;
wire \tile_x9y3_ss4beg[2] ;
wire \tile_x9y3_ss4beg[3] ;
wire \tile_x9y3_ss4beg[4] ;
wire \tile_x9y3_ss4beg[5] ;
wire \tile_x9y3_ss4beg[6] ;
wire \tile_x9y3_ss4beg[7] ;
wire \tile_x9y3_ss4beg[8] ;
wire \tile_x9y3_ss4beg[9] ;
wire tile_x9y3_userclko;
wire \tile_x9y3_w1beg[0] ;
wire \tile_x9y3_w1beg[1] ;
wire \tile_x9y3_w1beg[2] ;
wire \tile_x9y3_w1beg[3] ;
wire \tile_x9y3_w2beg[0] ;
wire \tile_x9y3_w2beg[1] ;
wire \tile_x9y3_w2beg[2] ;
wire \tile_x9y3_w2beg[3] ;
wire \tile_x9y3_w2beg[4] ;
wire \tile_x9y3_w2beg[5] ;
wire \tile_x9y3_w2beg[6] ;
wire \tile_x9y3_w2beg[7] ;
wire \tile_x9y3_w2begb[0] ;
wire \tile_x9y3_w2begb[1] ;
wire \tile_x9y3_w2begb[2] ;
wire \tile_x9y3_w2begb[3] ;
wire \tile_x9y3_w2begb[4] ;
wire \tile_x9y3_w2begb[5] ;
wire \tile_x9y3_w2begb[6] ;
wire \tile_x9y3_w2begb[7] ;
wire \tile_x9y3_w6beg[0] ;
wire \tile_x9y3_w6beg[10] ;
wire \tile_x9y3_w6beg[11] ;
wire \tile_x9y3_w6beg[1] ;
wire \tile_x9y3_w6beg[2] ;
wire \tile_x9y3_w6beg[3] ;
wire \tile_x9y3_w6beg[4] ;
wire \tile_x9y3_w6beg[5] ;
wire \tile_x9y3_w6beg[6] ;
wire \tile_x9y3_w6beg[7] ;
wire \tile_x9y3_w6beg[8] ;
wire \tile_x9y3_w6beg[9] ;
wire \tile_x9y3_ww4beg[0] ;
wire \tile_x9y3_ww4beg[10] ;
wire \tile_x9y3_ww4beg[11] ;
wire \tile_x9y3_ww4beg[12] ;
wire \tile_x9y3_ww4beg[13] ;
wire \tile_x9y3_ww4beg[14] ;
wire \tile_x9y3_ww4beg[15] ;
wire \tile_x9y3_ww4beg[1] ;
wire \tile_x9y3_ww4beg[2] ;
wire \tile_x9y3_ww4beg[3] ;
wire \tile_x9y3_ww4beg[4] ;
wire \tile_x9y3_ww4beg[5] ;
wire \tile_x9y3_ww4beg[6] ;
wire \tile_x9y3_ww4beg[7] ;
wire \tile_x9y3_ww4beg[8] ;
wire \tile_x9y3_ww4beg[9] ;
wire tile_x9y4_co;
wire \tile_x9y4_e1beg[0] ;
wire \tile_x9y4_e1beg[1] ;
wire \tile_x9y4_e1beg[2] ;
wire \tile_x9y4_e1beg[3] ;
wire \tile_x9y4_e2beg[0] ;
wire \tile_x9y4_e2beg[1] ;
wire \tile_x9y4_e2beg[2] ;
wire \tile_x9y4_e2beg[3] ;
wire \tile_x9y4_e2beg[4] ;
wire \tile_x9y4_e2beg[5] ;
wire \tile_x9y4_e2beg[6] ;
wire \tile_x9y4_e2beg[7] ;
wire \tile_x9y4_e2begb[0] ;
wire \tile_x9y4_e2begb[1] ;
wire \tile_x9y4_e2begb[2] ;
wire \tile_x9y4_e2begb[3] ;
wire \tile_x9y4_e2begb[4] ;
wire \tile_x9y4_e2begb[5] ;
wire \tile_x9y4_e2begb[6] ;
wire \tile_x9y4_e2begb[7] ;
wire \tile_x9y4_e6beg[0] ;
wire \tile_x9y4_e6beg[10] ;
wire \tile_x9y4_e6beg[11] ;
wire \tile_x9y4_e6beg[1] ;
wire \tile_x9y4_e6beg[2] ;
wire \tile_x9y4_e6beg[3] ;
wire \tile_x9y4_e6beg[4] ;
wire \tile_x9y4_e6beg[5] ;
wire \tile_x9y4_e6beg[6] ;
wire \tile_x9y4_e6beg[7] ;
wire \tile_x9y4_e6beg[8] ;
wire \tile_x9y4_e6beg[9] ;
wire \tile_x9y4_ee4beg[0] ;
wire \tile_x9y4_ee4beg[10] ;
wire \tile_x9y4_ee4beg[11] ;
wire \tile_x9y4_ee4beg[12] ;
wire \tile_x9y4_ee4beg[13] ;
wire \tile_x9y4_ee4beg[14] ;
wire \tile_x9y4_ee4beg[15] ;
wire \tile_x9y4_ee4beg[1] ;
wire \tile_x9y4_ee4beg[2] ;
wire \tile_x9y4_ee4beg[3] ;
wire \tile_x9y4_ee4beg[4] ;
wire \tile_x9y4_ee4beg[5] ;
wire \tile_x9y4_ee4beg[6] ;
wire \tile_x9y4_ee4beg[7] ;
wire \tile_x9y4_ee4beg[8] ;
wire \tile_x9y4_ee4beg[9] ;
wire \tile_x9y4_framedata_o[0] ;
wire \tile_x9y4_framedata_o[10] ;
wire \tile_x9y4_framedata_o[11] ;
wire \tile_x9y4_framedata_o[12] ;
wire \tile_x9y4_framedata_o[13] ;
wire \tile_x9y4_framedata_o[14] ;
wire \tile_x9y4_framedata_o[15] ;
wire \tile_x9y4_framedata_o[16] ;
wire \tile_x9y4_framedata_o[17] ;
wire \tile_x9y4_framedata_o[18] ;
wire \tile_x9y4_framedata_o[19] ;
wire \tile_x9y4_framedata_o[1] ;
wire \tile_x9y4_framedata_o[20] ;
wire \tile_x9y4_framedata_o[21] ;
wire \tile_x9y4_framedata_o[22] ;
wire \tile_x9y4_framedata_o[23] ;
wire \tile_x9y4_framedata_o[24] ;
wire \tile_x9y4_framedata_o[25] ;
wire \tile_x9y4_framedata_o[26] ;
wire \tile_x9y4_framedata_o[27] ;
wire \tile_x9y4_framedata_o[28] ;
wire \tile_x9y4_framedata_o[29] ;
wire \tile_x9y4_framedata_o[2] ;
wire \tile_x9y4_framedata_o[30] ;
wire \tile_x9y4_framedata_o[31] ;
wire \tile_x9y4_framedata_o[3] ;
wire \tile_x9y4_framedata_o[4] ;
wire \tile_x9y4_framedata_o[5] ;
wire \tile_x9y4_framedata_o[6] ;
wire \tile_x9y4_framedata_o[7] ;
wire \tile_x9y4_framedata_o[8] ;
wire \tile_x9y4_framedata_o[9] ;
wire \tile_x9y4_framestrobe_o[0] ;
wire \tile_x9y4_framestrobe_o[10] ;
wire \tile_x9y4_framestrobe_o[11] ;
wire \tile_x9y4_framestrobe_o[12] ;
wire \tile_x9y4_framestrobe_o[13] ;
wire \tile_x9y4_framestrobe_o[14] ;
wire \tile_x9y4_framestrobe_o[15] ;
wire \tile_x9y4_framestrobe_o[16] ;
wire \tile_x9y4_framestrobe_o[17] ;
wire \tile_x9y4_framestrobe_o[18] ;
wire \tile_x9y4_framestrobe_o[19] ;
wire \tile_x9y4_framestrobe_o[1] ;
wire \tile_x9y4_framestrobe_o[2] ;
wire \tile_x9y4_framestrobe_o[3] ;
wire \tile_x9y4_framestrobe_o[4] ;
wire \tile_x9y4_framestrobe_o[5] ;
wire \tile_x9y4_framestrobe_o[6] ;
wire \tile_x9y4_framestrobe_o[7] ;
wire \tile_x9y4_framestrobe_o[8] ;
wire \tile_x9y4_framestrobe_o[9] ;
wire \tile_x9y4_n1beg[0] ;
wire \tile_x9y4_n1beg[1] ;
wire \tile_x9y4_n1beg[2] ;
wire \tile_x9y4_n1beg[3] ;
wire \tile_x9y4_n2beg[0] ;
wire \tile_x9y4_n2beg[1] ;
wire \tile_x9y4_n2beg[2] ;
wire \tile_x9y4_n2beg[3] ;
wire \tile_x9y4_n2beg[4] ;
wire \tile_x9y4_n2beg[5] ;
wire \tile_x9y4_n2beg[6] ;
wire \tile_x9y4_n2beg[7] ;
wire \tile_x9y4_n2begb[0] ;
wire \tile_x9y4_n2begb[1] ;
wire \tile_x9y4_n2begb[2] ;
wire \tile_x9y4_n2begb[3] ;
wire \tile_x9y4_n2begb[4] ;
wire \tile_x9y4_n2begb[5] ;
wire \tile_x9y4_n2begb[6] ;
wire \tile_x9y4_n2begb[7] ;
wire \tile_x9y4_n4beg[0] ;
wire \tile_x9y4_n4beg[10] ;
wire \tile_x9y4_n4beg[11] ;
wire \tile_x9y4_n4beg[12] ;
wire \tile_x9y4_n4beg[13] ;
wire \tile_x9y4_n4beg[14] ;
wire \tile_x9y4_n4beg[15] ;
wire \tile_x9y4_n4beg[1] ;
wire \tile_x9y4_n4beg[2] ;
wire \tile_x9y4_n4beg[3] ;
wire \tile_x9y4_n4beg[4] ;
wire \tile_x9y4_n4beg[5] ;
wire \tile_x9y4_n4beg[6] ;
wire \tile_x9y4_n4beg[7] ;
wire \tile_x9y4_n4beg[8] ;
wire \tile_x9y4_n4beg[9] ;
wire \tile_x9y4_nn4beg[0] ;
wire \tile_x9y4_nn4beg[10] ;
wire \tile_x9y4_nn4beg[11] ;
wire \tile_x9y4_nn4beg[12] ;
wire \tile_x9y4_nn4beg[13] ;
wire \tile_x9y4_nn4beg[14] ;
wire \tile_x9y4_nn4beg[15] ;
wire \tile_x9y4_nn4beg[1] ;
wire \tile_x9y4_nn4beg[2] ;
wire \tile_x9y4_nn4beg[3] ;
wire \tile_x9y4_nn4beg[4] ;
wire \tile_x9y4_nn4beg[5] ;
wire \tile_x9y4_nn4beg[6] ;
wire \tile_x9y4_nn4beg[7] ;
wire \tile_x9y4_nn4beg[8] ;
wire \tile_x9y4_nn4beg[9] ;
wire \tile_x9y4_s1beg[0] ;
wire \tile_x9y4_s1beg[1] ;
wire \tile_x9y4_s1beg[2] ;
wire \tile_x9y4_s1beg[3] ;
wire \tile_x9y4_s2beg[0] ;
wire \tile_x9y4_s2beg[1] ;
wire \tile_x9y4_s2beg[2] ;
wire \tile_x9y4_s2beg[3] ;
wire \tile_x9y4_s2beg[4] ;
wire \tile_x9y4_s2beg[5] ;
wire \tile_x9y4_s2beg[6] ;
wire \tile_x9y4_s2beg[7] ;
wire \tile_x9y4_s2begb[0] ;
wire \tile_x9y4_s2begb[1] ;
wire \tile_x9y4_s2begb[2] ;
wire \tile_x9y4_s2begb[3] ;
wire \tile_x9y4_s2begb[4] ;
wire \tile_x9y4_s2begb[5] ;
wire \tile_x9y4_s2begb[6] ;
wire \tile_x9y4_s2begb[7] ;
wire \tile_x9y4_s4beg[0] ;
wire \tile_x9y4_s4beg[10] ;
wire \tile_x9y4_s4beg[11] ;
wire \tile_x9y4_s4beg[12] ;
wire \tile_x9y4_s4beg[13] ;
wire \tile_x9y4_s4beg[14] ;
wire \tile_x9y4_s4beg[15] ;
wire \tile_x9y4_s4beg[1] ;
wire \tile_x9y4_s4beg[2] ;
wire \tile_x9y4_s4beg[3] ;
wire \tile_x9y4_s4beg[4] ;
wire \tile_x9y4_s4beg[5] ;
wire \tile_x9y4_s4beg[6] ;
wire \tile_x9y4_s4beg[7] ;
wire \tile_x9y4_s4beg[8] ;
wire \tile_x9y4_s4beg[9] ;
wire \tile_x9y4_ss4beg[0] ;
wire \tile_x9y4_ss4beg[10] ;
wire \tile_x9y4_ss4beg[11] ;
wire \tile_x9y4_ss4beg[12] ;
wire \tile_x9y4_ss4beg[13] ;
wire \tile_x9y4_ss4beg[14] ;
wire \tile_x9y4_ss4beg[15] ;
wire \tile_x9y4_ss4beg[1] ;
wire \tile_x9y4_ss4beg[2] ;
wire \tile_x9y4_ss4beg[3] ;
wire \tile_x9y4_ss4beg[4] ;
wire \tile_x9y4_ss4beg[5] ;
wire \tile_x9y4_ss4beg[6] ;
wire \tile_x9y4_ss4beg[7] ;
wire \tile_x9y4_ss4beg[8] ;
wire \tile_x9y4_ss4beg[9] ;
wire tile_x9y4_userclko;
wire \tile_x9y4_w1beg[0] ;
wire \tile_x9y4_w1beg[1] ;
wire \tile_x9y4_w1beg[2] ;
wire \tile_x9y4_w1beg[3] ;
wire \tile_x9y4_w2beg[0] ;
wire \tile_x9y4_w2beg[1] ;
wire \tile_x9y4_w2beg[2] ;
wire \tile_x9y4_w2beg[3] ;
wire \tile_x9y4_w2beg[4] ;
wire \tile_x9y4_w2beg[5] ;
wire \tile_x9y4_w2beg[6] ;
wire \tile_x9y4_w2beg[7] ;
wire \tile_x9y4_w2begb[0] ;
wire \tile_x9y4_w2begb[1] ;
wire \tile_x9y4_w2begb[2] ;
wire \tile_x9y4_w2begb[3] ;
wire \tile_x9y4_w2begb[4] ;
wire \tile_x9y4_w2begb[5] ;
wire \tile_x9y4_w2begb[6] ;
wire \tile_x9y4_w2begb[7] ;
wire \tile_x9y4_w6beg[0] ;
wire \tile_x9y4_w6beg[10] ;
wire \tile_x9y4_w6beg[11] ;
wire \tile_x9y4_w6beg[1] ;
wire \tile_x9y4_w6beg[2] ;
wire \tile_x9y4_w6beg[3] ;
wire \tile_x9y4_w6beg[4] ;
wire \tile_x9y4_w6beg[5] ;
wire \tile_x9y4_w6beg[6] ;
wire \tile_x9y4_w6beg[7] ;
wire \tile_x9y4_w6beg[8] ;
wire \tile_x9y4_w6beg[9] ;
wire \tile_x9y4_ww4beg[0] ;
wire \tile_x9y4_ww4beg[10] ;
wire \tile_x9y4_ww4beg[11] ;
wire \tile_x9y4_ww4beg[12] ;
wire \tile_x9y4_ww4beg[13] ;
wire \tile_x9y4_ww4beg[14] ;
wire \tile_x9y4_ww4beg[15] ;
wire \tile_x9y4_ww4beg[1] ;
wire \tile_x9y4_ww4beg[2] ;
wire \tile_x9y4_ww4beg[3] ;
wire \tile_x9y4_ww4beg[4] ;
wire \tile_x9y4_ww4beg[5] ;
wire \tile_x9y4_ww4beg[6] ;
wire \tile_x9y4_ww4beg[7] ;
wire \tile_x9y4_ww4beg[8] ;
wire \tile_x9y4_ww4beg[9] ;
wire tile_x9y5_co;
wire \tile_x9y5_e1beg[0] ;
wire \tile_x9y5_e1beg[1] ;
wire \tile_x9y5_e1beg[2] ;
wire \tile_x9y5_e1beg[3] ;
wire \tile_x9y5_e2beg[0] ;
wire \tile_x9y5_e2beg[1] ;
wire \tile_x9y5_e2beg[2] ;
wire \tile_x9y5_e2beg[3] ;
wire \tile_x9y5_e2beg[4] ;
wire \tile_x9y5_e2beg[5] ;
wire \tile_x9y5_e2beg[6] ;
wire \tile_x9y5_e2beg[7] ;
wire \tile_x9y5_e2begb[0] ;
wire \tile_x9y5_e2begb[1] ;
wire \tile_x9y5_e2begb[2] ;
wire \tile_x9y5_e2begb[3] ;
wire \tile_x9y5_e2begb[4] ;
wire \tile_x9y5_e2begb[5] ;
wire \tile_x9y5_e2begb[6] ;
wire \tile_x9y5_e2begb[7] ;
wire \tile_x9y5_e6beg[0] ;
wire \tile_x9y5_e6beg[10] ;
wire \tile_x9y5_e6beg[11] ;
wire \tile_x9y5_e6beg[1] ;
wire \tile_x9y5_e6beg[2] ;
wire \tile_x9y5_e6beg[3] ;
wire \tile_x9y5_e6beg[4] ;
wire \tile_x9y5_e6beg[5] ;
wire \tile_x9y5_e6beg[6] ;
wire \tile_x9y5_e6beg[7] ;
wire \tile_x9y5_e6beg[8] ;
wire \tile_x9y5_e6beg[9] ;
wire \tile_x9y5_ee4beg[0] ;
wire \tile_x9y5_ee4beg[10] ;
wire \tile_x9y5_ee4beg[11] ;
wire \tile_x9y5_ee4beg[12] ;
wire \tile_x9y5_ee4beg[13] ;
wire \tile_x9y5_ee4beg[14] ;
wire \tile_x9y5_ee4beg[15] ;
wire \tile_x9y5_ee4beg[1] ;
wire \tile_x9y5_ee4beg[2] ;
wire \tile_x9y5_ee4beg[3] ;
wire \tile_x9y5_ee4beg[4] ;
wire \tile_x9y5_ee4beg[5] ;
wire \tile_x9y5_ee4beg[6] ;
wire \tile_x9y5_ee4beg[7] ;
wire \tile_x9y5_ee4beg[8] ;
wire \tile_x9y5_ee4beg[9] ;
wire \tile_x9y5_framedata_o[0] ;
wire \tile_x9y5_framedata_o[10] ;
wire \tile_x9y5_framedata_o[11] ;
wire \tile_x9y5_framedata_o[12] ;
wire \tile_x9y5_framedata_o[13] ;
wire \tile_x9y5_framedata_o[14] ;
wire \tile_x9y5_framedata_o[15] ;
wire \tile_x9y5_framedata_o[16] ;
wire \tile_x9y5_framedata_o[17] ;
wire \tile_x9y5_framedata_o[18] ;
wire \tile_x9y5_framedata_o[19] ;
wire \tile_x9y5_framedata_o[1] ;
wire \tile_x9y5_framedata_o[20] ;
wire \tile_x9y5_framedata_o[21] ;
wire \tile_x9y5_framedata_o[22] ;
wire \tile_x9y5_framedata_o[23] ;
wire \tile_x9y5_framedata_o[24] ;
wire \tile_x9y5_framedata_o[25] ;
wire \tile_x9y5_framedata_o[26] ;
wire \tile_x9y5_framedata_o[27] ;
wire \tile_x9y5_framedata_o[28] ;
wire \tile_x9y5_framedata_o[29] ;
wire \tile_x9y5_framedata_o[2] ;
wire \tile_x9y5_framedata_o[30] ;
wire \tile_x9y5_framedata_o[31] ;
wire \tile_x9y5_framedata_o[3] ;
wire \tile_x9y5_framedata_o[4] ;
wire \tile_x9y5_framedata_o[5] ;
wire \tile_x9y5_framedata_o[6] ;
wire \tile_x9y5_framedata_o[7] ;
wire \tile_x9y5_framedata_o[8] ;
wire \tile_x9y5_framedata_o[9] ;
wire \tile_x9y5_framestrobe_o[0] ;
wire \tile_x9y5_framestrobe_o[10] ;
wire \tile_x9y5_framestrobe_o[11] ;
wire \tile_x9y5_framestrobe_o[12] ;
wire \tile_x9y5_framestrobe_o[13] ;
wire \tile_x9y5_framestrobe_o[14] ;
wire \tile_x9y5_framestrobe_o[15] ;
wire \tile_x9y5_framestrobe_o[16] ;
wire \tile_x9y5_framestrobe_o[17] ;
wire \tile_x9y5_framestrobe_o[18] ;
wire \tile_x9y5_framestrobe_o[19] ;
wire \tile_x9y5_framestrobe_o[1] ;
wire \tile_x9y5_framestrobe_o[2] ;
wire \tile_x9y5_framestrobe_o[3] ;
wire \tile_x9y5_framestrobe_o[4] ;
wire \tile_x9y5_framestrobe_o[5] ;
wire \tile_x9y5_framestrobe_o[6] ;
wire \tile_x9y5_framestrobe_o[7] ;
wire \tile_x9y5_framestrobe_o[8] ;
wire \tile_x9y5_framestrobe_o[9] ;
wire \tile_x9y5_n1beg[0] ;
wire \tile_x9y5_n1beg[1] ;
wire \tile_x9y5_n1beg[2] ;
wire \tile_x9y5_n1beg[3] ;
wire \tile_x9y5_n2beg[0] ;
wire \tile_x9y5_n2beg[1] ;
wire \tile_x9y5_n2beg[2] ;
wire \tile_x9y5_n2beg[3] ;
wire \tile_x9y5_n2beg[4] ;
wire \tile_x9y5_n2beg[5] ;
wire \tile_x9y5_n2beg[6] ;
wire \tile_x9y5_n2beg[7] ;
wire \tile_x9y5_n2begb[0] ;
wire \tile_x9y5_n2begb[1] ;
wire \tile_x9y5_n2begb[2] ;
wire \tile_x9y5_n2begb[3] ;
wire \tile_x9y5_n2begb[4] ;
wire \tile_x9y5_n2begb[5] ;
wire \tile_x9y5_n2begb[6] ;
wire \tile_x9y5_n2begb[7] ;
wire \tile_x9y5_n4beg[0] ;
wire \tile_x9y5_n4beg[10] ;
wire \tile_x9y5_n4beg[11] ;
wire \tile_x9y5_n4beg[12] ;
wire \tile_x9y5_n4beg[13] ;
wire \tile_x9y5_n4beg[14] ;
wire \tile_x9y5_n4beg[15] ;
wire \tile_x9y5_n4beg[1] ;
wire \tile_x9y5_n4beg[2] ;
wire \tile_x9y5_n4beg[3] ;
wire \tile_x9y5_n4beg[4] ;
wire \tile_x9y5_n4beg[5] ;
wire \tile_x9y5_n4beg[6] ;
wire \tile_x9y5_n4beg[7] ;
wire \tile_x9y5_n4beg[8] ;
wire \tile_x9y5_n4beg[9] ;
wire \tile_x9y5_nn4beg[0] ;
wire \tile_x9y5_nn4beg[10] ;
wire \tile_x9y5_nn4beg[11] ;
wire \tile_x9y5_nn4beg[12] ;
wire \tile_x9y5_nn4beg[13] ;
wire \tile_x9y5_nn4beg[14] ;
wire \tile_x9y5_nn4beg[15] ;
wire \tile_x9y5_nn4beg[1] ;
wire \tile_x9y5_nn4beg[2] ;
wire \tile_x9y5_nn4beg[3] ;
wire \tile_x9y5_nn4beg[4] ;
wire \tile_x9y5_nn4beg[5] ;
wire \tile_x9y5_nn4beg[6] ;
wire \tile_x9y5_nn4beg[7] ;
wire \tile_x9y5_nn4beg[8] ;
wire \tile_x9y5_nn4beg[9] ;
wire \tile_x9y5_s1beg[0] ;
wire \tile_x9y5_s1beg[1] ;
wire \tile_x9y5_s1beg[2] ;
wire \tile_x9y5_s1beg[3] ;
wire \tile_x9y5_s2beg[0] ;
wire \tile_x9y5_s2beg[1] ;
wire \tile_x9y5_s2beg[2] ;
wire \tile_x9y5_s2beg[3] ;
wire \tile_x9y5_s2beg[4] ;
wire \tile_x9y5_s2beg[5] ;
wire \tile_x9y5_s2beg[6] ;
wire \tile_x9y5_s2beg[7] ;
wire \tile_x9y5_s2begb[0] ;
wire \tile_x9y5_s2begb[1] ;
wire \tile_x9y5_s2begb[2] ;
wire \tile_x9y5_s2begb[3] ;
wire \tile_x9y5_s2begb[4] ;
wire \tile_x9y5_s2begb[5] ;
wire \tile_x9y5_s2begb[6] ;
wire \tile_x9y5_s2begb[7] ;
wire \tile_x9y5_s4beg[0] ;
wire \tile_x9y5_s4beg[10] ;
wire \tile_x9y5_s4beg[11] ;
wire \tile_x9y5_s4beg[12] ;
wire \tile_x9y5_s4beg[13] ;
wire \tile_x9y5_s4beg[14] ;
wire \tile_x9y5_s4beg[15] ;
wire \tile_x9y5_s4beg[1] ;
wire \tile_x9y5_s4beg[2] ;
wire \tile_x9y5_s4beg[3] ;
wire \tile_x9y5_s4beg[4] ;
wire \tile_x9y5_s4beg[5] ;
wire \tile_x9y5_s4beg[6] ;
wire \tile_x9y5_s4beg[7] ;
wire \tile_x9y5_s4beg[8] ;
wire \tile_x9y5_s4beg[9] ;
wire \tile_x9y5_ss4beg[0] ;
wire \tile_x9y5_ss4beg[10] ;
wire \tile_x9y5_ss4beg[11] ;
wire \tile_x9y5_ss4beg[12] ;
wire \tile_x9y5_ss4beg[13] ;
wire \tile_x9y5_ss4beg[14] ;
wire \tile_x9y5_ss4beg[15] ;
wire \tile_x9y5_ss4beg[1] ;
wire \tile_x9y5_ss4beg[2] ;
wire \tile_x9y5_ss4beg[3] ;
wire \tile_x9y5_ss4beg[4] ;
wire \tile_x9y5_ss4beg[5] ;
wire \tile_x9y5_ss4beg[6] ;
wire \tile_x9y5_ss4beg[7] ;
wire \tile_x9y5_ss4beg[8] ;
wire \tile_x9y5_ss4beg[9] ;
wire tile_x9y5_userclko;
wire \tile_x9y5_w1beg[0] ;
wire \tile_x9y5_w1beg[1] ;
wire \tile_x9y5_w1beg[2] ;
wire \tile_x9y5_w1beg[3] ;
wire \tile_x9y5_w2beg[0] ;
wire \tile_x9y5_w2beg[1] ;
wire \tile_x9y5_w2beg[2] ;
wire \tile_x9y5_w2beg[3] ;
wire \tile_x9y5_w2beg[4] ;
wire \tile_x9y5_w2beg[5] ;
wire \tile_x9y5_w2beg[6] ;
wire \tile_x9y5_w2beg[7] ;
wire \tile_x9y5_w2begb[0] ;
wire \tile_x9y5_w2begb[1] ;
wire \tile_x9y5_w2begb[2] ;
wire \tile_x9y5_w2begb[3] ;
wire \tile_x9y5_w2begb[4] ;
wire \tile_x9y5_w2begb[5] ;
wire \tile_x9y5_w2begb[6] ;
wire \tile_x9y5_w2begb[7] ;
wire \tile_x9y5_w6beg[0] ;
wire \tile_x9y5_w6beg[10] ;
wire \tile_x9y5_w6beg[11] ;
wire \tile_x9y5_w6beg[1] ;
wire \tile_x9y5_w6beg[2] ;
wire \tile_x9y5_w6beg[3] ;
wire \tile_x9y5_w6beg[4] ;
wire \tile_x9y5_w6beg[5] ;
wire \tile_x9y5_w6beg[6] ;
wire \tile_x9y5_w6beg[7] ;
wire \tile_x9y5_w6beg[8] ;
wire \tile_x9y5_w6beg[9] ;
wire \tile_x9y5_ww4beg[0] ;
wire \tile_x9y5_ww4beg[10] ;
wire \tile_x9y5_ww4beg[11] ;
wire \tile_x9y5_ww4beg[12] ;
wire \tile_x9y5_ww4beg[13] ;
wire \tile_x9y5_ww4beg[14] ;
wire \tile_x9y5_ww4beg[15] ;
wire \tile_x9y5_ww4beg[1] ;
wire \tile_x9y5_ww4beg[2] ;
wire \tile_x9y5_ww4beg[3] ;
wire \tile_x9y5_ww4beg[4] ;
wire \tile_x9y5_ww4beg[5] ;
wire \tile_x9y5_ww4beg[6] ;
wire \tile_x9y5_ww4beg[7] ;
wire \tile_x9y5_ww4beg[8] ;
wire \tile_x9y5_ww4beg[9] ;
wire tile_x9y6_co;
wire \tile_x9y6_e1beg[0] ;
wire \tile_x9y6_e1beg[1] ;
wire \tile_x9y6_e1beg[2] ;
wire \tile_x9y6_e1beg[3] ;
wire \tile_x9y6_e2beg[0] ;
wire \tile_x9y6_e2beg[1] ;
wire \tile_x9y6_e2beg[2] ;
wire \tile_x9y6_e2beg[3] ;
wire \tile_x9y6_e2beg[4] ;
wire \tile_x9y6_e2beg[5] ;
wire \tile_x9y6_e2beg[6] ;
wire \tile_x9y6_e2beg[7] ;
wire \tile_x9y6_e2begb[0] ;
wire \tile_x9y6_e2begb[1] ;
wire \tile_x9y6_e2begb[2] ;
wire \tile_x9y6_e2begb[3] ;
wire \tile_x9y6_e2begb[4] ;
wire \tile_x9y6_e2begb[5] ;
wire \tile_x9y6_e2begb[6] ;
wire \tile_x9y6_e2begb[7] ;
wire \tile_x9y6_e6beg[0] ;
wire \tile_x9y6_e6beg[10] ;
wire \tile_x9y6_e6beg[11] ;
wire \tile_x9y6_e6beg[1] ;
wire \tile_x9y6_e6beg[2] ;
wire \tile_x9y6_e6beg[3] ;
wire \tile_x9y6_e6beg[4] ;
wire \tile_x9y6_e6beg[5] ;
wire \tile_x9y6_e6beg[6] ;
wire \tile_x9y6_e6beg[7] ;
wire \tile_x9y6_e6beg[8] ;
wire \tile_x9y6_e6beg[9] ;
wire \tile_x9y6_ee4beg[0] ;
wire \tile_x9y6_ee4beg[10] ;
wire \tile_x9y6_ee4beg[11] ;
wire \tile_x9y6_ee4beg[12] ;
wire \tile_x9y6_ee4beg[13] ;
wire \tile_x9y6_ee4beg[14] ;
wire \tile_x9y6_ee4beg[15] ;
wire \tile_x9y6_ee4beg[1] ;
wire \tile_x9y6_ee4beg[2] ;
wire \tile_x9y6_ee4beg[3] ;
wire \tile_x9y6_ee4beg[4] ;
wire \tile_x9y6_ee4beg[5] ;
wire \tile_x9y6_ee4beg[6] ;
wire \tile_x9y6_ee4beg[7] ;
wire \tile_x9y6_ee4beg[8] ;
wire \tile_x9y6_ee4beg[9] ;
wire \tile_x9y6_framedata_o[0] ;
wire \tile_x9y6_framedata_o[10] ;
wire \tile_x9y6_framedata_o[11] ;
wire \tile_x9y6_framedata_o[12] ;
wire \tile_x9y6_framedata_o[13] ;
wire \tile_x9y6_framedata_o[14] ;
wire \tile_x9y6_framedata_o[15] ;
wire \tile_x9y6_framedata_o[16] ;
wire \tile_x9y6_framedata_o[17] ;
wire \tile_x9y6_framedata_o[18] ;
wire \tile_x9y6_framedata_o[19] ;
wire \tile_x9y6_framedata_o[1] ;
wire \tile_x9y6_framedata_o[20] ;
wire \tile_x9y6_framedata_o[21] ;
wire \tile_x9y6_framedata_o[22] ;
wire \tile_x9y6_framedata_o[23] ;
wire \tile_x9y6_framedata_o[24] ;
wire \tile_x9y6_framedata_o[25] ;
wire \tile_x9y6_framedata_o[26] ;
wire \tile_x9y6_framedata_o[27] ;
wire \tile_x9y6_framedata_o[28] ;
wire \tile_x9y6_framedata_o[29] ;
wire \tile_x9y6_framedata_o[2] ;
wire \tile_x9y6_framedata_o[30] ;
wire \tile_x9y6_framedata_o[31] ;
wire \tile_x9y6_framedata_o[3] ;
wire \tile_x9y6_framedata_o[4] ;
wire \tile_x9y6_framedata_o[5] ;
wire \tile_x9y6_framedata_o[6] ;
wire \tile_x9y6_framedata_o[7] ;
wire \tile_x9y6_framedata_o[8] ;
wire \tile_x9y6_framedata_o[9] ;
wire \tile_x9y6_framestrobe_o[0] ;
wire \tile_x9y6_framestrobe_o[10] ;
wire \tile_x9y6_framestrobe_o[11] ;
wire \tile_x9y6_framestrobe_o[12] ;
wire \tile_x9y6_framestrobe_o[13] ;
wire \tile_x9y6_framestrobe_o[14] ;
wire \tile_x9y6_framestrobe_o[15] ;
wire \tile_x9y6_framestrobe_o[16] ;
wire \tile_x9y6_framestrobe_o[17] ;
wire \tile_x9y6_framestrobe_o[18] ;
wire \tile_x9y6_framestrobe_o[19] ;
wire \tile_x9y6_framestrobe_o[1] ;
wire \tile_x9y6_framestrobe_o[2] ;
wire \tile_x9y6_framestrobe_o[3] ;
wire \tile_x9y6_framestrobe_o[4] ;
wire \tile_x9y6_framestrobe_o[5] ;
wire \tile_x9y6_framestrobe_o[6] ;
wire \tile_x9y6_framestrobe_o[7] ;
wire \tile_x9y6_framestrobe_o[8] ;
wire \tile_x9y6_framestrobe_o[9] ;
wire \tile_x9y6_n1beg[0] ;
wire \tile_x9y6_n1beg[1] ;
wire \tile_x9y6_n1beg[2] ;
wire \tile_x9y6_n1beg[3] ;
wire \tile_x9y6_n2beg[0] ;
wire \tile_x9y6_n2beg[1] ;
wire \tile_x9y6_n2beg[2] ;
wire \tile_x9y6_n2beg[3] ;
wire \tile_x9y6_n2beg[4] ;
wire \tile_x9y6_n2beg[5] ;
wire \tile_x9y6_n2beg[6] ;
wire \tile_x9y6_n2beg[7] ;
wire \tile_x9y6_n2begb[0] ;
wire \tile_x9y6_n2begb[1] ;
wire \tile_x9y6_n2begb[2] ;
wire \tile_x9y6_n2begb[3] ;
wire \tile_x9y6_n2begb[4] ;
wire \tile_x9y6_n2begb[5] ;
wire \tile_x9y6_n2begb[6] ;
wire \tile_x9y6_n2begb[7] ;
wire \tile_x9y6_n4beg[0] ;
wire \tile_x9y6_n4beg[10] ;
wire \tile_x9y6_n4beg[11] ;
wire \tile_x9y6_n4beg[12] ;
wire \tile_x9y6_n4beg[13] ;
wire \tile_x9y6_n4beg[14] ;
wire \tile_x9y6_n4beg[15] ;
wire \tile_x9y6_n4beg[1] ;
wire \tile_x9y6_n4beg[2] ;
wire \tile_x9y6_n4beg[3] ;
wire \tile_x9y6_n4beg[4] ;
wire \tile_x9y6_n4beg[5] ;
wire \tile_x9y6_n4beg[6] ;
wire \tile_x9y6_n4beg[7] ;
wire \tile_x9y6_n4beg[8] ;
wire \tile_x9y6_n4beg[9] ;
wire \tile_x9y6_nn4beg[0] ;
wire \tile_x9y6_nn4beg[10] ;
wire \tile_x9y6_nn4beg[11] ;
wire \tile_x9y6_nn4beg[12] ;
wire \tile_x9y6_nn4beg[13] ;
wire \tile_x9y6_nn4beg[14] ;
wire \tile_x9y6_nn4beg[15] ;
wire \tile_x9y6_nn4beg[1] ;
wire \tile_x9y6_nn4beg[2] ;
wire \tile_x9y6_nn4beg[3] ;
wire \tile_x9y6_nn4beg[4] ;
wire \tile_x9y6_nn4beg[5] ;
wire \tile_x9y6_nn4beg[6] ;
wire \tile_x9y6_nn4beg[7] ;
wire \tile_x9y6_nn4beg[8] ;
wire \tile_x9y6_nn4beg[9] ;
wire \tile_x9y6_s1beg[0] ;
wire \tile_x9y6_s1beg[1] ;
wire \tile_x9y6_s1beg[2] ;
wire \tile_x9y6_s1beg[3] ;
wire \tile_x9y6_s2beg[0] ;
wire \tile_x9y6_s2beg[1] ;
wire \tile_x9y6_s2beg[2] ;
wire \tile_x9y6_s2beg[3] ;
wire \tile_x9y6_s2beg[4] ;
wire \tile_x9y6_s2beg[5] ;
wire \tile_x9y6_s2beg[6] ;
wire \tile_x9y6_s2beg[7] ;
wire \tile_x9y6_s2begb[0] ;
wire \tile_x9y6_s2begb[1] ;
wire \tile_x9y6_s2begb[2] ;
wire \tile_x9y6_s2begb[3] ;
wire \tile_x9y6_s2begb[4] ;
wire \tile_x9y6_s2begb[5] ;
wire \tile_x9y6_s2begb[6] ;
wire \tile_x9y6_s2begb[7] ;
wire \tile_x9y6_s4beg[0] ;
wire \tile_x9y6_s4beg[10] ;
wire \tile_x9y6_s4beg[11] ;
wire \tile_x9y6_s4beg[12] ;
wire \tile_x9y6_s4beg[13] ;
wire \tile_x9y6_s4beg[14] ;
wire \tile_x9y6_s4beg[15] ;
wire \tile_x9y6_s4beg[1] ;
wire \tile_x9y6_s4beg[2] ;
wire \tile_x9y6_s4beg[3] ;
wire \tile_x9y6_s4beg[4] ;
wire \tile_x9y6_s4beg[5] ;
wire \tile_x9y6_s4beg[6] ;
wire \tile_x9y6_s4beg[7] ;
wire \tile_x9y6_s4beg[8] ;
wire \tile_x9y6_s4beg[9] ;
wire \tile_x9y6_ss4beg[0] ;
wire \tile_x9y6_ss4beg[10] ;
wire \tile_x9y6_ss4beg[11] ;
wire \tile_x9y6_ss4beg[12] ;
wire \tile_x9y6_ss4beg[13] ;
wire \tile_x9y6_ss4beg[14] ;
wire \tile_x9y6_ss4beg[15] ;
wire \tile_x9y6_ss4beg[1] ;
wire \tile_x9y6_ss4beg[2] ;
wire \tile_x9y6_ss4beg[3] ;
wire \tile_x9y6_ss4beg[4] ;
wire \tile_x9y6_ss4beg[5] ;
wire \tile_x9y6_ss4beg[6] ;
wire \tile_x9y6_ss4beg[7] ;
wire \tile_x9y6_ss4beg[8] ;
wire \tile_x9y6_ss4beg[9] ;
wire tile_x9y6_userclko;
wire \tile_x9y6_w1beg[0] ;
wire \tile_x9y6_w1beg[1] ;
wire \tile_x9y6_w1beg[2] ;
wire \tile_x9y6_w1beg[3] ;
wire \tile_x9y6_w2beg[0] ;
wire \tile_x9y6_w2beg[1] ;
wire \tile_x9y6_w2beg[2] ;
wire \tile_x9y6_w2beg[3] ;
wire \tile_x9y6_w2beg[4] ;
wire \tile_x9y6_w2beg[5] ;
wire \tile_x9y6_w2beg[6] ;
wire \tile_x9y6_w2beg[7] ;
wire \tile_x9y6_w2begb[0] ;
wire \tile_x9y6_w2begb[1] ;
wire \tile_x9y6_w2begb[2] ;
wire \tile_x9y6_w2begb[3] ;
wire \tile_x9y6_w2begb[4] ;
wire \tile_x9y6_w2begb[5] ;
wire \tile_x9y6_w2begb[6] ;
wire \tile_x9y6_w2begb[7] ;
wire \tile_x9y6_w6beg[0] ;
wire \tile_x9y6_w6beg[10] ;
wire \tile_x9y6_w6beg[11] ;
wire \tile_x9y6_w6beg[1] ;
wire \tile_x9y6_w6beg[2] ;
wire \tile_x9y6_w6beg[3] ;
wire \tile_x9y6_w6beg[4] ;
wire \tile_x9y6_w6beg[5] ;
wire \tile_x9y6_w6beg[6] ;
wire \tile_x9y6_w6beg[7] ;
wire \tile_x9y6_w6beg[8] ;
wire \tile_x9y6_w6beg[9] ;
wire \tile_x9y6_ww4beg[0] ;
wire \tile_x9y6_ww4beg[10] ;
wire \tile_x9y6_ww4beg[11] ;
wire \tile_x9y6_ww4beg[12] ;
wire \tile_x9y6_ww4beg[13] ;
wire \tile_x9y6_ww4beg[14] ;
wire \tile_x9y6_ww4beg[15] ;
wire \tile_x9y6_ww4beg[1] ;
wire \tile_x9y6_ww4beg[2] ;
wire \tile_x9y6_ww4beg[3] ;
wire \tile_x9y6_ww4beg[4] ;
wire \tile_x9y6_ww4beg[5] ;
wire \tile_x9y6_ww4beg[6] ;
wire \tile_x9y6_ww4beg[7] ;
wire \tile_x9y6_ww4beg[8] ;
wire \tile_x9y6_ww4beg[9] ;
wire tile_x9y7_co;
wire \tile_x9y7_e1beg[0] ;
wire \tile_x9y7_e1beg[1] ;
wire \tile_x9y7_e1beg[2] ;
wire \tile_x9y7_e1beg[3] ;
wire \tile_x9y7_e2beg[0] ;
wire \tile_x9y7_e2beg[1] ;
wire \tile_x9y7_e2beg[2] ;
wire \tile_x9y7_e2beg[3] ;
wire \tile_x9y7_e2beg[4] ;
wire \tile_x9y7_e2beg[5] ;
wire \tile_x9y7_e2beg[6] ;
wire \tile_x9y7_e2beg[7] ;
wire \tile_x9y7_e2begb[0] ;
wire \tile_x9y7_e2begb[1] ;
wire \tile_x9y7_e2begb[2] ;
wire \tile_x9y7_e2begb[3] ;
wire \tile_x9y7_e2begb[4] ;
wire \tile_x9y7_e2begb[5] ;
wire \tile_x9y7_e2begb[6] ;
wire \tile_x9y7_e2begb[7] ;
wire \tile_x9y7_e6beg[0] ;
wire \tile_x9y7_e6beg[10] ;
wire \tile_x9y7_e6beg[11] ;
wire \tile_x9y7_e6beg[1] ;
wire \tile_x9y7_e6beg[2] ;
wire \tile_x9y7_e6beg[3] ;
wire \tile_x9y7_e6beg[4] ;
wire \tile_x9y7_e6beg[5] ;
wire \tile_x9y7_e6beg[6] ;
wire \tile_x9y7_e6beg[7] ;
wire \tile_x9y7_e6beg[8] ;
wire \tile_x9y7_e6beg[9] ;
wire \tile_x9y7_ee4beg[0] ;
wire \tile_x9y7_ee4beg[10] ;
wire \tile_x9y7_ee4beg[11] ;
wire \tile_x9y7_ee4beg[12] ;
wire \tile_x9y7_ee4beg[13] ;
wire \tile_x9y7_ee4beg[14] ;
wire \tile_x9y7_ee4beg[15] ;
wire \tile_x9y7_ee4beg[1] ;
wire \tile_x9y7_ee4beg[2] ;
wire \tile_x9y7_ee4beg[3] ;
wire \tile_x9y7_ee4beg[4] ;
wire \tile_x9y7_ee4beg[5] ;
wire \tile_x9y7_ee4beg[6] ;
wire \tile_x9y7_ee4beg[7] ;
wire \tile_x9y7_ee4beg[8] ;
wire \tile_x9y7_ee4beg[9] ;
wire \tile_x9y7_framedata_o[0] ;
wire \tile_x9y7_framedata_o[10] ;
wire \tile_x9y7_framedata_o[11] ;
wire \tile_x9y7_framedata_o[12] ;
wire \tile_x9y7_framedata_o[13] ;
wire \tile_x9y7_framedata_o[14] ;
wire \tile_x9y7_framedata_o[15] ;
wire \tile_x9y7_framedata_o[16] ;
wire \tile_x9y7_framedata_o[17] ;
wire \tile_x9y7_framedata_o[18] ;
wire \tile_x9y7_framedata_o[19] ;
wire \tile_x9y7_framedata_o[1] ;
wire \tile_x9y7_framedata_o[20] ;
wire \tile_x9y7_framedata_o[21] ;
wire \tile_x9y7_framedata_o[22] ;
wire \tile_x9y7_framedata_o[23] ;
wire \tile_x9y7_framedata_o[24] ;
wire \tile_x9y7_framedata_o[25] ;
wire \tile_x9y7_framedata_o[26] ;
wire \tile_x9y7_framedata_o[27] ;
wire \tile_x9y7_framedata_o[28] ;
wire \tile_x9y7_framedata_o[29] ;
wire \tile_x9y7_framedata_o[2] ;
wire \tile_x9y7_framedata_o[30] ;
wire \tile_x9y7_framedata_o[31] ;
wire \tile_x9y7_framedata_o[3] ;
wire \tile_x9y7_framedata_o[4] ;
wire \tile_x9y7_framedata_o[5] ;
wire \tile_x9y7_framedata_o[6] ;
wire \tile_x9y7_framedata_o[7] ;
wire \tile_x9y7_framedata_o[8] ;
wire \tile_x9y7_framedata_o[9] ;
wire \tile_x9y7_framestrobe_o[0] ;
wire \tile_x9y7_framestrobe_o[10] ;
wire \tile_x9y7_framestrobe_o[11] ;
wire \tile_x9y7_framestrobe_o[12] ;
wire \tile_x9y7_framestrobe_o[13] ;
wire \tile_x9y7_framestrobe_o[14] ;
wire \tile_x9y7_framestrobe_o[15] ;
wire \tile_x9y7_framestrobe_o[16] ;
wire \tile_x9y7_framestrobe_o[17] ;
wire \tile_x9y7_framestrobe_o[18] ;
wire \tile_x9y7_framestrobe_o[19] ;
wire \tile_x9y7_framestrobe_o[1] ;
wire \tile_x9y7_framestrobe_o[2] ;
wire \tile_x9y7_framestrobe_o[3] ;
wire \tile_x9y7_framestrobe_o[4] ;
wire \tile_x9y7_framestrobe_o[5] ;
wire \tile_x9y7_framestrobe_o[6] ;
wire \tile_x9y7_framestrobe_o[7] ;
wire \tile_x9y7_framestrobe_o[8] ;
wire \tile_x9y7_framestrobe_o[9] ;
wire \tile_x9y7_n1beg[0] ;
wire \tile_x9y7_n1beg[1] ;
wire \tile_x9y7_n1beg[2] ;
wire \tile_x9y7_n1beg[3] ;
wire \tile_x9y7_n2beg[0] ;
wire \tile_x9y7_n2beg[1] ;
wire \tile_x9y7_n2beg[2] ;
wire \tile_x9y7_n2beg[3] ;
wire \tile_x9y7_n2beg[4] ;
wire \tile_x9y7_n2beg[5] ;
wire \tile_x9y7_n2beg[6] ;
wire \tile_x9y7_n2beg[7] ;
wire \tile_x9y7_n2begb[0] ;
wire \tile_x9y7_n2begb[1] ;
wire \tile_x9y7_n2begb[2] ;
wire \tile_x9y7_n2begb[3] ;
wire \tile_x9y7_n2begb[4] ;
wire \tile_x9y7_n2begb[5] ;
wire \tile_x9y7_n2begb[6] ;
wire \tile_x9y7_n2begb[7] ;
wire \tile_x9y7_n4beg[0] ;
wire \tile_x9y7_n4beg[10] ;
wire \tile_x9y7_n4beg[11] ;
wire \tile_x9y7_n4beg[12] ;
wire \tile_x9y7_n4beg[13] ;
wire \tile_x9y7_n4beg[14] ;
wire \tile_x9y7_n4beg[15] ;
wire \tile_x9y7_n4beg[1] ;
wire \tile_x9y7_n4beg[2] ;
wire \tile_x9y7_n4beg[3] ;
wire \tile_x9y7_n4beg[4] ;
wire \tile_x9y7_n4beg[5] ;
wire \tile_x9y7_n4beg[6] ;
wire \tile_x9y7_n4beg[7] ;
wire \tile_x9y7_n4beg[8] ;
wire \tile_x9y7_n4beg[9] ;
wire \tile_x9y7_nn4beg[0] ;
wire \tile_x9y7_nn4beg[10] ;
wire \tile_x9y7_nn4beg[11] ;
wire \tile_x9y7_nn4beg[12] ;
wire \tile_x9y7_nn4beg[13] ;
wire \tile_x9y7_nn4beg[14] ;
wire \tile_x9y7_nn4beg[15] ;
wire \tile_x9y7_nn4beg[1] ;
wire \tile_x9y7_nn4beg[2] ;
wire \tile_x9y7_nn4beg[3] ;
wire \tile_x9y7_nn4beg[4] ;
wire \tile_x9y7_nn4beg[5] ;
wire \tile_x9y7_nn4beg[6] ;
wire \tile_x9y7_nn4beg[7] ;
wire \tile_x9y7_nn4beg[8] ;
wire \tile_x9y7_nn4beg[9] ;
wire \tile_x9y7_s1beg[0] ;
wire \tile_x9y7_s1beg[1] ;
wire \tile_x9y7_s1beg[2] ;
wire \tile_x9y7_s1beg[3] ;
wire \tile_x9y7_s2beg[0] ;
wire \tile_x9y7_s2beg[1] ;
wire \tile_x9y7_s2beg[2] ;
wire \tile_x9y7_s2beg[3] ;
wire \tile_x9y7_s2beg[4] ;
wire \tile_x9y7_s2beg[5] ;
wire \tile_x9y7_s2beg[6] ;
wire \tile_x9y7_s2beg[7] ;
wire \tile_x9y7_s2begb[0] ;
wire \tile_x9y7_s2begb[1] ;
wire \tile_x9y7_s2begb[2] ;
wire \tile_x9y7_s2begb[3] ;
wire \tile_x9y7_s2begb[4] ;
wire \tile_x9y7_s2begb[5] ;
wire \tile_x9y7_s2begb[6] ;
wire \tile_x9y7_s2begb[7] ;
wire \tile_x9y7_s4beg[0] ;
wire \tile_x9y7_s4beg[10] ;
wire \tile_x9y7_s4beg[11] ;
wire \tile_x9y7_s4beg[12] ;
wire \tile_x9y7_s4beg[13] ;
wire \tile_x9y7_s4beg[14] ;
wire \tile_x9y7_s4beg[15] ;
wire \tile_x9y7_s4beg[1] ;
wire \tile_x9y7_s4beg[2] ;
wire \tile_x9y7_s4beg[3] ;
wire \tile_x9y7_s4beg[4] ;
wire \tile_x9y7_s4beg[5] ;
wire \tile_x9y7_s4beg[6] ;
wire \tile_x9y7_s4beg[7] ;
wire \tile_x9y7_s4beg[8] ;
wire \tile_x9y7_s4beg[9] ;
wire \tile_x9y7_ss4beg[0] ;
wire \tile_x9y7_ss4beg[10] ;
wire \tile_x9y7_ss4beg[11] ;
wire \tile_x9y7_ss4beg[12] ;
wire \tile_x9y7_ss4beg[13] ;
wire \tile_x9y7_ss4beg[14] ;
wire \tile_x9y7_ss4beg[15] ;
wire \tile_x9y7_ss4beg[1] ;
wire \tile_x9y7_ss4beg[2] ;
wire \tile_x9y7_ss4beg[3] ;
wire \tile_x9y7_ss4beg[4] ;
wire \tile_x9y7_ss4beg[5] ;
wire \tile_x9y7_ss4beg[6] ;
wire \tile_x9y7_ss4beg[7] ;
wire \tile_x9y7_ss4beg[8] ;
wire \tile_x9y7_ss4beg[9] ;
wire tile_x9y7_userclko;
wire \tile_x9y7_w1beg[0] ;
wire \tile_x9y7_w1beg[1] ;
wire \tile_x9y7_w1beg[2] ;
wire \tile_x9y7_w1beg[3] ;
wire \tile_x9y7_w2beg[0] ;
wire \tile_x9y7_w2beg[1] ;
wire \tile_x9y7_w2beg[2] ;
wire \tile_x9y7_w2beg[3] ;
wire \tile_x9y7_w2beg[4] ;
wire \tile_x9y7_w2beg[5] ;
wire \tile_x9y7_w2beg[6] ;
wire \tile_x9y7_w2beg[7] ;
wire \tile_x9y7_w2begb[0] ;
wire \tile_x9y7_w2begb[1] ;
wire \tile_x9y7_w2begb[2] ;
wire \tile_x9y7_w2begb[3] ;
wire \tile_x9y7_w2begb[4] ;
wire \tile_x9y7_w2begb[5] ;
wire \tile_x9y7_w2begb[6] ;
wire \tile_x9y7_w2begb[7] ;
wire \tile_x9y7_w6beg[0] ;
wire \tile_x9y7_w6beg[10] ;
wire \tile_x9y7_w6beg[11] ;
wire \tile_x9y7_w6beg[1] ;
wire \tile_x9y7_w6beg[2] ;
wire \tile_x9y7_w6beg[3] ;
wire \tile_x9y7_w6beg[4] ;
wire \tile_x9y7_w6beg[5] ;
wire \tile_x9y7_w6beg[6] ;
wire \tile_x9y7_w6beg[7] ;
wire \tile_x9y7_w6beg[8] ;
wire \tile_x9y7_w6beg[9] ;
wire \tile_x9y7_ww4beg[0] ;
wire \tile_x9y7_ww4beg[10] ;
wire \tile_x9y7_ww4beg[11] ;
wire \tile_x9y7_ww4beg[12] ;
wire \tile_x9y7_ww4beg[13] ;
wire \tile_x9y7_ww4beg[14] ;
wire \tile_x9y7_ww4beg[15] ;
wire \tile_x9y7_ww4beg[1] ;
wire \tile_x9y7_ww4beg[2] ;
wire \tile_x9y7_ww4beg[3] ;
wire \tile_x9y7_ww4beg[4] ;
wire \tile_x9y7_ww4beg[5] ;
wire \tile_x9y7_ww4beg[6] ;
wire \tile_x9y7_ww4beg[7] ;
wire \tile_x9y7_ww4beg[8] ;
wire \tile_x9y7_ww4beg[9] ;
wire tile_x9y8_co;
wire \tile_x9y8_e1beg[0] ;
wire \tile_x9y8_e1beg[1] ;
wire \tile_x9y8_e1beg[2] ;
wire \tile_x9y8_e1beg[3] ;
wire \tile_x9y8_e2beg[0] ;
wire \tile_x9y8_e2beg[1] ;
wire \tile_x9y8_e2beg[2] ;
wire \tile_x9y8_e2beg[3] ;
wire \tile_x9y8_e2beg[4] ;
wire \tile_x9y8_e2beg[5] ;
wire \tile_x9y8_e2beg[6] ;
wire \tile_x9y8_e2beg[7] ;
wire \tile_x9y8_e2begb[0] ;
wire \tile_x9y8_e2begb[1] ;
wire \tile_x9y8_e2begb[2] ;
wire \tile_x9y8_e2begb[3] ;
wire \tile_x9y8_e2begb[4] ;
wire \tile_x9y8_e2begb[5] ;
wire \tile_x9y8_e2begb[6] ;
wire \tile_x9y8_e2begb[7] ;
wire \tile_x9y8_e6beg[0] ;
wire \tile_x9y8_e6beg[10] ;
wire \tile_x9y8_e6beg[11] ;
wire \tile_x9y8_e6beg[1] ;
wire \tile_x9y8_e6beg[2] ;
wire \tile_x9y8_e6beg[3] ;
wire \tile_x9y8_e6beg[4] ;
wire \tile_x9y8_e6beg[5] ;
wire \tile_x9y8_e6beg[6] ;
wire \tile_x9y8_e6beg[7] ;
wire \tile_x9y8_e6beg[8] ;
wire \tile_x9y8_e6beg[9] ;
wire \tile_x9y8_ee4beg[0] ;
wire \tile_x9y8_ee4beg[10] ;
wire \tile_x9y8_ee4beg[11] ;
wire \tile_x9y8_ee4beg[12] ;
wire \tile_x9y8_ee4beg[13] ;
wire \tile_x9y8_ee4beg[14] ;
wire \tile_x9y8_ee4beg[15] ;
wire \tile_x9y8_ee4beg[1] ;
wire \tile_x9y8_ee4beg[2] ;
wire \tile_x9y8_ee4beg[3] ;
wire \tile_x9y8_ee4beg[4] ;
wire \tile_x9y8_ee4beg[5] ;
wire \tile_x9y8_ee4beg[6] ;
wire \tile_x9y8_ee4beg[7] ;
wire \tile_x9y8_ee4beg[8] ;
wire \tile_x9y8_ee4beg[9] ;
wire \tile_x9y8_framedata_o[0] ;
wire \tile_x9y8_framedata_o[10] ;
wire \tile_x9y8_framedata_o[11] ;
wire \tile_x9y8_framedata_o[12] ;
wire \tile_x9y8_framedata_o[13] ;
wire \tile_x9y8_framedata_o[14] ;
wire \tile_x9y8_framedata_o[15] ;
wire \tile_x9y8_framedata_o[16] ;
wire \tile_x9y8_framedata_o[17] ;
wire \tile_x9y8_framedata_o[18] ;
wire \tile_x9y8_framedata_o[19] ;
wire \tile_x9y8_framedata_o[1] ;
wire \tile_x9y8_framedata_o[20] ;
wire \tile_x9y8_framedata_o[21] ;
wire \tile_x9y8_framedata_o[22] ;
wire \tile_x9y8_framedata_o[23] ;
wire \tile_x9y8_framedata_o[24] ;
wire \tile_x9y8_framedata_o[25] ;
wire \tile_x9y8_framedata_o[26] ;
wire \tile_x9y8_framedata_o[27] ;
wire \tile_x9y8_framedata_o[28] ;
wire \tile_x9y8_framedata_o[29] ;
wire \tile_x9y8_framedata_o[2] ;
wire \tile_x9y8_framedata_o[30] ;
wire \tile_x9y8_framedata_o[31] ;
wire \tile_x9y8_framedata_o[3] ;
wire \tile_x9y8_framedata_o[4] ;
wire \tile_x9y8_framedata_o[5] ;
wire \tile_x9y8_framedata_o[6] ;
wire \tile_x9y8_framedata_o[7] ;
wire \tile_x9y8_framedata_o[8] ;
wire \tile_x9y8_framedata_o[9] ;
wire \tile_x9y8_framestrobe_o[0] ;
wire \tile_x9y8_framestrobe_o[10] ;
wire \tile_x9y8_framestrobe_o[11] ;
wire \tile_x9y8_framestrobe_o[12] ;
wire \tile_x9y8_framestrobe_o[13] ;
wire \tile_x9y8_framestrobe_o[14] ;
wire \tile_x9y8_framestrobe_o[15] ;
wire \tile_x9y8_framestrobe_o[16] ;
wire \tile_x9y8_framestrobe_o[17] ;
wire \tile_x9y8_framestrobe_o[18] ;
wire \tile_x9y8_framestrobe_o[19] ;
wire \tile_x9y8_framestrobe_o[1] ;
wire \tile_x9y8_framestrobe_o[2] ;
wire \tile_x9y8_framestrobe_o[3] ;
wire \tile_x9y8_framestrobe_o[4] ;
wire \tile_x9y8_framestrobe_o[5] ;
wire \tile_x9y8_framestrobe_o[6] ;
wire \tile_x9y8_framestrobe_o[7] ;
wire \tile_x9y8_framestrobe_o[8] ;
wire \tile_x9y8_framestrobe_o[9] ;
wire \tile_x9y8_n1beg[0] ;
wire \tile_x9y8_n1beg[1] ;
wire \tile_x9y8_n1beg[2] ;
wire \tile_x9y8_n1beg[3] ;
wire \tile_x9y8_n2beg[0] ;
wire \tile_x9y8_n2beg[1] ;
wire \tile_x9y8_n2beg[2] ;
wire \tile_x9y8_n2beg[3] ;
wire \tile_x9y8_n2beg[4] ;
wire \tile_x9y8_n2beg[5] ;
wire \tile_x9y8_n2beg[6] ;
wire \tile_x9y8_n2beg[7] ;
wire \tile_x9y8_n2begb[0] ;
wire \tile_x9y8_n2begb[1] ;
wire \tile_x9y8_n2begb[2] ;
wire \tile_x9y8_n2begb[3] ;
wire \tile_x9y8_n2begb[4] ;
wire \tile_x9y8_n2begb[5] ;
wire \tile_x9y8_n2begb[6] ;
wire \tile_x9y8_n2begb[7] ;
wire \tile_x9y8_n4beg[0] ;
wire \tile_x9y8_n4beg[10] ;
wire \tile_x9y8_n4beg[11] ;
wire \tile_x9y8_n4beg[12] ;
wire \tile_x9y8_n4beg[13] ;
wire \tile_x9y8_n4beg[14] ;
wire \tile_x9y8_n4beg[15] ;
wire \tile_x9y8_n4beg[1] ;
wire \tile_x9y8_n4beg[2] ;
wire \tile_x9y8_n4beg[3] ;
wire \tile_x9y8_n4beg[4] ;
wire \tile_x9y8_n4beg[5] ;
wire \tile_x9y8_n4beg[6] ;
wire \tile_x9y8_n4beg[7] ;
wire \tile_x9y8_n4beg[8] ;
wire \tile_x9y8_n4beg[9] ;
wire \tile_x9y8_nn4beg[0] ;
wire \tile_x9y8_nn4beg[10] ;
wire \tile_x9y8_nn4beg[11] ;
wire \tile_x9y8_nn4beg[12] ;
wire \tile_x9y8_nn4beg[13] ;
wire \tile_x9y8_nn4beg[14] ;
wire \tile_x9y8_nn4beg[15] ;
wire \tile_x9y8_nn4beg[1] ;
wire \tile_x9y8_nn4beg[2] ;
wire \tile_x9y8_nn4beg[3] ;
wire \tile_x9y8_nn4beg[4] ;
wire \tile_x9y8_nn4beg[5] ;
wire \tile_x9y8_nn4beg[6] ;
wire \tile_x9y8_nn4beg[7] ;
wire \tile_x9y8_nn4beg[8] ;
wire \tile_x9y8_nn4beg[9] ;
wire \tile_x9y8_s1beg[0] ;
wire \tile_x9y8_s1beg[1] ;
wire \tile_x9y8_s1beg[2] ;
wire \tile_x9y8_s1beg[3] ;
wire \tile_x9y8_s2beg[0] ;
wire \tile_x9y8_s2beg[1] ;
wire \tile_x9y8_s2beg[2] ;
wire \tile_x9y8_s2beg[3] ;
wire \tile_x9y8_s2beg[4] ;
wire \tile_x9y8_s2beg[5] ;
wire \tile_x9y8_s2beg[6] ;
wire \tile_x9y8_s2beg[7] ;
wire \tile_x9y8_s2begb[0] ;
wire \tile_x9y8_s2begb[1] ;
wire \tile_x9y8_s2begb[2] ;
wire \tile_x9y8_s2begb[3] ;
wire \tile_x9y8_s2begb[4] ;
wire \tile_x9y8_s2begb[5] ;
wire \tile_x9y8_s2begb[6] ;
wire \tile_x9y8_s2begb[7] ;
wire \tile_x9y8_s4beg[0] ;
wire \tile_x9y8_s4beg[10] ;
wire \tile_x9y8_s4beg[11] ;
wire \tile_x9y8_s4beg[12] ;
wire \tile_x9y8_s4beg[13] ;
wire \tile_x9y8_s4beg[14] ;
wire \tile_x9y8_s4beg[15] ;
wire \tile_x9y8_s4beg[1] ;
wire \tile_x9y8_s4beg[2] ;
wire \tile_x9y8_s4beg[3] ;
wire \tile_x9y8_s4beg[4] ;
wire \tile_x9y8_s4beg[5] ;
wire \tile_x9y8_s4beg[6] ;
wire \tile_x9y8_s4beg[7] ;
wire \tile_x9y8_s4beg[8] ;
wire \tile_x9y8_s4beg[9] ;
wire \tile_x9y8_ss4beg[0] ;
wire \tile_x9y8_ss4beg[10] ;
wire \tile_x9y8_ss4beg[11] ;
wire \tile_x9y8_ss4beg[12] ;
wire \tile_x9y8_ss4beg[13] ;
wire \tile_x9y8_ss4beg[14] ;
wire \tile_x9y8_ss4beg[15] ;
wire \tile_x9y8_ss4beg[1] ;
wire \tile_x9y8_ss4beg[2] ;
wire \tile_x9y8_ss4beg[3] ;
wire \tile_x9y8_ss4beg[4] ;
wire \tile_x9y8_ss4beg[5] ;
wire \tile_x9y8_ss4beg[6] ;
wire \tile_x9y8_ss4beg[7] ;
wire \tile_x9y8_ss4beg[8] ;
wire \tile_x9y8_ss4beg[9] ;
wire tile_x9y8_userclko;
wire \tile_x9y8_w1beg[0] ;
wire \tile_x9y8_w1beg[1] ;
wire \tile_x9y8_w1beg[2] ;
wire \tile_x9y8_w1beg[3] ;
wire \tile_x9y8_w2beg[0] ;
wire \tile_x9y8_w2beg[1] ;
wire \tile_x9y8_w2beg[2] ;
wire \tile_x9y8_w2beg[3] ;
wire \tile_x9y8_w2beg[4] ;
wire \tile_x9y8_w2beg[5] ;
wire \tile_x9y8_w2beg[6] ;
wire \tile_x9y8_w2beg[7] ;
wire \tile_x9y8_w2begb[0] ;
wire \tile_x9y8_w2begb[1] ;
wire \tile_x9y8_w2begb[2] ;
wire \tile_x9y8_w2begb[3] ;
wire \tile_x9y8_w2begb[4] ;
wire \tile_x9y8_w2begb[5] ;
wire \tile_x9y8_w2begb[6] ;
wire \tile_x9y8_w2begb[7] ;
wire \tile_x9y8_w6beg[0] ;
wire \tile_x9y8_w6beg[10] ;
wire \tile_x9y8_w6beg[11] ;
wire \tile_x9y8_w6beg[1] ;
wire \tile_x9y8_w6beg[2] ;
wire \tile_x9y8_w6beg[3] ;
wire \tile_x9y8_w6beg[4] ;
wire \tile_x9y8_w6beg[5] ;
wire \tile_x9y8_w6beg[6] ;
wire \tile_x9y8_w6beg[7] ;
wire \tile_x9y8_w6beg[8] ;
wire \tile_x9y8_w6beg[9] ;
wire \tile_x9y8_ww4beg[0] ;
wire \tile_x9y8_ww4beg[10] ;
wire \tile_x9y8_ww4beg[11] ;
wire \tile_x9y8_ww4beg[12] ;
wire \tile_x9y8_ww4beg[13] ;
wire \tile_x9y8_ww4beg[14] ;
wire \tile_x9y8_ww4beg[15] ;
wire \tile_x9y8_ww4beg[1] ;
wire \tile_x9y8_ww4beg[2] ;
wire \tile_x9y8_ww4beg[3] ;
wire \tile_x9y8_ww4beg[4] ;
wire \tile_x9y8_ww4beg[5] ;
wire \tile_x9y8_ww4beg[6] ;
wire \tile_x9y8_ww4beg[7] ;
wire \tile_x9y8_ww4beg[8] ;
wire \tile_x9y8_ww4beg[9] ;
wire tile_x9y9_co;
wire \tile_x9y9_e1beg[0] ;
wire \tile_x9y9_e1beg[1] ;
wire \tile_x9y9_e1beg[2] ;
wire \tile_x9y9_e1beg[3] ;
wire \tile_x9y9_e2beg[0] ;
wire \tile_x9y9_e2beg[1] ;
wire \tile_x9y9_e2beg[2] ;
wire \tile_x9y9_e2beg[3] ;
wire \tile_x9y9_e2beg[4] ;
wire \tile_x9y9_e2beg[5] ;
wire \tile_x9y9_e2beg[6] ;
wire \tile_x9y9_e2beg[7] ;
wire \tile_x9y9_e2begb[0] ;
wire \tile_x9y9_e2begb[1] ;
wire \tile_x9y9_e2begb[2] ;
wire \tile_x9y9_e2begb[3] ;
wire \tile_x9y9_e2begb[4] ;
wire \tile_x9y9_e2begb[5] ;
wire \tile_x9y9_e2begb[6] ;
wire \tile_x9y9_e2begb[7] ;
wire \tile_x9y9_e6beg[0] ;
wire \tile_x9y9_e6beg[10] ;
wire \tile_x9y9_e6beg[11] ;
wire \tile_x9y9_e6beg[1] ;
wire \tile_x9y9_e6beg[2] ;
wire \tile_x9y9_e6beg[3] ;
wire \tile_x9y9_e6beg[4] ;
wire \tile_x9y9_e6beg[5] ;
wire \tile_x9y9_e6beg[6] ;
wire \tile_x9y9_e6beg[7] ;
wire \tile_x9y9_e6beg[8] ;
wire \tile_x9y9_e6beg[9] ;
wire \tile_x9y9_ee4beg[0] ;
wire \tile_x9y9_ee4beg[10] ;
wire \tile_x9y9_ee4beg[11] ;
wire \tile_x9y9_ee4beg[12] ;
wire \tile_x9y9_ee4beg[13] ;
wire \tile_x9y9_ee4beg[14] ;
wire \tile_x9y9_ee4beg[15] ;
wire \tile_x9y9_ee4beg[1] ;
wire \tile_x9y9_ee4beg[2] ;
wire \tile_x9y9_ee4beg[3] ;
wire \tile_x9y9_ee4beg[4] ;
wire \tile_x9y9_ee4beg[5] ;
wire \tile_x9y9_ee4beg[6] ;
wire \tile_x9y9_ee4beg[7] ;
wire \tile_x9y9_ee4beg[8] ;
wire \tile_x9y9_ee4beg[9] ;
wire \tile_x9y9_framedata_o[0] ;
wire \tile_x9y9_framedata_o[10] ;
wire \tile_x9y9_framedata_o[11] ;
wire \tile_x9y9_framedata_o[12] ;
wire \tile_x9y9_framedata_o[13] ;
wire \tile_x9y9_framedata_o[14] ;
wire \tile_x9y9_framedata_o[15] ;
wire \tile_x9y9_framedata_o[16] ;
wire \tile_x9y9_framedata_o[17] ;
wire \tile_x9y9_framedata_o[18] ;
wire \tile_x9y9_framedata_o[19] ;
wire \tile_x9y9_framedata_o[1] ;
wire \tile_x9y9_framedata_o[20] ;
wire \tile_x9y9_framedata_o[21] ;
wire \tile_x9y9_framedata_o[22] ;
wire \tile_x9y9_framedata_o[23] ;
wire \tile_x9y9_framedata_o[24] ;
wire \tile_x9y9_framedata_o[25] ;
wire \tile_x9y9_framedata_o[26] ;
wire \tile_x9y9_framedata_o[27] ;
wire \tile_x9y9_framedata_o[28] ;
wire \tile_x9y9_framedata_o[29] ;
wire \tile_x9y9_framedata_o[2] ;
wire \tile_x9y9_framedata_o[30] ;
wire \tile_x9y9_framedata_o[31] ;
wire \tile_x9y9_framedata_o[3] ;
wire \tile_x9y9_framedata_o[4] ;
wire \tile_x9y9_framedata_o[5] ;
wire \tile_x9y9_framedata_o[6] ;
wire \tile_x9y9_framedata_o[7] ;
wire \tile_x9y9_framedata_o[8] ;
wire \tile_x9y9_framedata_o[9] ;
wire \tile_x9y9_framestrobe_o[0] ;
wire \tile_x9y9_framestrobe_o[10] ;
wire \tile_x9y9_framestrobe_o[11] ;
wire \tile_x9y9_framestrobe_o[12] ;
wire \tile_x9y9_framestrobe_o[13] ;
wire \tile_x9y9_framestrobe_o[14] ;
wire \tile_x9y9_framestrobe_o[15] ;
wire \tile_x9y9_framestrobe_o[16] ;
wire \tile_x9y9_framestrobe_o[17] ;
wire \tile_x9y9_framestrobe_o[18] ;
wire \tile_x9y9_framestrobe_o[19] ;
wire \tile_x9y9_framestrobe_o[1] ;
wire \tile_x9y9_framestrobe_o[2] ;
wire \tile_x9y9_framestrobe_o[3] ;
wire \tile_x9y9_framestrobe_o[4] ;
wire \tile_x9y9_framestrobe_o[5] ;
wire \tile_x9y9_framestrobe_o[6] ;
wire \tile_x9y9_framestrobe_o[7] ;
wire \tile_x9y9_framestrobe_o[8] ;
wire \tile_x9y9_framestrobe_o[9] ;
wire \tile_x9y9_n1beg[0] ;
wire \tile_x9y9_n1beg[1] ;
wire \tile_x9y9_n1beg[2] ;
wire \tile_x9y9_n1beg[3] ;
wire \tile_x9y9_n2beg[0] ;
wire \tile_x9y9_n2beg[1] ;
wire \tile_x9y9_n2beg[2] ;
wire \tile_x9y9_n2beg[3] ;
wire \tile_x9y9_n2beg[4] ;
wire \tile_x9y9_n2beg[5] ;
wire \tile_x9y9_n2beg[6] ;
wire \tile_x9y9_n2beg[7] ;
wire \tile_x9y9_n2begb[0] ;
wire \tile_x9y9_n2begb[1] ;
wire \tile_x9y9_n2begb[2] ;
wire \tile_x9y9_n2begb[3] ;
wire \tile_x9y9_n2begb[4] ;
wire \tile_x9y9_n2begb[5] ;
wire \tile_x9y9_n2begb[6] ;
wire \tile_x9y9_n2begb[7] ;
wire \tile_x9y9_n4beg[0] ;
wire \tile_x9y9_n4beg[10] ;
wire \tile_x9y9_n4beg[11] ;
wire \tile_x9y9_n4beg[12] ;
wire \tile_x9y9_n4beg[13] ;
wire \tile_x9y9_n4beg[14] ;
wire \tile_x9y9_n4beg[15] ;
wire \tile_x9y9_n4beg[1] ;
wire \tile_x9y9_n4beg[2] ;
wire \tile_x9y9_n4beg[3] ;
wire \tile_x9y9_n4beg[4] ;
wire \tile_x9y9_n4beg[5] ;
wire \tile_x9y9_n4beg[6] ;
wire \tile_x9y9_n4beg[7] ;
wire \tile_x9y9_n4beg[8] ;
wire \tile_x9y9_n4beg[9] ;
wire \tile_x9y9_nn4beg[0] ;
wire \tile_x9y9_nn4beg[10] ;
wire \tile_x9y9_nn4beg[11] ;
wire \tile_x9y9_nn4beg[12] ;
wire \tile_x9y9_nn4beg[13] ;
wire \tile_x9y9_nn4beg[14] ;
wire \tile_x9y9_nn4beg[15] ;
wire \tile_x9y9_nn4beg[1] ;
wire \tile_x9y9_nn4beg[2] ;
wire \tile_x9y9_nn4beg[3] ;
wire \tile_x9y9_nn4beg[4] ;
wire \tile_x9y9_nn4beg[5] ;
wire \tile_x9y9_nn4beg[6] ;
wire \tile_x9y9_nn4beg[7] ;
wire \tile_x9y9_nn4beg[8] ;
wire \tile_x9y9_nn4beg[9] ;
wire \tile_x9y9_s1beg[0] ;
wire \tile_x9y9_s1beg[1] ;
wire \tile_x9y9_s1beg[2] ;
wire \tile_x9y9_s1beg[3] ;
wire \tile_x9y9_s2beg[0] ;
wire \tile_x9y9_s2beg[1] ;
wire \tile_x9y9_s2beg[2] ;
wire \tile_x9y9_s2beg[3] ;
wire \tile_x9y9_s2beg[4] ;
wire \tile_x9y9_s2beg[5] ;
wire \tile_x9y9_s2beg[6] ;
wire \tile_x9y9_s2beg[7] ;
wire \tile_x9y9_s2begb[0] ;
wire \tile_x9y9_s2begb[1] ;
wire \tile_x9y9_s2begb[2] ;
wire \tile_x9y9_s2begb[3] ;
wire \tile_x9y9_s2begb[4] ;
wire \tile_x9y9_s2begb[5] ;
wire \tile_x9y9_s2begb[6] ;
wire \tile_x9y9_s2begb[7] ;
wire \tile_x9y9_s4beg[0] ;
wire \tile_x9y9_s4beg[10] ;
wire \tile_x9y9_s4beg[11] ;
wire \tile_x9y9_s4beg[12] ;
wire \tile_x9y9_s4beg[13] ;
wire \tile_x9y9_s4beg[14] ;
wire \tile_x9y9_s4beg[15] ;
wire \tile_x9y9_s4beg[1] ;
wire \tile_x9y9_s4beg[2] ;
wire \tile_x9y9_s4beg[3] ;
wire \tile_x9y9_s4beg[4] ;
wire \tile_x9y9_s4beg[5] ;
wire \tile_x9y9_s4beg[6] ;
wire \tile_x9y9_s4beg[7] ;
wire \tile_x9y9_s4beg[8] ;
wire \tile_x9y9_s4beg[9] ;
wire \tile_x9y9_ss4beg[0] ;
wire \tile_x9y9_ss4beg[10] ;
wire \tile_x9y9_ss4beg[11] ;
wire \tile_x9y9_ss4beg[12] ;
wire \tile_x9y9_ss4beg[13] ;
wire \tile_x9y9_ss4beg[14] ;
wire \tile_x9y9_ss4beg[15] ;
wire \tile_x9y9_ss4beg[1] ;
wire \tile_x9y9_ss4beg[2] ;
wire \tile_x9y9_ss4beg[3] ;
wire \tile_x9y9_ss4beg[4] ;
wire \tile_x9y9_ss4beg[5] ;
wire \tile_x9y9_ss4beg[6] ;
wire \tile_x9y9_ss4beg[7] ;
wire \tile_x9y9_ss4beg[8] ;
wire \tile_x9y9_ss4beg[9] ;
wire tile_x9y9_userclko;
wire \tile_x9y9_w1beg[0] ;
wire \tile_x9y9_w1beg[1] ;
wire \tile_x9y9_w1beg[2] ;
wire \tile_x9y9_w1beg[3] ;
wire \tile_x9y9_w2beg[0] ;
wire \tile_x9y9_w2beg[1] ;
wire \tile_x9y9_w2beg[2] ;
wire \tile_x9y9_w2beg[3] ;
wire \tile_x9y9_w2beg[4] ;
wire \tile_x9y9_w2beg[5] ;
wire \tile_x9y9_w2beg[6] ;
wire \tile_x9y9_w2beg[7] ;
wire \tile_x9y9_w2begb[0] ;
wire \tile_x9y9_w2begb[1] ;
wire \tile_x9y9_w2begb[2] ;
wire \tile_x9y9_w2begb[3] ;
wire \tile_x9y9_w2begb[4] ;
wire \tile_x9y9_w2begb[5] ;
wire \tile_x9y9_w2begb[6] ;
wire \tile_x9y9_w2begb[7] ;
wire \tile_x9y9_w6beg[0] ;
wire \tile_x9y9_w6beg[10] ;
wire \tile_x9y9_w6beg[11] ;
wire \tile_x9y9_w6beg[1] ;
wire \tile_x9y9_w6beg[2] ;
wire \tile_x9y9_w6beg[3] ;
wire \tile_x9y9_w6beg[4] ;
wire \tile_x9y9_w6beg[5] ;
wire \tile_x9y9_w6beg[6] ;
wire \tile_x9y9_w6beg[7] ;
wire \tile_x9y9_w6beg[8] ;
wire \tile_x9y9_w6beg[9] ;
wire \tile_x9y9_ww4beg[0] ;
wire \tile_x9y9_ww4beg[10] ;
wire \tile_x9y9_ww4beg[11] ;
wire \tile_x9y9_ww4beg[12] ;
wire \tile_x9y9_ww4beg[13] ;
wire \tile_x9y9_ww4beg[14] ;
wire \tile_x9y9_ww4beg[15] ;
wire \tile_x9y9_ww4beg[1] ;
wire \tile_x9y9_ww4beg[2] ;
wire \tile_x9y9_ww4beg[3] ;
wire \tile_x9y9_ww4beg[4] ;
wire \tile_x9y9_ww4beg[5] ;
wire \tile_x9y9_ww4beg[6] ;
wire \tile_x9y9_ww4beg[7] ;
wire \tile_x9y9_ww4beg[8] ;
wire \tile_x9y9_ww4beg[9] ;
input userclk;
w_io tile_x0y10_w_io (
.a_i_top(tile_x0y10_a_i_top),
.a_o_top(tile_x0y10_a_o_top),
.a_t_top(tile_x0y10_a_t_top),
.a_config_c_bit0(tile_x0y10_a_config_c_bit0),
.a_config_c_bit1(tile_x0y10_a_config_c_bit1),
.a_config_c_bit2(tile_x0y10_a_config_c_bit2),
.a_config_c_bit3(tile_x0y10_a_config_c_bit3),
.b_i_top(tile_x0y10_b_i_top),
.b_o_top(tile_x0y10_b_o_top),
.b_t_top(tile_x0y10_b_t_top),
.b_config_c_bit0(tile_x0y10_b_config_c_bit0),
.b_config_c_bit1(tile_x0y10_b_config_c_bit1),
.b_config_c_bit2(tile_x0y10_b_config_c_bit2),
.b_config_c_bit3(tile_x0y10_b_config_c_bit3),
.e1beg({ \tile_x0y10_e1beg[3] , \tile_x0y10_e1beg[2] , \tile_x0y10_e1beg[1] , \tile_x0y10_e1beg[0] }),
.e2beg({ \tile_x0y10_e2beg[7] , \tile_x0y10_e2beg[6] , \tile_x0y10_e2beg[5] , \tile_x0y10_e2beg[4] , \tile_x0y10_e2beg[3] , \tile_x0y10_e2beg[2] , \tile_x0y10_e2beg[1] , \tile_x0y10_e2beg[0] }),
.e2begb({ \tile_x0y10_e2begb[7] , \tile_x0y10_e2begb[6] , \tile_x0y10_e2begb[5] , \tile_x0y10_e2begb[4] , \tile_x0y10_e2begb[3] , \tile_x0y10_e2begb[2] , \tile_x0y10_e2begb[1] , \tile_x0y10_e2begb[0] }),
.e6beg({ \tile_x0y10_e6beg[11] , \tile_x0y10_e6beg[10] , \tile_x0y10_e6beg[9] , \tile_x0y10_e6beg[8] , \tile_x0y10_e6beg[7] , \tile_x0y10_e6beg[6] , \tile_x0y10_e6beg[5] , \tile_x0y10_e6beg[4] , \tile_x0y10_e6beg[3] , \tile_x0y10_e6beg[2] , \tile_x0y10_e6beg[1] , \tile_x0y10_e6beg[0] }),
.ee4beg({ \tile_x0y10_ee4beg[15] , \tile_x0y10_ee4beg[14] , \tile_x0y10_ee4beg[13] , \tile_x0y10_ee4beg[12] , \tile_x0y10_ee4beg[11] , \tile_x0y10_ee4beg[10] , \tile_x0y10_ee4beg[9] , \tile_x0y10_ee4beg[8] , \tile_x0y10_ee4beg[7] , \tile_x0y10_ee4beg[6] , \tile_x0y10_ee4beg[5] , \tile_x0y10_ee4beg[4] , \tile_x0y10_ee4beg[3] , \tile_x0y10_ee4beg[2] , \tile_x0y10_ee4beg[1] , \tile_x0y10_ee4beg[0] }),
.framedata(framedata[351:320]),
.framedata_o({ \tile_x0y10_framedata_o[31] , \tile_x0y10_framedata_o[30] , \tile_x0y10_framedata_o[29] , \tile_x0y10_framedata_o[28] , \tile_x0y10_framedata_o[27] , \tile_x0y10_framedata_o[26] , \tile_x0y10_framedata_o[25] , \tile_x0y10_framedata_o[24] , \tile_x0y10_framedata_o[23] , \tile_x0y10_framedata_o[22] , \tile_x0y10_framedata_o[21] , \tile_x0y10_framedata_o[20] , \tile_x0y10_framedata_o[19] , \tile_x0y10_framedata_o[18] , \tile_x0y10_framedata_o[17] , \tile_x0y10_framedata_o[16] , \tile_x0y10_framedata_o[15] , \tile_x0y10_framedata_o[14] , \tile_x0y10_framedata_o[13] , \tile_x0y10_framedata_o[12] , \tile_x0y10_framedata_o[11] , \tile_x0y10_framedata_o[10] , \tile_x0y10_framedata_o[9] , \tile_x0y10_framedata_o[8] , \tile_x0y10_framedata_o[7] , \tile_x0y10_framedata_o[6] , \tile_x0y10_framedata_o[5] , \tile_x0y10_framedata_o[4] , \tile_x0y10_framedata_o[3] , \tile_x0y10_framedata_o[2] , \tile_x0y10_framedata_o[1] , \tile_x0y10_framedata_o[0] }),
.framestrobe({ \tile_x0y11_framestrobe_o[19] , \tile_x0y11_framestrobe_o[18] , \tile_x0y11_framestrobe_o[17] , \tile_x0y11_framestrobe_o[16] , \tile_x0y11_framestrobe_o[15] , \tile_x0y11_framestrobe_o[14] , \tile_x0y11_framestrobe_o[13] , \tile_x0y11_framestrobe_o[12] , \tile_x0y11_framestrobe_o[11] , \tile_x0y11_framestrobe_o[10] , \tile_x0y11_framestrobe_o[9] , \tile_x0y11_framestrobe_o[8] , \tile_x0y11_framestrobe_o[7] , \tile_x0y11_framestrobe_o[6] , \tile_x0y11_framestrobe_o[5] , \tile_x0y11_framestrobe_o[4] , \tile_x0y11_framestrobe_o[3] , \tile_x0y11_framestrobe_o[2] , \tile_x0y11_framestrobe_o[1] , \tile_x0y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x0y10_framestrobe_o[19] , \tile_x0y10_framestrobe_o[18] , \tile_x0y10_framestrobe_o[17] , \tile_x0y10_framestrobe_o[16] , \tile_x0y10_framestrobe_o[15] , \tile_x0y10_framestrobe_o[14] , \tile_x0y10_framestrobe_o[13] , \tile_x0y10_framestrobe_o[12] , \tile_x0y10_framestrobe_o[11] , \tile_x0y10_framestrobe_o[10] , \tile_x0y10_framestrobe_o[9] , \tile_x0y10_framestrobe_o[8] , \tile_x0y10_framestrobe_o[7] , \tile_x0y10_framestrobe_o[6] , \tile_x0y10_framestrobe_o[5] , \tile_x0y10_framestrobe_o[4] , \tile_x0y10_framestrobe_o[3] , \tile_x0y10_framestrobe_o[2] , \tile_x0y10_framestrobe_o[1] , \tile_x0y10_framestrobe_o[0] }),
.userclk(tile_x0y11_userclko),
.userclko(tile_x0y10_userclko),
.w1end({ \tile_x1y10_w1beg[3] , \tile_x1y10_w1beg[2] , \tile_x1y10_w1beg[1] , \tile_x1y10_w1beg[0] }),
.w2end({ \tile_x1y10_w2begb[7] , \tile_x1y10_w2begb[6] , \tile_x1y10_w2begb[5] , \tile_x1y10_w2begb[4] , \tile_x1y10_w2begb[3] , \tile_x1y10_w2begb[2] , \tile_x1y10_w2begb[1] , \tile_x1y10_w2begb[0] }),
.w2mid({ \tile_x1y10_w2beg[7] , \tile_x1y10_w2beg[6] , \tile_x1y10_w2beg[5] , \tile_x1y10_w2beg[4] , \tile_x1y10_w2beg[3] , \tile_x1y10_w2beg[2] , \tile_x1y10_w2beg[1] , \tile_x1y10_w2beg[0] }),
.w6end({ \tile_x1y10_w6beg[11] , \tile_x1y10_w6beg[10] , \tile_x1y10_w6beg[9] , \tile_x1y10_w6beg[8] , \tile_x1y10_w6beg[7] , \tile_x1y10_w6beg[6] , \tile_x1y10_w6beg[5] , \tile_x1y10_w6beg[4] , \tile_x1y10_w6beg[3] , \tile_x1y10_w6beg[2] , \tile_x1y10_w6beg[1] , \tile_x1y10_w6beg[0] }),
.ww4end({ \tile_x1y10_ww4beg[15] , \tile_x1y10_ww4beg[14] , \tile_x1y10_ww4beg[13] , \tile_x1y10_ww4beg[12] , \tile_x1y10_ww4beg[11] , \tile_x1y10_ww4beg[10] , \tile_x1y10_ww4beg[9] , \tile_x1y10_ww4beg[8] , \tile_x1y10_ww4beg[7] , \tile_x1y10_ww4beg[6] , \tile_x1y10_ww4beg[5] , \tile_x1y10_ww4beg[4] , \tile_x1y10_ww4beg[3] , \tile_x1y10_ww4beg[2] , \tile_x1y10_ww4beg[1] , \tile_x1y10_ww4beg[0] })
);
w_io tile_x0y11_w_io (
.a_i_top(tile_x0y11_a_i_top),
.a_o_top(tile_x0y11_a_o_top),
.a_t_top(tile_x0y11_a_t_top),
.a_config_c_bit0(tile_x0y11_a_config_c_bit0),
.a_config_c_bit1(tile_x0y11_a_config_c_bit1),
.a_config_c_bit2(tile_x0y11_a_config_c_bit2),
.a_config_c_bit3(tile_x0y11_a_config_c_bit3),
.b_i_top(tile_x0y11_b_i_top),
.b_o_top(tile_x0y11_b_o_top),
.b_t_top(tile_x0y11_b_t_top),
.b_config_c_bit0(tile_x0y11_b_config_c_bit0),
.b_config_c_bit1(tile_x0y11_b_config_c_bit1),
.b_config_c_bit2(tile_x0y11_b_config_c_bit2),
.b_config_c_bit3(tile_x0y11_b_config_c_bit3),
.e1beg({ \tile_x0y11_e1beg[3] , \tile_x0y11_e1beg[2] , \tile_x0y11_e1beg[1] , \tile_x0y11_e1beg[0] }),
.e2beg({ \tile_x0y11_e2beg[7] , \tile_x0y11_e2beg[6] , \tile_x0y11_e2beg[5] , \tile_x0y11_e2beg[4] , \tile_x0y11_e2beg[3] , \tile_x0y11_e2beg[2] , \tile_x0y11_e2beg[1] , \tile_x0y11_e2beg[0] }),
.e2begb({ \tile_x0y11_e2begb[7] , \tile_x0y11_e2begb[6] , \tile_x0y11_e2begb[5] , \tile_x0y11_e2begb[4] , \tile_x0y11_e2begb[3] , \tile_x0y11_e2begb[2] , \tile_x0y11_e2begb[1] , \tile_x0y11_e2begb[0] }),
.e6beg({ \tile_x0y11_e6beg[11] , \tile_x0y11_e6beg[10] , \tile_x0y11_e6beg[9] , \tile_x0y11_e6beg[8] , \tile_x0y11_e6beg[7] , \tile_x0y11_e6beg[6] , \tile_x0y11_e6beg[5] , \tile_x0y11_e6beg[4] , \tile_x0y11_e6beg[3] , \tile_x0y11_e6beg[2] , \tile_x0y11_e6beg[1] , \tile_x0y11_e6beg[0] }),
.ee4beg({ \tile_x0y11_ee4beg[15] , \tile_x0y11_ee4beg[14] , \tile_x0y11_ee4beg[13] , \tile_x0y11_ee4beg[12] , \tile_x0y11_ee4beg[11] , \tile_x0y11_ee4beg[10] , \tile_x0y11_ee4beg[9] , \tile_x0y11_ee4beg[8] , \tile_x0y11_ee4beg[7] , \tile_x0y11_ee4beg[6] , \tile_x0y11_ee4beg[5] , \tile_x0y11_ee4beg[4] , \tile_x0y11_ee4beg[3] , \tile_x0y11_ee4beg[2] , \tile_x0y11_ee4beg[1] , \tile_x0y11_ee4beg[0] }),
.framedata(framedata[383:352]),
.framedata_o({ \tile_x0y11_framedata_o[31] , \tile_x0y11_framedata_o[30] , \tile_x0y11_framedata_o[29] , \tile_x0y11_framedata_o[28] , \tile_x0y11_framedata_o[27] , \tile_x0y11_framedata_o[26] , \tile_x0y11_framedata_o[25] , \tile_x0y11_framedata_o[24] , \tile_x0y11_framedata_o[23] , \tile_x0y11_framedata_o[22] , \tile_x0y11_framedata_o[21] , \tile_x0y11_framedata_o[20] , \tile_x0y11_framedata_o[19] , \tile_x0y11_framedata_o[18] , \tile_x0y11_framedata_o[17] , \tile_x0y11_framedata_o[16] , \tile_x0y11_framedata_o[15] , \tile_x0y11_framedata_o[14] , \tile_x0y11_framedata_o[13] , \tile_x0y11_framedata_o[12] , \tile_x0y11_framedata_o[11] , \tile_x0y11_framedata_o[10] , \tile_x0y11_framedata_o[9] , \tile_x0y11_framedata_o[8] , \tile_x0y11_framedata_o[7] , \tile_x0y11_framedata_o[6] , \tile_x0y11_framedata_o[5] , \tile_x0y11_framedata_o[4] , \tile_x0y11_framedata_o[3] , \tile_x0y11_framedata_o[2] , \tile_x0y11_framedata_o[1] , \tile_x0y11_framedata_o[0] }),
.framestrobe({ \tile_x0y12_framestrobe_o[19] , \tile_x0y12_framestrobe_o[18] , \tile_x0y12_framestrobe_o[17] , \tile_x0y12_framestrobe_o[16] , \tile_x0y12_framestrobe_o[15] , \tile_x0y12_framestrobe_o[14] , \tile_x0y12_framestrobe_o[13] , \tile_x0y12_framestrobe_o[12] , \tile_x0y12_framestrobe_o[11] , \tile_x0y12_framestrobe_o[10] , \tile_x0y12_framestrobe_o[9] , \tile_x0y12_framestrobe_o[8] , \tile_x0y12_framestrobe_o[7] , \tile_x0y12_framestrobe_o[6] , \tile_x0y12_framestrobe_o[5] , \tile_x0y12_framestrobe_o[4] , \tile_x0y12_framestrobe_o[3] , \tile_x0y12_framestrobe_o[2] , \tile_x0y12_framestrobe_o[1] , \tile_x0y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x0y11_framestrobe_o[19] , \tile_x0y11_framestrobe_o[18] , \tile_x0y11_framestrobe_o[17] , \tile_x0y11_framestrobe_o[16] , \tile_x0y11_framestrobe_o[15] , \tile_x0y11_framestrobe_o[14] , \tile_x0y11_framestrobe_o[13] , \tile_x0y11_framestrobe_o[12] , \tile_x0y11_framestrobe_o[11] , \tile_x0y11_framestrobe_o[10] , \tile_x0y11_framestrobe_o[9] , \tile_x0y11_framestrobe_o[8] , \tile_x0y11_framestrobe_o[7] , \tile_x0y11_framestrobe_o[6] , \tile_x0y11_framestrobe_o[5] , \tile_x0y11_framestrobe_o[4] , \tile_x0y11_framestrobe_o[3] , \tile_x0y11_framestrobe_o[2] , \tile_x0y11_framestrobe_o[1] , \tile_x0y11_framestrobe_o[0] }),
.userclk(tile_x0y12_userclko),
.userclko(tile_x0y11_userclko),
.w1end({ \tile_x1y11_w1beg[3] , \tile_x1y11_w1beg[2] , \tile_x1y11_w1beg[1] , \tile_x1y11_w1beg[0] }),
.w2end({ \tile_x1y11_w2begb[7] , \tile_x1y11_w2begb[6] , \tile_x1y11_w2begb[5] , \tile_x1y11_w2begb[4] , \tile_x1y11_w2begb[3] , \tile_x1y11_w2begb[2] , \tile_x1y11_w2begb[1] , \tile_x1y11_w2begb[0] }),
.w2mid({ \tile_x1y11_w2beg[7] , \tile_x1y11_w2beg[6] , \tile_x1y11_w2beg[5] , \tile_x1y11_w2beg[4] , \tile_x1y11_w2beg[3] , \tile_x1y11_w2beg[2] , \tile_x1y11_w2beg[1] , \tile_x1y11_w2beg[0] }),
.w6end({ \tile_x1y11_w6beg[11] , \tile_x1y11_w6beg[10] , \tile_x1y11_w6beg[9] , \tile_x1y11_w6beg[8] , \tile_x1y11_w6beg[7] , \tile_x1y11_w6beg[6] , \tile_x1y11_w6beg[5] , \tile_x1y11_w6beg[4] , \tile_x1y11_w6beg[3] , \tile_x1y11_w6beg[2] , \tile_x1y11_w6beg[1] , \tile_x1y11_w6beg[0] }),
.ww4end({ \tile_x1y11_ww4beg[15] , \tile_x1y11_ww4beg[14] , \tile_x1y11_ww4beg[13] , \tile_x1y11_ww4beg[12] , \tile_x1y11_ww4beg[11] , \tile_x1y11_ww4beg[10] , \tile_x1y11_ww4beg[9] , \tile_x1y11_ww4beg[8] , \tile_x1y11_ww4beg[7] , \tile_x1y11_ww4beg[6] , \tile_x1y11_ww4beg[5] , \tile_x1y11_ww4beg[4] , \tile_x1y11_ww4beg[3] , \tile_x1y11_ww4beg[2] , \tile_x1y11_ww4beg[1] , \tile_x1y11_ww4beg[0] })
);
w_io tile_x0y12_w_io (
.a_i_top(tile_x0y12_a_i_top),
.a_o_top(tile_x0y12_a_o_top),
.a_t_top(tile_x0y12_a_t_top),
.a_config_c_bit0(tile_x0y12_a_config_c_bit0),
.a_config_c_bit1(tile_x0y12_a_config_c_bit1),
.a_config_c_bit2(tile_x0y12_a_config_c_bit2),
.a_config_c_bit3(tile_x0y12_a_config_c_bit3),
.b_i_top(tile_x0y12_b_i_top),
.b_o_top(tile_x0y12_b_o_top),
.b_t_top(tile_x0y12_b_t_top),
.b_config_c_bit0(tile_x0y12_b_config_c_bit0),
.b_config_c_bit1(tile_x0y12_b_config_c_bit1),
.b_config_c_bit2(tile_x0y12_b_config_c_bit2),
.b_config_c_bit3(tile_x0y12_b_config_c_bit3),
.e1beg({ \tile_x0y12_e1beg[3] , \tile_x0y12_e1beg[2] , \tile_x0y12_e1beg[1] , \tile_x0y12_e1beg[0] }),
.e2beg({ \tile_x0y12_e2beg[7] , \tile_x0y12_e2beg[6] , \tile_x0y12_e2beg[5] , \tile_x0y12_e2beg[4] , \tile_x0y12_e2beg[3] , \tile_x0y12_e2beg[2] , \tile_x0y12_e2beg[1] , \tile_x0y12_e2beg[0] }),
.e2begb({ \tile_x0y12_e2begb[7] , \tile_x0y12_e2begb[6] , \tile_x0y12_e2begb[5] , \tile_x0y12_e2begb[4] , \tile_x0y12_e2begb[3] , \tile_x0y12_e2begb[2] , \tile_x0y12_e2begb[1] , \tile_x0y12_e2begb[0] }),
.e6beg({ \tile_x0y12_e6beg[11] , \tile_x0y12_e6beg[10] , \tile_x0y12_e6beg[9] , \tile_x0y12_e6beg[8] , \tile_x0y12_e6beg[7] , \tile_x0y12_e6beg[6] , \tile_x0y12_e6beg[5] , \tile_x0y12_e6beg[4] , \tile_x0y12_e6beg[3] , \tile_x0y12_e6beg[2] , \tile_x0y12_e6beg[1] , \tile_x0y12_e6beg[0] }),
.ee4beg({ \tile_x0y12_ee4beg[15] , \tile_x0y12_ee4beg[14] , \tile_x0y12_ee4beg[13] , \tile_x0y12_ee4beg[12] , \tile_x0y12_ee4beg[11] , \tile_x0y12_ee4beg[10] , \tile_x0y12_ee4beg[9] , \tile_x0y12_ee4beg[8] , \tile_x0y12_ee4beg[7] , \tile_x0y12_ee4beg[6] , \tile_x0y12_ee4beg[5] , \tile_x0y12_ee4beg[4] , \tile_x0y12_ee4beg[3] , \tile_x0y12_ee4beg[2] , \tile_x0y12_ee4beg[1] , \tile_x0y12_ee4beg[0] }),
.framedata(framedata[415:384]),
.framedata_o({ \tile_x0y12_framedata_o[31] , \tile_x0y12_framedata_o[30] , \tile_x0y12_framedata_o[29] , \tile_x0y12_framedata_o[28] , \tile_x0y12_framedata_o[27] , \tile_x0y12_framedata_o[26] , \tile_x0y12_framedata_o[25] , \tile_x0y12_framedata_o[24] , \tile_x0y12_framedata_o[23] , \tile_x0y12_framedata_o[22] , \tile_x0y12_framedata_o[21] , \tile_x0y12_framedata_o[20] , \tile_x0y12_framedata_o[19] , \tile_x0y12_framedata_o[18] , \tile_x0y12_framedata_o[17] , \tile_x0y12_framedata_o[16] , \tile_x0y12_framedata_o[15] , \tile_x0y12_framedata_o[14] , \tile_x0y12_framedata_o[13] , \tile_x0y12_framedata_o[12] , \tile_x0y12_framedata_o[11] , \tile_x0y12_framedata_o[10] , \tile_x0y12_framedata_o[9] , \tile_x0y12_framedata_o[8] , \tile_x0y12_framedata_o[7] , \tile_x0y12_framedata_o[6] , \tile_x0y12_framedata_o[5] , \tile_x0y12_framedata_o[4] , \tile_x0y12_framedata_o[3] , \tile_x0y12_framedata_o[2] , \tile_x0y12_framedata_o[1] , \tile_x0y12_framedata_o[0] }),
.framestrobe({ \tile_x0y13_framestrobe_o[19] , \tile_x0y13_framestrobe_o[18] , \tile_x0y13_framestrobe_o[17] , \tile_x0y13_framestrobe_o[16] , \tile_x0y13_framestrobe_o[15] , \tile_x0y13_framestrobe_o[14] , \tile_x0y13_framestrobe_o[13] , \tile_x0y13_framestrobe_o[12] , \tile_x0y13_framestrobe_o[11] , \tile_x0y13_framestrobe_o[10] , \tile_x0y13_framestrobe_o[9] , \tile_x0y13_framestrobe_o[8] , \tile_x0y13_framestrobe_o[7] , \tile_x0y13_framestrobe_o[6] , \tile_x0y13_framestrobe_o[5] , \tile_x0y13_framestrobe_o[4] , \tile_x0y13_framestrobe_o[3] , \tile_x0y13_framestrobe_o[2] , \tile_x0y13_framestrobe_o[1] , \tile_x0y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x0y12_framestrobe_o[19] , \tile_x0y12_framestrobe_o[18] , \tile_x0y12_framestrobe_o[17] , \tile_x0y12_framestrobe_o[16] , \tile_x0y12_framestrobe_o[15] , \tile_x0y12_framestrobe_o[14] , \tile_x0y12_framestrobe_o[13] , \tile_x0y12_framestrobe_o[12] , \tile_x0y12_framestrobe_o[11] , \tile_x0y12_framestrobe_o[10] , \tile_x0y12_framestrobe_o[9] , \tile_x0y12_framestrobe_o[8] , \tile_x0y12_framestrobe_o[7] , \tile_x0y12_framestrobe_o[6] , \tile_x0y12_framestrobe_o[5] , \tile_x0y12_framestrobe_o[4] , \tile_x0y12_framestrobe_o[3] , \tile_x0y12_framestrobe_o[2] , \tile_x0y12_framestrobe_o[1] , \tile_x0y12_framestrobe_o[0] }),
.userclk(tile_x0y13_userclko),
.userclko(tile_x0y12_userclko),
.w1end({ \tile_x1y12_w1beg[3] , \tile_x1y12_w1beg[2] , \tile_x1y12_w1beg[1] , \tile_x1y12_w1beg[0] }),
.w2end({ \tile_x1y12_w2begb[7] , \tile_x1y12_w2begb[6] , \tile_x1y12_w2begb[5] , \tile_x1y12_w2begb[4] , \tile_x1y12_w2begb[3] , \tile_x1y12_w2begb[2] , \tile_x1y12_w2begb[1] , \tile_x1y12_w2begb[0] }),
.w2mid({ \tile_x1y12_w2beg[7] , \tile_x1y12_w2beg[6] , \tile_x1y12_w2beg[5] , \tile_x1y12_w2beg[4] , \tile_x1y12_w2beg[3] , \tile_x1y12_w2beg[2] , \tile_x1y12_w2beg[1] , \tile_x1y12_w2beg[0] }),
.w6end({ \tile_x1y12_w6beg[11] , \tile_x1y12_w6beg[10] , \tile_x1y12_w6beg[9] , \tile_x1y12_w6beg[8] , \tile_x1y12_w6beg[7] , \tile_x1y12_w6beg[6] , \tile_x1y12_w6beg[5] , \tile_x1y12_w6beg[4] , \tile_x1y12_w6beg[3] , \tile_x1y12_w6beg[2] , \tile_x1y12_w6beg[1] , \tile_x1y12_w6beg[0] }),
.ww4end({ \tile_x1y12_ww4beg[15] , \tile_x1y12_ww4beg[14] , \tile_x1y12_ww4beg[13] , \tile_x1y12_ww4beg[12] , \tile_x1y12_ww4beg[11] , \tile_x1y12_ww4beg[10] , \tile_x1y12_ww4beg[9] , \tile_x1y12_ww4beg[8] , \tile_x1y12_ww4beg[7] , \tile_x1y12_ww4beg[6] , \tile_x1y12_ww4beg[5] , \tile_x1y12_ww4beg[4] , \tile_x1y12_ww4beg[3] , \tile_x1y12_ww4beg[2] , \tile_x1y12_ww4beg[1] , \tile_x1y12_ww4beg[0] })
);
w_io tile_x0y13_w_io (
.a_i_top(tile_x0y13_a_i_top),
.a_o_top(tile_x0y13_a_o_top),
.a_t_top(tile_x0y13_a_t_top),
.a_config_c_bit0(tile_x0y13_a_config_c_bit0),
.a_config_c_bit1(tile_x0y13_a_config_c_bit1),
.a_config_c_bit2(tile_x0y13_a_config_c_bit2),
.a_config_c_bit3(tile_x0y13_a_config_c_bit3),
.b_i_top(tile_x0y13_b_i_top),
.b_o_top(tile_x0y13_b_o_top),
.b_t_top(tile_x0y13_b_t_top),
.b_config_c_bit0(tile_x0y13_b_config_c_bit0),
.b_config_c_bit1(tile_x0y13_b_config_c_bit1),
.b_config_c_bit2(tile_x0y13_b_config_c_bit2),
.b_config_c_bit3(tile_x0y13_b_config_c_bit3),
.e1beg({ \tile_x0y13_e1beg[3] , \tile_x0y13_e1beg[2] , \tile_x0y13_e1beg[1] , \tile_x0y13_e1beg[0] }),
.e2beg({ \tile_x0y13_e2beg[7] , \tile_x0y13_e2beg[6] , \tile_x0y13_e2beg[5] , \tile_x0y13_e2beg[4] , \tile_x0y13_e2beg[3] , \tile_x0y13_e2beg[2] , \tile_x0y13_e2beg[1] , \tile_x0y13_e2beg[0] }),
.e2begb({ \tile_x0y13_e2begb[7] , \tile_x0y13_e2begb[6] , \tile_x0y13_e2begb[5] , \tile_x0y13_e2begb[4] , \tile_x0y13_e2begb[3] , \tile_x0y13_e2begb[2] , \tile_x0y13_e2begb[1] , \tile_x0y13_e2begb[0] }),
.e6beg({ \tile_x0y13_e6beg[11] , \tile_x0y13_e6beg[10] , \tile_x0y13_e6beg[9] , \tile_x0y13_e6beg[8] , \tile_x0y13_e6beg[7] , \tile_x0y13_e6beg[6] , \tile_x0y13_e6beg[5] , \tile_x0y13_e6beg[4] , \tile_x0y13_e6beg[3] , \tile_x0y13_e6beg[2] , \tile_x0y13_e6beg[1] , \tile_x0y13_e6beg[0] }),
.ee4beg({ \tile_x0y13_ee4beg[15] , \tile_x0y13_ee4beg[14] , \tile_x0y13_ee4beg[13] , \tile_x0y13_ee4beg[12] , \tile_x0y13_ee4beg[11] , \tile_x0y13_ee4beg[10] , \tile_x0y13_ee4beg[9] , \tile_x0y13_ee4beg[8] , \tile_x0y13_ee4beg[7] , \tile_x0y13_ee4beg[6] , \tile_x0y13_ee4beg[5] , \tile_x0y13_ee4beg[4] , \tile_x0y13_ee4beg[3] , \tile_x0y13_ee4beg[2] , \tile_x0y13_ee4beg[1] , \tile_x0y13_ee4beg[0] }),
.framedata(framedata[447:416]),
.framedata_o({ \tile_x0y13_framedata_o[31] , \tile_x0y13_framedata_o[30] , \tile_x0y13_framedata_o[29] , \tile_x0y13_framedata_o[28] , \tile_x0y13_framedata_o[27] , \tile_x0y13_framedata_o[26] , \tile_x0y13_framedata_o[25] , \tile_x0y13_framedata_o[24] , \tile_x0y13_framedata_o[23] , \tile_x0y13_framedata_o[22] , \tile_x0y13_framedata_o[21] , \tile_x0y13_framedata_o[20] , \tile_x0y13_framedata_o[19] , \tile_x0y13_framedata_o[18] , \tile_x0y13_framedata_o[17] , \tile_x0y13_framedata_o[16] , \tile_x0y13_framedata_o[15] , \tile_x0y13_framedata_o[14] , \tile_x0y13_framedata_o[13] , \tile_x0y13_framedata_o[12] , \tile_x0y13_framedata_o[11] , \tile_x0y13_framedata_o[10] , \tile_x0y13_framedata_o[9] , \tile_x0y13_framedata_o[8] , \tile_x0y13_framedata_o[7] , \tile_x0y13_framedata_o[6] , \tile_x0y13_framedata_o[5] , \tile_x0y13_framedata_o[4] , \tile_x0y13_framedata_o[3] , \tile_x0y13_framedata_o[2] , \tile_x0y13_framedata_o[1] , \tile_x0y13_framedata_o[0] }),
.framestrobe({ \tile_x0y14_framestrobe_o[19] , \tile_x0y14_framestrobe_o[18] , \tile_x0y14_framestrobe_o[17] , \tile_x0y14_framestrobe_o[16] , \tile_x0y14_framestrobe_o[15] , \tile_x0y14_framestrobe_o[14] , \tile_x0y14_framestrobe_o[13] , \tile_x0y14_framestrobe_o[12] , \tile_x0y14_framestrobe_o[11] , \tile_x0y14_framestrobe_o[10] , \tile_x0y14_framestrobe_o[9] , \tile_x0y14_framestrobe_o[8] , \tile_x0y14_framestrobe_o[7] , \tile_x0y14_framestrobe_o[6] , \tile_x0y14_framestrobe_o[5] , \tile_x0y14_framestrobe_o[4] , \tile_x0y14_framestrobe_o[3] , \tile_x0y14_framestrobe_o[2] , \tile_x0y14_framestrobe_o[1] , \tile_x0y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x0y13_framestrobe_o[19] , \tile_x0y13_framestrobe_o[18] , \tile_x0y13_framestrobe_o[17] , \tile_x0y13_framestrobe_o[16] , \tile_x0y13_framestrobe_o[15] , \tile_x0y13_framestrobe_o[14] , \tile_x0y13_framestrobe_o[13] , \tile_x0y13_framestrobe_o[12] , \tile_x0y13_framestrobe_o[11] , \tile_x0y13_framestrobe_o[10] , \tile_x0y13_framestrobe_o[9] , \tile_x0y13_framestrobe_o[8] , \tile_x0y13_framestrobe_o[7] , \tile_x0y13_framestrobe_o[6] , \tile_x0y13_framestrobe_o[5] , \tile_x0y13_framestrobe_o[4] , \tile_x0y13_framestrobe_o[3] , \tile_x0y13_framestrobe_o[2] , \tile_x0y13_framestrobe_o[1] , \tile_x0y13_framestrobe_o[0] }),
.userclk(tile_x0y14_userclko),
.userclko(tile_x0y13_userclko),
.w1end({ \tile_x1y13_w1beg[3] , \tile_x1y13_w1beg[2] , \tile_x1y13_w1beg[1] , \tile_x1y13_w1beg[0] }),
.w2end({ \tile_x1y13_w2begb[7] , \tile_x1y13_w2begb[6] , \tile_x1y13_w2begb[5] , \tile_x1y13_w2begb[4] , \tile_x1y13_w2begb[3] , \tile_x1y13_w2begb[2] , \tile_x1y13_w2begb[1] , \tile_x1y13_w2begb[0] }),
.w2mid({ \tile_x1y13_w2beg[7] , \tile_x1y13_w2beg[6] , \tile_x1y13_w2beg[5] , \tile_x1y13_w2beg[4] , \tile_x1y13_w2beg[3] , \tile_x1y13_w2beg[2] , \tile_x1y13_w2beg[1] , \tile_x1y13_w2beg[0] }),
.w6end({ \tile_x1y13_w6beg[11] , \tile_x1y13_w6beg[10] , \tile_x1y13_w6beg[9] , \tile_x1y13_w6beg[8] , \tile_x1y13_w6beg[7] , \tile_x1y13_w6beg[6] , \tile_x1y13_w6beg[5] , \tile_x1y13_w6beg[4] , \tile_x1y13_w6beg[3] , \tile_x1y13_w6beg[2] , \tile_x1y13_w6beg[1] , \tile_x1y13_w6beg[0] }),
.ww4end({ \tile_x1y13_ww4beg[15] , \tile_x1y13_ww4beg[14] , \tile_x1y13_ww4beg[13] , \tile_x1y13_ww4beg[12] , \tile_x1y13_ww4beg[11] , \tile_x1y13_ww4beg[10] , \tile_x1y13_ww4beg[9] , \tile_x1y13_ww4beg[8] , \tile_x1y13_ww4beg[7] , \tile_x1y13_ww4beg[6] , \tile_x1y13_ww4beg[5] , \tile_x1y13_ww4beg[4] , \tile_x1y13_ww4beg[3] , \tile_x1y13_ww4beg[2] , \tile_x1y13_ww4beg[1] , \tile_x1y13_ww4beg[0] })
);
w_io tile_x0y14_w_io (
.a_i_top(tile_x0y14_a_i_top),
.a_o_top(tile_x0y14_a_o_top),
.a_t_top(tile_x0y14_a_t_top),
.a_config_c_bit0(tile_x0y14_a_config_c_bit0),
.a_config_c_bit1(tile_x0y14_a_config_c_bit1),
.a_config_c_bit2(tile_x0y14_a_config_c_bit2),
.a_config_c_bit3(tile_x0y14_a_config_c_bit3),
.b_i_top(tile_x0y14_b_i_top),
.b_o_top(tile_x0y14_b_o_top),
.b_t_top(tile_x0y14_b_t_top),
.b_config_c_bit0(tile_x0y14_b_config_c_bit0),
.b_config_c_bit1(tile_x0y14_b_config_c_bit1),
.b_config_c_bit2(tile_x0y14_b_config_c_bit2),
.b_config_c_bit3(tile_x0y14_b_config_c_bit3),
.e1beg({ \tile_x0y14_e1beg[3] , \tile_x0y14_e1beg[2] , \tile_x0y14_e1beg[1] , \tile_x0y14_e1beg[0] }),
.e2beg({ \tile_x0y14_e2beg[7] , \tile_x0y14_e2beg[6] , \tile_x0y14_e2beg[5] , \tile_x0y14_e2beg[4] , \tile_x0y14_e2beg[3] , \tile_x0y14_e2beg[2] , \tile_x0y14_e2beg[1] , \tile_x0y14_e2beg[0] }),
.e2begb({ \tile_x0y14_e2begb[7] , \tile_x0y14_e2begb[6] , \tile_x0y14_e2begb[5] , \tile_x0y14_e2begb[4] , \tile_x0y14_e2begb[3] , \tile_x0y14_e2begb[2] , \tile_x0y14_e2begb[1] , \tile_x0y14_e2begb[0] }),
.e6beg({ \tile_x0y14_e6beg[11] , \tile_x0y14_e6beg[10] , \tile_x0y14_e6beg[9] , \tile_x0y14_e6beg[8] , \tile_x0y14_e6beg[7] , \tile_x0y14_e6beg[6] , \tile_x0y14_e6beg[5] , \tile_x0y14_e6beg[4] , \tile_x0y14_e6beg[3] , \tile_x0y14_e6beg[2] , \tile_x0y14_e6beg[1] , \tile_x0y14_e6beg[0] }),
.ee4beg({ \tile_x0y14_ee4beg[15] , \tile_x0y14_ee4beg[14] , \tile_x0y14_ee4beg[13] , \tile_x0y14_ee4beg[12] , \tile_x0y14_ee4beg[11] , \tile_x0y14_ee4beg[10] , \tile_x0y14_ee4beg[9] , \tile_x0y14_ee4beg[8] , \tile_x0y14_ee4beg[7] , \tile_x0y14_ee4beg[6] , \tile_x0y14_ee4beg[5] , \tile_x0y14_ee4beg[4] , \tile_x0y14_ee4beg[3] , \tile_x0y14_ee4beg[2] , \tile_x0y14_ee4beg[1] , \tile_x0y14_ee4beg[0] }),
.framedata(framedata[479:448]),
.framedata_o({ \tile_x0y14_framedata_o[31] , \tile_x0y14_framedata_o[30] , \tile_x0y14_framedata_o[29] , \tile_x0y14_framedata_o[28] , \tile_x0y14_framedata_o[27] , \tile_x0y14_framedata_o[26] , \tile_x0y14_framedata_o[25] , \tile_x0y14_framedata_o[24] , \tile_x0y14_framedata_o[23] , \tile_x0y14_framedata_o[22] , \tile_x0y14_framedata_o[21] , \tile_x0y14_framedata_o[20] , \tile_x0y14_framedata_o[19] , \tile_x0y14_framedata_o[18] , \tile_x0y14_framedata_o[17] , \tile_x0y14_framedata_o[16] , \tile_x0y14_framedata_o[15] , \tile_x0y14_framedata_o[14] , \tile_x0y14_framedata_o[13] , \tile_x0y14_framedata_o[12] , \tile_x0y14_framedata_o[11] , \tile_x0y14_framedata_o[10] , \tile_x0y14_framedata_o[9] , \tile_x0y14_framedata_o[8] , \tile_x0y14_framedata_o[7] , \tile_x0y14_framedata_o[6] , \tile_x0y14_framedata_o[5] , \tile_x0y14_framedata_o[4] , \tile_x0y14_framedata_o[3] , \tile_x0y14_framedata_o[2] , \tile_x0y14_framedata_o[1] , \tile_x0y14_framedata_o[0] }),
.framestrobe(framestrobe[19:0]),
.framestrobe_o({ \tile_x0y14_framestrobe_o[19] , \tile_x0y14_framestrobe_o[18] , \tile_x0y14_framestrobe_o[17] , \tile_x0y14_framestrobe_o[16] , \tile_x0y14_framestrobe_o[15] , \tile_x0y14_framestrobe_o[14] , \tile_x0y14_framestrobe_o[13] , \tile_x0y14_framestrobe_o[12] , \tile_x0y14_framestrobe_o[11] , \tile_x0y14_framestrobe_o[10] , \tile_x0y14_framestrobe_o[9] , \tile_x0y14_framestrobe_o[8] , \tile_x0y14_framestrobe_o[7] , \tile_x0y14_framestrobe_o[6] , \tile_x0y14_framestrobe_o[5] , \tile_x0y14_framestrobe_o[4] , \tile_x0y14_framestrobe_o[3] , \tile_x0y14_framestrobe_o[2] , \tile_x0y14_framestrobe_o[1] , \tile_x0y14_framestrobe_o[0] }),
.userclk(userclk),
.userclko(tile_x0y14_userclko),
.w1end({ \tile_x1y14_w1beg[3] , \tile_x1y14_w1beg[2] , \tile_x1y14_w1beg[1] , \tile_x1y14_w1beg[0] }),
.w2end({ \tile_x1y14_w2begb[7] , \tile_x1y14_w2begb[6] , \tile_x1y14_w2begb[5] , \tile_x1y14_w2begb[4] , \tile_x1y14_w2begb[3] , \tile_x1y14_w2begb[2] , \tile_x1y14_w2begb[1] , \tile_x1y14_w2begb[0] }),
.w2mid({ \tile_x1y14_w2beg[7] , \tile_x1y14_w2beg[6] , \tile_x1y14_w2beg[5] , \tile_x1y14_w2beg[4] , \tile_x1y14_w2beg[3] , \tile_x1y14_w2beg[2] , \tile_x1y14_w2beg[1] , \tile_x1y14_w2beg[0] }),
.w6end({ \tile_x1y14_w6beg[11] , \tile_x1y14_w6beg[10] , \tile_x1y14_w6beg[9] , \tile_x1y14_w6beg[8] , \tile_x1y14_w6beg[7] , \tile_x1y14_w6beg[6] , \tile_x1y14_w6beg[5] , \tile_x1y14_w6beg[4] , \tile_x1y14_w6beg[3] , \tile_x1y14_w6beg[2] , \tile_x1y14_w6beg[1] , \tile_x1y14_w6beg[0] }),
.ww4end({ \tile_x1y14_ww4beg[15] , \tile_x1y14_ww4beg[14] , \tile_x1y14_ww4beg[13] , \tile_x1y14_ww4beg[12] , \tile_x1y14_ww4beg[11] , \tile_x1y14_ww4beg[10] , \tile_x1y14_ww4beg[9] , \tile_x1y14_ww4beg[8] , \tile_x1y14_ww4beg[7] , \tile_x1y14_ww4beg[6] , \tile_x1y14_ww4beg[5] , \tile_x1y14_ww4beg[4] , \tile_x1y14_ww4beg[3] , \tile_x1y14_ww4beg[2] , \tile_x1y14_ww4beg[1] , \tile_x1y14_ww4beg[0] })
);
n_term_single tile_x10y0_n_term_single (
.ci(tile_x10y1_co),
.framestrobe({ \tile_x10y1_framestrobe_o[19] , \tile_x10y1_framestrobe_o[18] , \tile_x10y1_framestrobe_o[17] , \tile_x10y1_framestrobe_o[16] , \tile_x10y1_framestrobe_o[15] , \tile_x10y1_framestrobe_o[14] , \tile_x10y1_framestrobe_o[13] , \tile_x10y1_framestrobe_o[12] , \tile_x10y1_framestrobe_o[11] , \tile_x10y1_framestrobe_o[10] , \tile_x10y1_framestrobe_o[9] , \tile_x10y1_framestrobe_o[8] , \tile_x10y1_framestrobe_o[7] , \tile_x10y1_framestrobe_o[6] , \tile_x10y1_framestrobe_o[5] , \tile_x10y1_framestrobe_o[4] , \tile_x10y1_framestrobe_o[3] , \tile_x10y1_framestrobe_o[2] , \tile_x10y1_framestrobe_o[1] , \tile_x10y1_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y0_framestrobe_o[19] , \tile_x10y0_framestrobe_o[18] , \tile_x10y0_framestrobe_o[17] , \tile_x10y0_framestrobe_o[16] , \tile_x10y0_framestrobe_o[15] , \tile_x10y0_framestrobe_o[14] , \tile_x10y0_framestrobe_o[13] , \tile_x10y0_framestrobe_o[12] , \tile_x10y0_framestrobe_o[11] , \tile_x10y0_framestrobe_o[10] , \tile_x10y0_framestrobe_o[9] , \tile_x10y0_framestrobe_o[8] , \tile_x10y0_framestrobe_o[7] , \tile_x10y0_framestrobe_o[6] , \tile_x10y0_framestrobe_o[5] , \tile_x10y0_framestrobe_o[4] , \tile_x10y0_framestrobe_o[3] , \tile_x10y0_framestrobe_o[2] , \tile_x10y0_framestrobe_o[1] , \tile_x10y0_framestrobe_o[0] }),
.n1end({ \tile_x10y1_n1beg[3] , \tile_x10y1_n1beg[2] , \tile_x10y1_n1beg[1] , \tile_x10y1_n1beg[0] }),
.n2end({ \tile_x10y1_n2begb[7] , \tile_x10y1_n2begb[6] , \tile_x10y1_n2begb[5] , \tile_x10y1_n2begb[4] , \tile_x10y1_n2begb[3] , \tile_x10y1_n2begb[2] , \tile_x10y1_n2begb[1] , \tile_x10y1_n2begb[0] }),
.n2mid({ \tile_x10y1_n2beg[7] , \tile_x10y1_n2beg[6] , \tile_x10y1_n2beg[5] , \tile_x10y1_n2beg[4] , \tile_x10y1_n2beg[3] , \tile_x10y1_n2beg[2] , \tile_x10y1_n2beg[1] , \tile_x10y1_n2beg[0] }),
.n4end({ \tile_x10y1_n4beg[15] , \tile_x10y1_n4beg[14] , \tile_x10y1_n4beg[13] , \tile_x10y1_n4beg[12] , \tile_x10y1_n4beg[11] , \tile_x10y1_n4beg[10] , \tile_x10y1_n4beg[9] , \tile_x10y1_n4beg[8] , \tile_x10y1_n4beg[7] , \tile_x10y1_n4beg[6] , \tile_x10y1_n4beg[5] , \tile_x10y1_n4beg[4] , \tile_x10y1_n4beg[3] , \tile_x10y1_n4beg[2] , \tile_x10y1_n4beg[1] , \tile_x10y1_n4beg[0] }),
.nn4end({ \tile_x10y1_nn4beg[15] , \tile_x10y1_nn4beg[14] , \tile_x10y1_nn4beg[13] , \tile_x10y1_nn4beg[12] , \tile_x10y1_nn4beg[11] , \tile_x10y1_nn4beg[10] , \tile_x10y1_nn4beg[9] , \tile_x10y1_nn4beg[8] , \tile_x10y1_nn4beg[7] , \tile_x10y1_nn4beg[6] , \tile_x10y1_nn4beg[5] , \tile_x10y1_nn4beg[4] , \tile_x10y1_nn4beg[3] , \tile_x10y1_nn4beg[2] , \tile_x10y1_nn4beg[1] , \tile_x10y1_nn4beg[0] }),
.s1beg({ \tile_x10y0_s1beg[3] , \tile_x10y0_s1beg[2] , \tile_x10y0_s1beg[1] , \tile_x10y0_s1beg[0] }),
.s2beg({ \tile_x10y0_s2beg[7] , \tile_x10y0_s2beg[6] , \tile_x10y0_s2beg[5] , \tile_x10y0_s2beg[4] , \tile_x10y0_s2beg[3] , \tile_x10y0_s2beg[2] , \tile_x10y0_s2beg[1] , \tile_x10y0_s2beg[0] }),
.s2begb({ \tile_x10y0_s2begb[7] , \tile_x10y0_s2begb[6] , \tile_x10y0_s2begb[5] , \tile_x10y0_s2begb[4] , \tile_x10y0_s2begb[3] , \tile_x10y0_s2begb[2] , \tile_x10y0_s2begb[1] , \tile_x10y0_s2begb[0] }),
.s4beg({ \tile_x10y0_s4beg[15] , \tile_x10y0_s4beg[14] , \tile_x10y0_s4beg[13] , \tile_x10y0_s4beg[12] , \tile_x10y0_s4beg[11] , \tile_x10y0_s4beg[10] , \tile_x10y0_s4beg[9] , \tile_x10y0_s4beg[8] , \tile_x10y0_s4beg[7] , \tile_x10y0_s4beg[6] , \tile_x10y0_s4beg[5] , \tile_x10y0_s4beg[4] , \tile_x10y0_s4beg[3] , \tile_x10y0_s4beg[2] , \tile_x10y0_s4beg[1] , \tile_x10y0_s4beg[0] }),
.ss4beg({ \tile_x10y0_ss4beg[15] , \tile_x10y0_ss4beg[14] , \tile_x10y0_ss4beg[13] , \tile_x10y0_ss4beg[12] , \tile_x10y0_ss4beg[11] , \tile_x10y0_ss4beg[10] , \tile_x10y0_ss4beg[9] , \tile_x10y0_ss4beg[8] , \tile_x10y0_ss4beg[7] , \tile_x10y0_ss4beg[6] , \tile_x10y0_ss4beg[5] , \tile_x10y0_ss4beg[4] , \tile_x10y0_ss4beg[3] , \tile_x10y0_ss4beg[2] , \tile_x10y0_ss4beg[1] , \tile_x10y0_ss4beg[0] }),
.userclk(tile_x10y1_userclko),
.userclko(tile_x10y0_userclko)
);
lut4ab tile_x10y10_lut4ab (
.ci(tile_x10y11_co),
.co(tile_x10y10_co),
.e1beg({ \tile_x10y10_e1beg[3] , \tile_x10y10_e1beg[2] , \tile_x10y10_e1beg[1] , \tile_x10y10_e1beg[0] }),
.e1end({ \tile_x9y10_e1beg[3] , \tile_x9y10_e1beg[2] , \tile_x9y10_e1beg[1] , \tile_x9y10_e1beg[0] }),
.e2beg({ \tile_x10y10_e2beg[7] , \tile_x10y10_e2beg[6] , \tile_x10y10_e2beg[5] , \tile_x10y10_e2beg[4] , \tile_x10y10_e2beg[3] , \tile_x10y10_e2beg[2] , \tile_x10y10_e2beg[1] , \tile_x10y10_e2beg[0] }),
.e2begb({ \tile_x10y10_e2begb[7] , \tile_x10y10_e2begb[6] , \tile_x10y10_e2begb[5] , \tile_x10y10_e2begb[4] , \tile_x10y10_e2begb[3] , \tile_x10y10_e2begb[2] , \tile_x10y10_e2begb[1] , \tile_x10y10_e2begb[0] }),
.e2end({ \tile_x9y10_e2begb[7] , \tile_x9y10_e2begb[6] , \tile_x9y10_e2begb[5] , \tile_x9y10_e2begb[4] , \tile_x9y10_e2begb[3] , \tile_x9y10_e2begb[2] , \tile_x9y10_e2begb[1] , \tile_x9y10_e2begb[0] }),
.e2mid({ \tile_x9y10_e2beg[7] , \tile_x9y10_e2beg[6] , \tile_x9y10_e2beg[5] , \tile_x9y10_e2beg[4] , \tile_x9y10_e2beg[3] , \tile_x9y10_e2beg[2] , \tile_x9y10_e2beg[1] , \tile_x9y10_e2beg[0] }),
.e6beg({ \tile_x10y10_e6beg[11] , \tile_x10y10_e6beg[10] , \tile_x10y10_e6beg[9] , \tile_x10y10_e6beg[8] , \tile_x10y10_e6beg[7] , \tile_x10y10_e6beg[6] , \tile_x10y10_e6beg[5] , \tile_x10y10_e6beg[4] , \tile_x10y10_e6beg[3] , \tile_x10y10_e6beg[2] , \tile_x10y10_e6beg[1] , \tile_x10y10_e6beg[0] }),
.e6end({ \tile_x9y10_e6beg[11] , \tile_x9y10_e6beg[10] , \tile_x9y10_e6beg[9] , \tile_x9y10_e6beg[8] , \tile_x9y10_e6beg[7] , \tile_x9y10_e6beg[6] , \tile_x9y10_e6beg[5] , \tile_x9y10_e6beg[4] , \tile_x9y10_e6beg[3] , \tile_x9y10_e6beg[2] , \tile_x9y10_e6beg[1] , \tile_x9y10_e6beg[0] }),
.ee4beg({ \tile_x10y10_ee4beg[15] , \tile_x10y10_ee4beg[14] , \tile_x10y10_ee4beg[13] , \tile_x10y10_ee4beg[12] , \tile_x10y10_ee4beg[11] , \tile_x10y10_ee4beg[10] , \tile_x10y10_ee4beg[9] , \tile_x10y10_ee4beg[8] , \tile_x10y10_ee4beg[7] , \tile_x10y10_ee4beg[6] , \tile_x10y10_ee4beg[5] , \tile_x10y10_ee4beg[4] , \tile_x10y10_ee4beg[3] , \tile_x10y10_ee4beg[2] , \tile_x10y10_ee4beg[1] , \tile_x10y10_ee4beg[0] }),
.ee4end({ \tile_x9y10_ee4beg[15] , \tile_x9y10_ee4beg[14] , \tile_x9y10_ee4beg[13] , \tile_x9y10_ee4beg[12] , \tile_x9y10_ee4beg[11] , \tile_x9y10_ee4beg[10] , \tile_x9y10_ee4beg[9] , \tile_x9y10_ee4beg[8] , \tile_x9y10_ee4beg[7] , \tile_x9y10_ee4beg[6] , \tile_x9y10_ee4beg[5] , \tile_x9y10_ee4beg[4] , \tile_x9y10_ee4beg[3] , \tile_x9y10_ee4beg[2] , \tile_x9y10_ee4beg[1] , \tile_x9y10_ee4beg[0] }),
.framedata({ \tile_x9y10_framedata_o[31] , \tile_x9y10_framedata_o[30] , \tile_x9y10_framedata_o[29] , \tile_x9y10_framedata_o[28] , \tile_x9y10_framedata_o[27] , \tile_x9y10_framedata_o[26] , \tile_x9y10_framedata_o[25] , \tile_x9y10_framedata_o[24] , \tile_x9y10_framedata_o[23] , \tile_x9y10_framedata_o[22] , \tile_x9y10_framedata_o[21] , \tile_x9y10_framedata_o[20] , \tile_x9y10_framedata_o[19] , \tile_x9y10_framedata_o[18] , \tile_x9y10_framedata_o[17] , \tile_x9y10_framedata_o[16] , \tile_x9y10_framedata_o[15] , \tile_x9y10_framedata_o[14] , \tile_x9y10_framedata_o[13] , \tile_x9y10_framedata_o[12] , \tile_x9y10_framedata_o[11] , \tile_x9y10_framedata_o[10] , \tile_x9y10_framedata_o[9] , \tile_x9y10_framedata_o[8] , \tile_x9y10_framedata_o[7] , \tile_x9y10_framedata_o[6] , \tile_x9y10_framedata_o[5] , \tile_x9y10_framedata_o[4] , \tile_x9y10_framedata_o[3] , \tile_x9y10_framedata_o[2] , \tile_x9y10_framedata_o[1] , \tile_x9y10_framedata_o[0] }),
.framedata_o({ \tile_x10y10_framedata_o[31] , \tile_x10y10_framedata_o[30] , \tile_x10y10_framedata_o[29] , \tile_x10y10_framedata_o[28] , \tile_x10y10_framedata_o[27] , \tile_x10y10_framedata_o[26] , \tile_x10y10_framedata_o[25] , \tile_x10y10_framedata_o[24] , \tile_x10y10_framedata_o[23] , \tile_x10y10_framedata_o[22] , \tile_x10y10_framedata_o[21] , \tile_x10y10_framedata_o[20] , \tile_x10y10_framedata_o[19] , \tile_x10y10_framedata_o[18] , \tile_x10y10_framedata_o[17] , \tile_x10y10_framedata_o[16] , \tile_x10y10_framedata_o[15] , \tile_x10y10_framedata_o[14] , \tile_x10y10_framedata_o[13] , \tile_x10y10_framedata_o[12] , \tile_x10y10_framedata_o[11] , \tile_x10y10_framedata_o[10] , \tile_x10y10_framedata_o[9] , \tile_x10y10_framedata_o[8] , \tile_x10y10_framedata_o[7] , \tile_x10y10_framedata_o[6] , \tile_x10y10_framedata_o[5] , \tile_x10y10_framedata_o[4] , \tile_x10y10_framedata_o[3] , \tile_x10y10_framedata_o[2] , \tile_x10y10_framedata_o[1] , \tile_x10y10_framedata_o[0] }),
.framestrobe({ \tile_x10y11_framestrobe_o[19] , \tile_x10y11_framestrobe_o[18] , \tile_x10y11_framestrobe_o[17] , \tile_x10y11_framestrobe_o[16] , \tile_x10y11_framestrobe_o[15] , \tile_x10y11_framestrobe_o[14] , \tile_x10y11_framestrobe_o[13] , \tile_x10y11_framestrobe_o[12] , \tile_x10y11_framestrobe_o[11] , \tile_x10y11_framestrobe_o[10] , \tile_x10y11_framestrobe_o[9] , \tile_x10y11_framestrobe_o[8] , \tile_x10y11_framestrobe_o[7] , \tile_x10y11_framestrobe_o[6] , \tile_x10y11_framestrobe_o[5] , \tile_x10y11_framestrobe_o[4] , \tile_x10y11_framestrobe_o[3] , \tile_x10y11_framestrobe_o[2] , \tile_x10y11_framestrobe_o[1] , \tile_x10y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y10_framestrobe_o[19] , \tile_x10y10_framestrobe_o[18] , \tile_x10y10_framestrobe_o[17] , \tile_x10y10_framestrobe_o[16] , \tile_x10y10_framestrobe_o[15] , \tile_x10y10_framestrobe_o[14] , \tile_x10y10_framestrobe_o[13] , \tile_x10y10_framestrobe_o[12] , \tile_x10y10_framestrobe_o[11] , \tile_x10y10_framestrobe_o[10] , \tile_x10y10_framestrobe_o[9] , \tile_x10y10_framestrobe_o[8] , \tile_x10y10_framestrobe_o[7] , \tile_x10y10_framestrobe_o[6] , \tile_x10y10_framestrobe_o[5] , \tile_x10y10_framestrobe_o[4] , \tile_x10y10_framestrobe_o[3] , \tile_x10y10_framestrobe_o[2] , \tile_x10y10_framestrobe_o[1] , \tile_x10y10_framestrobe_o[0] }),
.n1beg({ \tile_x10y10_n1beg[3] , \tile_x10y10_n1beg[2] , \tile_x10y10_n1beg[1] , \tile_x10y10_n1beg[0] }),
.n1end({ \tile_x10y11_n1beg[3] , \tile_x10y11_n1beg[2] , \tile_x10y11_n1beg[1] , \tile_x10y11_n1beg[0] }),
.n2beg({ \tile_x10y10_n2beg[7] , \tile_x10y10_n2beg[6] , \tile_x10y10_n2beg[5] , \tile_x10y10_n2beg[4] , \tile_x10y10_n2beg[3] , \tile_x10y10_n2beg[2] , \tile_x10y10_n2beg[1] , \tile_x10y10_n2beg[0] }),
.n2begb({ \tile_x10y10_n2begb[7] , \tile_x10y10_n2begb[6] , \tile_x10y10_n2begb[5] , \tile_x10y10_n2begb[4] , \tile_x10y10_n2begb[3] , \tile_x10y10_n2begb[2] , \tile_x10y10_n2begb[1] , \tile_x10y10_n2begb[0] }),
.n2end({ \tile_x10y11_n2begb[7] , \tile_x10y11_n2begb[6] , \tile_x10y11_n2begb[5] , \tile_x10y11_n2begb[4] , \tile_x10y11_n2begb[3] , \tile_x10y11_n2begb[2] , \tile_x10y11_n2begb[1] , \tile_x10y11_n2begb[0] }),
.n2mid({ \tile_x10y11_n2beg[7] , \tile_x10y11_n2beg[6] , \tile_x10y11_n2beg[5] , \tile_x10y11_n2beg[4] , \tile_x10y11_n2beg[3] , \tile_x10y11_n2beg[2] , \tile_x10y11_n2beg[1] , \tile_x10y11_n2beg[0] }),
.n4beg({ \tile_x10y10_n4beg[15] , \tile_x10y10_n4beg[14] , \tile_x10y10_n4beg[13] , \tile_x10y10_n4beg[12] , \tile_x10y10_n4beg[11] , \tile_x10y10_n4beg[10] , \tile_x10y10_n4beg[9] , \tile_x10y10_n4beg[8] , \tile_x10y10_n4beg[7] , \tile_x10y10_n4beg[6] , \tile_x10y10_n4beg[5] , \tile_x10y10_n4beg[4] , \tile_x10y10_n4beg[3] , \tile_x10y10_n4beg[2] , \tile_x10y10_n4beg[1] , \tile_x10y10_n4beg[0] }),
.n4end({ \tile_x10y11_n4beg[15] , \tile_x10y11_n4beg[14] , \tile_x10y11_n4beg[13] , \tile_x10y11_n4beg[12] , \tile_x10y11_n4beg[11] , \tile_x10y11_n4beg[10] , \tile_x10y11_n4beg[9] , \tile_x10y11_n4beg[8] , \tile_x10y11_n4beg[7] , \tile_x10y11_n4beg[6] , \tile_x10y11_n4beg[5] , \tile_x10y11_n4beg[4] , \tile_x10y11_n4beg[3] , \tile_x10y11_n4beg[2] , \tile_x10y11_n4beg[1] , \tile_x10y11_n4beg[0] }),
.nn4beg({ \tile_x10y10_nn4beg[15] , \tile_x10y10_nn4beg[14] , \tile_x10y10_nn4beg[13] , \tile_x10y10_nn4beg[12] , \tile_x10y10_nn4beg[11] , \tile_x10y10_nn4beg[10] , \tile_x10y10_nn4beg[9] , \tile_x10y10_nn4beg[8] , \tile_x10y10_nn4beg[7] , \tile_x10y10_nn4beg[6] , \tile_x10y10_nn4beg[5] , \tile_x10y10_nn4beg[4] , \tile_x10y10_nn4beg[3] , \tile_x10y10_nn4beg[2] , \tile_x10y10_nn4beg[1] , \tile_x10y10_nn4beg[0] }),
.nn4end({ \tile_x10y11_nn4beg[15] , \tile_x10y11_nn4beg[14] , \tile_x10y11_nn4beg[13] , \tile_x10y11_nn4beg[12] , \tile_x10y11_nn4beg[11] , \tile_x10y11_nn4beg[10] , \tile_x10y11_nn4beg[9] , \tile_x10y11_nn4beg[8] , \tile_x10y11_nn4beg[7] , \tile_x10y11_nn4beg[6] , \tile_x10y11_nn4beg[5] , \tile_x10y11_nn4beg[4] , \tile_x10y11_nn4beg[3] , \tile_x10y11_nn4beg[2] , \tile_x10y11_nn4beg[1] , \tile_x10y11_nn4beg[0] }),
.s1beg({ \tile_x10y10_s1beg[3] , \tile_x10y10_s1beg[2] , \tile_x10y10_s1beg[1] , \tile_x10y10_s1beg[0] }),
.s1end({ \tile_x10y9_s1beg[3] , \tile_x10y9_s1beg[2] , \tile_x10y9_s1beg[1] , \tile_x10y9_s1beg[0] }),
.s2beg({ \tile_x10y10_s2beg[7] , \tile_x10y10_s2beg[6] , \tile_x10y10_s2beg[5] , \tile_x10y10_s2beg[4] , \tile_x10y10_s2beg[3] , \tile_x10y10_s2beg[2] , \tile_x10y10_s2beg[1] , \tile_x10y10_s2beg[0] }),
.s2begb({ \tile_x10y10_s2begb[7] , \tile_x10y10_s2begb[6] , \tile_x10y10_s2begb[5] , \tile_x10y10_s2begb[4] , \tile_x10y10_s2begb[3] , \tile_x10y10_s2begb[2] , \tile_x10y10_s2begb[1] , \tile_x10y10_s2begb[0] }),
.s2end({ \tile_x10y9_s2begb[7] , \tile_x10y9_s2begb[6] , \tile_x10y9_s2begb[5] , \tile_x10y9_s2begb[4] , \tile_x10y9_s2begb[3] , \tile_x10y9_s2begb[2] , \tile_x10y9_s2begb[1] , \tile_x10y9_s2begb[0] }),
.s2mid({ \tile_x10y9_s2beg[7] , \tile_x10y9_s2beg[6] , \tile_x10y9_s2beg[5] , \tile_x10y9_s2beg[4] , \tile_x10y9_s2beg[3] , \tile_x10y9_s2beg[2] , \tile_x10y9_s2beg[1] , \tile_x10y9_s2beg[0] }),
.s4beg({ \tile_x10y10_s4beg[15] , \tile_x10y10_s4beg[14] , \tile_x10y10_s4beg[13] , \tile_x10y10_s4beg[12] , \tile_x10y10_s4beg[11] , \tile_x10y10_s4beg[10] , \tile_x10y10_s4beg[9] , \tile_x10y10_s4beg[8] , \tile_x10y10_s4beg[7] , \tile_x10y10_s4beg[6] , \tile_x10y10_s4beg[5] , \tile_x10y10_s4beg[4] , \tile_x10y10_s4beg[3] , \tile_x10y10_s4beg[2] , \tile_x10y10_s4beg[1] , \tile_x10y10_s4beg[0] }),
.s4end({ \tile_x10y9_s4beg[15] , \tile_x10y9_s4beg[14] , \tile_x10y9_s4beg[13] , \tile_x10y9_s4beg[12] , \tile_x10y9_s4beg[11] , \tile_x10y9_s4beg[10] , \tile_x10y9_s4beg[9] , \tile_x10y9_s4beg[8] , \tile_x10y9_s4beg[7] , \tile_x10y9_s4beg[6] , \tile_x10y9_s4beg[5] , \tile_x10y9_s4beg[4] , \tile_x10y9_s4beg[3] , \tile_x10y9_s4beg[2] , \tile_x10y9_s4beg[1] , \tile_x10y9_s4beg[0] }),
.ss4beg({ \tile_x10y10_ss4beg[15] , \tile_x10y10_ss4beg[14] , \tile_x10y10_ss4beg[13] , \tile_x10y10_ss4beg[12] , \tile_x10y10_ss4beg[11] , \tile_x10y10_ss4beg[10] , \tile_x10y10_ss4beg[9] , \tile_x10y10_ss4beg[8] , \tile_x10y10_ss4beg[7] , \tile_x10y10_ss4beg[6] , \tile_x10y10_ss4beg[5] , \tile_x10y10_ss4beg[4] , \tile_x10y10_ss4beg[3] , \tile_x10y10_ss4beg[2] , \tile_x10y10_ss4beg[1] , \tile_x10y10_ss4beg[0] }),
.ss4end({ \tile_x10y9_ss4beg[15] , \tile_x10y9_ss4beg[14] , \tile_x10y9_ss4beg[13] , \tile_x10y9_ss4beg[12] , \tile_x10y9_ss4beg[11] , \tile_x10y9_ss4beg[10] , \tile_x10y9_ss4beg[9] , \tile_x10y9_ss4beg[8] , \tile_x10y9_ss4beg[7] , \tile_x10y9_ss4beg[6] , \tile_x10y9_ss4beg[5] , \tile_x10y9_ss4beg[4] , \tile_x10y9_ss4beg[3] , \tile_x10y9_ss4beg[2] , \tile_x10y9_ss4beg[1] , \tile_x10y9_ss4beg[0] }),
.userclk(tile_x10y11_userclko),
.userclko(tile_x10y10_userclko),
.w1beg({ \tile_x10y10_w1beg[3] , \tile_x10y10_w1beg[2] , \tile_x10y10_w1beg[1] , \tile_x10y10_w1beg[0] }),
.w1end({ \tile_x11y10_w1beg[3] , \tile_x11y10_w1beg[2] , \tile_x11y10_w1beg[1] , \tile_x11y10_w1beg[0] }),
.w2beg({ \tile_x10y10_w2beg[7] , \tile_x10y10_w2beg[6] , \tile_x10y10_w2beg[5] , \tile_x10y10_w2beg[4] , \tile_x10y10_w2beg[3] , \tile_x10y10_w2beg[2] , \tile_x10y10_w2beg[1] , \tile_x10y10_w2beg[0] }),
.w2begb({ \tile_x10y10_w2begb[7] , \tile_x10y10_w2begb[6] , \tile_x10y10_w2begb[5] , \tile_x10y10_w2begb[4] , \tile_x10y10_w2begb[3] , \tile_x10y10_w2begb[2] , \tile_x10y10_w2begb[1] , \tile_x10y10_w2begb[0] }),
.w2end({ \tile_x11y10_w2begb[7] , \tile_x11y10_w2begb[6] , \tile_x11y10_w2begb[5] , \tile_x11y10_w2begb[4] , \tile_x11y10_w2begb[3] , \tile_x11y10_w2begb[2] , \tile_x11y10_w2begb[1] , \tile_x11y10_w2begb[0] }),
.w2mid({ \tile_x11y10_w2beg[7] , \tile_x11y10_w2beg[6] , \tile_x11y10_w2beg[5] , \tile_x11y10_w2beg[4] , \tile_x11y10_w2beg[3] , \tile_x11y10_w2beg[2] , \tile_x11y10_w2beg[1] , \tile_x11y10_w2beg[0] }),
.w6beg({ \tile_x10y10_w6beg[11] , \tile_x10y10_w6beg[10] , \tile_x10y10_w6beg[9] , \tile_x10y10_w6beg[8] , \tile_x10y10_w6beg[7] , \tile_x10y10_w6beg[6] , \tile_x10y10_w6beg[5] , \tile_x10y10_w6beg[4] , \tile_x10y10_w6beg[3] , \tile_x10y10_w6beg[2] , \tile_x10y10_w6beg[1] , \tile_x10y10_w6beg[0] }),
.w6end({ \tile_x11y10_w6beg[11] , \tile_x11y10_w6beg[10] , \tile_x11y10_w6beg[9] , \tile_x11y10_w6beg[8] , \tile_x11y10_w6beg[7] , \tile_x11y10_w6beg[6] , \tile_x11y10_w6beg[5] , \tile_x11y10_w6beg[4] , \tile_x11y10_w6beg[3] , \tile_x11y10_w6beg[2] , \tile_x11y10_w6beg[1] , \tile_x11y10_w6beg[0] }),
.ww4beg({ \tile_x10y10_ww4beg[15] , \tile_x10y10_ww4beg[14] , \tile_x10y10_ww4beg[13] , \tile_x10y10_ww4beg[12] , \tile_x10y10_ww4beg[11] , \tile_x10y10_ww4beg[10] , \tile_x10y10_ww4beg[9] , \tile_x10y10_ww4beg[8] , \tile_x10y10_ww4beg[7] , \tile_x10y10_ww4beg[6] , \tile_x10y10_ww4beg[5] , \tile_x10y10_ww4beg[4] , \tile_x10y10_ww4beg[3] , \tile_x10y10_ww4beg[2] , \tile_x10y10_ww4beg[1] , \tile_x10y10_ww4beg[0] }),
.ww4end({ \tile_x11y10_ww4beg[15] , \tile_x11y10_ww4beg[14] , \tile_x11y10_ww4beg[13] , \tile_x11y10_ww4beg[12] , \tile_x11y10_ww4beg[11] , \tile_x11y10_ww4beg[10] , \tile_x11y10_ww4beg[9] , \tile_x11y10_ww4beg[8] , \tile_x11y10_ww4beg[7] , \tile_x11y10_ww4beg[6] , \tile_x11y10_ww4beg[5] , \tile_x11y10_ww4beg[4] , \tile_x11y10_ww4beg[3] , \tile_x11y10_ww4beg[2] , \tile_x11y10_ww4beg[1] , \tile_x11y10_ww4beg[0] })
);
lut4ab tile_x10y11_lut4ab (
.ci(tile_x10y12_co),
.co(tile_x10y11_co),
.e1beg({ \tile_x10y11_e1beg[3] , \tile_x10y11_e1beg[2] , \tile_x10y11_e1beg[1] , \tile_x10y11_e1beg[0] }),
.e1end({ \tile_x9y11_e1beg[3] , \tile_x9y11_e1beg[2] , \tile_x9y11_e1beg[1] , \tile_x9y11_e1beg[0] }),
.e2beg({ \tile_x10y11_e2beg[7] , \tile_x10y11_e2beg[6] , \tile_x10y11_e2beg[5] , \tile_x10y11_e2beg[4] , \tile_x10y11_e2beg[3] , \tile_x10y11_e2beg[2] , \tile_x10y11_e2beg[1] , \tile_x10y11_e2beg[0] }),
.e2begb({ \tile_x10y11_e2begb[7] , \tile_x10y11_e2begb[6] , \tile_x10y11_e2begb[5] , \tile_x10y11_e2begb[4] , \tile_x10y11_e2begb[3] , \tile_x10y11_e2begb[2] , \tile_x10y11_e2begb[1] , \tile_x10y11_e2begb[0] }),
.e2end({ \tile_x9y11_e2begb[7] , \tile_x9y11_e2begb[6] , \tile_x9y11_e2begb[5] , \tile_x9y11_e2begb[4] , \tile_x9y11_e2begb[3] , \tile_x9y11_e2begb[2] , \tile_x9y11_e2begb[1] , \tile_x9y11_e2begb[0] }),
.e2mid({ \tile_x9y11_e2beg[7] , \tile_x9y11_e2beg[6] , \tile_x9y11_e2beg[5] , \tile_x9y11_e2beg[4] , \tile_x9y11_e2beg[3] , \tile_x9y11_e2beg[2] , \tile_x9y11_e2beg[1] , \tile_x9y11_e2beg[0] }),
.e6beg({ \tile_x10y11_e6beg[11] , \tile_x10y11_e6beg[10] , \tile_x10y11_e6beg[9] , \tile_x10y11_e6beg[8] , \tile_x10y11_e6beg[7] , \tile_x10y11_e6beg[6] , \tile_x10y11_e6beg[5] , \tile_x10y11_e6beg[4] , \tile_x10y11_e6beg[3] , \tile_x10y11_e6beg[2] , \tile_x10y11_e6beg[1] , \tile_x10y11_e6beg[0] }),
.e6end({ \tile_x9y11_e6beg[11] , \tile_x9y11_e6beg[10] , \tile_x9y11_e6beg[9] , \tile_x9y11_e6beg[8] , \tile_x9y11_e6beg[7] , \tile_x9y11_e6beg[6] , \tile_x9y11_e6beg[5] , \tile_x9y11_e6beg[4] , \tile_x9y11_e6beg[3] , \tile_x9y11_e6beg[2] , \tile_x9y11_e6beg[1] , \tile_x9y11_e6beg[0] }),
.ee4beg({ \tile_x10y11_ee4beg[15] , \tile_x10y11_ee4beg[14] , \tile_x10y11_ee4beg[13] , \tile_x10y11_ee4beg[12] , \tile_x10y11_ee4beg[11] , \tile_x10y11_ee4beg[10] , \tile_x10y11_ee4beg[9] , \tile_x10y11_ee4beg[8] , \tile_x10y11_ee4beg[7] , \tile_x10y11_ee4beg[6] , \tile_x10y11_ee4beg[5] , \tile_x10y11_ee4beg[4] , \tile_x10y11_ee4beg[3] , \tile_x10y11_ee4beg[2] , \tile_x10y11_ee4beg[1] , \tile_x10y11_ee4beg[0] }),
.ee4end({ \tile_x9y11_ee4beg[15] , \tile_x9y11_ee4beg[14] , \tile_x9y11_ee4beg[13] , \tile_x9y11_ee4beg[12] , \tile_x9y11_ee4beg[11] , \tile_x9y11_ee4beg[10] , \tile_x9y11_ee4beg[9] , \tile_x9y11_ee4beg[8] , \tile_x9y11_ee4beg[7] , \tile_x9y11_ee4beg[6] , \tile_x9y11_ee4beg[5] , \tile_x9y11_ee4beg[4] , \tile_x9y11_ee4beg[3] , \tile_x9y11_ee4beg[2] , \tile_x9y11_ee4beg[1] , \tile_x9y11_ee4beg[0] }),
.framedata({ \tile_x9y11_framedata_o[31] , \tile_x9y11_framedata_o[30] , \tile_x9y11_framedata_o[29] , \tile_x9y11_framedata_o[28] , \tile_x9y11_framedata_o[27] , \tile_x9y11_framedata_o[26] , \tile_x9y11_framedata_o[25] , \tile_x9y11_framedata_o[24] , \tile_x9y11_framedata_o[23] , \tile_x9y11_framedata_o[22] , \tile_x9y11_framedata_o[21] , \tile_x9y11_framedata_o[20] , \tile_x9y11_framedata_o[19] , \tile_x9y11_framedata_o[18] , \tile_x9y11_framedata_o[17] , \tile_x9y11_framedata_o[16] , \tile_x9y11_framedata_o[15] , \tile_x9y11_framedata_o[14] , \tile_x9y11_framedata_o[13] , \tile_x9y11_framedata_o[12] , \tile_x9y11_framedata_o[11] , \tile_x9y11_framedata_o[10] , \tile_x9y11_framedata_o[9] , \tile_x9y11_framedata_o[8] , \tile_x9y11_framedata_o[7] , \tile_x9y11_framedata_o[6] , \tile_x9y11_framedata_o[5] , \tile_x9y11_framedata_o[4] , \tile_x9y11_framedata_o[3] , \tile_x9y11_framedata_o[2] , \tile_x9y11_framedata_o[1] , \tile_x9y11_framedata_o[0] }),
.framedata_o({ \tile_x10y11_framedata_o[31] , \tile_x10y11_framedata_o[30] , \tile_x10y11_framedata_o[29] , \tile_x10y11_framedata_o[28] , \tile_x10y11_framedata_o[27] , \tile_x10y11_framedata_o[26] , \tile_x10y11_framedata_o[25] , \tile_x10y11_framedata_o[24] , \tile_x10y11_framedata_o[23] , \tile_x10y11_framedata_o[22] , \tile_x10y11_framedata_o[21] , \tile_x10y11_framedata_o[20] , \tile_x10y11_framedata_o[19] , \tile_x10y11_framedata_o[18] , \tile_x10y11_framedata_o[17] , \tile_x10y11_framedata_o[16] , \tile_x10y11_framedata_o[15] , \tile_x10y11_framedata_o[14] , \tile_x10y11_framedata_o[13] , \tile_x10y11_framedata_o[12] , \tile_x10y11_framedata_o[11] , \tile_x10y11_framedata_o[10] , \tile_x10y11_framedata_o[9] , \tile_x10y11_framedata_o[8] , \tile_x10y11_framedata_o[7] , \tile_x10y11_framedata_o[6] , \tile_x10y11_framedata_o[5] , \tile_x10y11_framedata_o[4] , \tile_x10y11_framedata_o[3] , \tile_x10y11_framedata_o[2] , \tile_x10y11_framedata_o[1] , \tile_x10y11_framedata_o[0] }),
.framestrobe({ \tile_x10y12_framestrobe_o[19] , \tile_x10y12_framestrobe_o[18] , \tile_x10y12_framestrobe_o[17] , \tile_x10y12_framestrobe_o[16] , \tile_x10y12_framestrobe_o[15] , \tile_x10y12_framestrobe_o[14] , \tile_x10y12_framestrobe_o[13] , \tile_x10y12_framestrobe_o[12] , \tile_x10y12_framestrobe_o[11] , \tile_x10y12_framestrobe_o[10] , \tile_x10y12_framestrobe_o[9] , \tile_x10y12_framestrobe_o[8] , \tile_x10y12_framestrobe_o[7] , \tile_x10y12_framestrobe_o[6] , \tile_x10y12_framestrobe_o[5] , \tile_x10y12_framestrobe_o[4] , \tile_x10y12_framestrobe_o[3] , \tile_x10y12_framestrobe_o[2] , \tile_x10y12_framestrobe_o[1] , \tile_x10y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y11_framestrobe_o[19] , \tile_x10y11_framestrobe_o[18] , \tile_x10y11_framestrobe_o[17] , \tile_x10y11_framestrobe_o[16] , \tile_x10y11_framestrobe_o[15] , \tile_x10y11_framestrobe_o[14] , \tile_x10y11_framestrobe_o[13] , \tile_x10y11_framestrobe_o[12] , \tile_x10y11_framestrobe_o[11] , \tile_x10y11_framestrobe_o[10] , \tile_x10y11_framestrobe_o[9] , \tile_x10y11_framestrobe_o[8] , \tile_x10y11_framestrobe_o[7] , \tile_x10y11_framestrobe_o[6] , \tile_x10y11_framestrobe_o[5] , \tile_x10y11_framestrobe_o[4] , \tile_x10y11_framestrobe_o[3] , \tile_x10y11_framestrobe_o[2] , \tile_x10y11_framestrobe_o[1] , \tile_x10y11_framestrobe_o[0] }),
.n1beg({ \tile_x10y11_n1beg[3] , \tile_x10y11_n1beg[2] , \tile_x10y11_n1beg[1] , \tile_x10y11_n1beg[0] }),
.n1end({ \tile_x10y12_n1beg[3] , \tile_x10y12_n1beg[2] , \tile_x10y12_n1beg[1] , \tile_x10y12_n1beg[0] }),
.n2beg({ \tile_x10y11_n2beg[7] , \tile_x10y11_n2beg[6] , \tile_x10y11_n2beg[5] , \tile_x10y11_n2beg[4] , \tile_x10y11_n2beg[3] , \tile_x10y11_n2beg[2] , \tile_x10y11_n2beg[1] , \tile_x10y11_n2beg[0] }),
.n2begb({ \tile_x10y11_n2begb[7] , \tile_x10y11_n2begb[6] , \tile_x10y11_n2begb[5] , \tile_x10y11_n2begb[4] , \tile_x10y11_n2begb[3] , \tile_x10y11_n2begb[2] , \tile_x10y11_n2begb[1] , \tile_x10y11_n2begb[0] }),
.n2end({ \tile_x10y12_n2begb[7] , \tile_x10y12_n2begb[6] , \tile_x10y12_n2begb[5] , \tile_x10y12_n2begb[4] , \tile_x10y12_n2begb[3] , \tile_x10y12_n2begb[2] , \tile_x10y12_n2begb[1] , \tile_x10y12_n2begb[0] }),
.n2mid({ \tile_x10y12_n2beg[7] , \tile_x10y12_n2beg[6] , \tile_x10y12_n2beg[5] , \tile_x10y12_n2beg[4] , \tile_x10y12_n2beg[3] , \tile_x10y12_n2beg[2] , \tile_x10y12_n2beg[1] , \tile_x10y12_n2beg[0] }),
.n4beg({ \tile_x10y11_n4beg[15] , \tile_x10y11_n4beg[14] , \tile_x10y11_n4beg[13] , \tile_x10y11_n4beg[12] , \tile_x10y11_n4beg[11] , \tile_x10y11_n4beg[10] , \tile_x10y11_n4beg[9] , \tile_x10y11_n4beg[8] , \tile_x10y11_n4beg[7] , \tile_x10y11_n4beg[6] , \tile_x10y11_n4beg[5] , \tile_x10y11_n4beg[4] , \tile_x10y11_n4beg[3] , \tile_x10y11_n4beg[2] , \tile_x10y11_n4beg[1] , \tile_x10y11_n4beg[0] }),
.n4end({ \tile_x10y12_n4beg[15] , \tile_x10y12_n4beg[14] , \tile_x10y12_n4beg[13] , \tile_x10y12_n4beg[12] , \tile_x10y12_n4beg[11] , \tile_x10y12_n4beg[10] , \tile_x10y12_n4beg[9] , \tile_x10y12_n4beg[8] , \tile_x10y12_n4beg[7] , \tile_x10y12_n4beg[6] , \tile_x10y12_n4beg[5] , \tile_x10y12_n4beg[4] , \tile_x10y12_n4beg[3] , \tile_x10y12_n4beg[2] , \tile_x10y12_n4beg[1] , \tile_x10y12_n4beg[0] }),
.nn4beg({ \tile_x10y11_nn4beg[15] , \tile_x10y11_nn4beg[14] , \tile_x10y11_nn4beg[13] , \tile_x10y11_nn4beg[12] , \tile_x10y11_nn4beg[11] , \tile_x10y11_nn4beg[10] , \tile_x10y11_nn4beg[9] , \tile_x10y11_nn4beg[8] , \tile_x10y11_nn4beg[7] , \tile_x10y11_nn4beg[6] , \tile_x10y11_nn4beg[5] , \tile_x10y11_nn4beg[4] , \tile_x10y11_nn4beg[3] , \tile_x10y11_nn4beg[2] , \tile_x10y11_nn4beg[1] , \tile_x10y11_nn4beg[0] }),
.nn4end({ \tile_x10y12_nn4beg[15] , \tile_x10y12_nn4beg[14] , \tile_x10y12_nn4beg[13] , \tile_x10y12_nn4beg[12] , \tile_x10y12_nn4beg[11] , \tile_x10y12_nn4beg[10] , \tile_x10y12_nn4beg[9] , \tile_x10y12_nn4beg[8] , \tile_x10y12_nn4beg[7] , \tile_x10y12_nn4beg[6] , \tile_x10y12_nn4beg[5] , \tile_x10y12_nn4beg[4] , \tile_x10y12_nn4beg[3] , \tile_x10y12_nn4beg[2] , \tile_x10y12_nn4beg[1] , \tile_x10y12_nn4beg[0] }),
.s1beg({ \tile_x10y11_s1beg[3] , \tile_x10y11_s1beg[2] , \tile_x10y11_s1beg[1] , \tile_x10y11_s1beg[0] }),
.s1end({ \tile_x10y10_s1beg[3] , \tile_x10y10_s1beg[2] , \tile_x10y10_s1beg[1] , \tile_x10y10_s1beg[0] }),
.s2beg({ \tile_x10y11_s2beg[7] , \tile_x10y11_s2beg[6] , \tile_x10y11_s2beg[5] , \tile_x10y11_s2beg[4] , \tile_x10y11_s2beg[3] , \tile_x10y11_s2beg[2] , \tile_x10y11_s2beg[1] , \tile_x10y11_s2beg[0] }),
.s2begb({ \tile_x10y11_s2begb[7] , \tile_x10y11_s2begb[6] , \tile_x10y11_s2begb[5] , \tile_x10y11_s2begb[4] , \tile_x10y11_s2begb[3] , \tile_x10y11_s2begb[2] , \tile_x10y11_s2begb[1] , \tile_x10y11_s2begb[0] }),
.s2end({ \tile_x10y10_s2begb[7] , \tile_x10y10_s2begb[6] , \tile_x10y10_s2begb[5] , \tile_x10y10_s2begb[4] , \tile_x10y10_s2begb[3] , \tile_x10y10_s2begb[2] , \tile_x10y10_s2begb[1] , \tile_x10y10_s2begb[0] }),
.s2mid({ \tile_x10y10_s2beg[7] , \tile_x10y10_s2beg[6] , \tile_x10y10_s2beg[5] , \tile_x10y10_s2beg[4] , \tile_x10y10_s2beg[3] , \tile_x10y10_s2beg[2] , \tile_x10y10_s2beg[1] , \tile_x10y10_s2beg[0] }),
.s4beg({ \tile_x10y11_s4beg[15] , \tile_x10y11_s4beg[14] , \tile_x10y11_s4beg[13] , \tile_x10y11_s4beg[12] , \tile_x10y11_s4beg[11] , \tile_x10y11_s4beg[10] , \tile_x10y11_s4beg[9] , \tile_x10y11_s4beg[8] , \tile_x10y11_s4beg[7] , \tile_x10y11_s4beg[6] , \tile_x10y11_s4beg[5] , \tile_x10y11_s4beg[4] , \tile_x10y11_s4beg[3] , \tile_x10y11_s4beg[2] , \tile_x10y11_s4beg[1] , \tile_x10y11_s4beg[0] }),
.s4end({ \tile_x10y10_s4beg[15] , \tile_x10y10_s4beg[14] , \tile_x10y10_s4beg[13] , \tile_x10y10_s4beg[12] , \tile_x10y10_s4beg[11] , \tile_x10y10_s4beg[10] , \tile_x10y10_s4beg[9] , \tile_x10y10_s4beg[8] , \tile_x10y10_s4beg[7] , \tile_x10y10_s4beg[6] , \tile_x10y10_s4beg[5] , \tile_x10y10_s4beg[4] , \tile_x10y10_s4beg[3] , \tile_x10y10_s4beg[2] , \tile_x10y10_s4beg[1] , \tile_x10y10_s4beg[0] }),
.ss4beg({ \tile_x10y11_ss4beg[15] , \tile_x10y11_ss4beg[14] , \tile_x10y11_ss4beg[13] , \tile_x10y11_ss4beg[12] , \tile_x10y11_ss4beg[11] , \tile_x10y11_ss4beg[10] , \tile_x10y11_ss4beg[9] , \tile_x10y11_ss4beg[8] , \tile_x10y11_ss4beg[7] , \tile_x10y11_ss4beg[6] , \tile_x10y11_ss4beg[5] , \tile_x10y11_ss4beg[4] , \tile_x10y11_ss4beg[3] , \tile_x10y11_ss4beg[2] , \tile_x10y11_ss4beg[1] , \tile_x10y11_ss4beg[0] }),
.ss4end({ \tile_x10y10_ss4beg[15] , \tile_x10y10_ss4beg[14] , \tile_x10y10_ss4beg[13] , \tile_x10y10_ss4beg[12] , \tile_x10y10_ss4beg[11] , \tile_x10y10_ss4beg[10] , \tile_x10y10_ss4beg[9] , \tile_x10y10_ss4beg[8] , \tile_x10y10_ss4beg[7] , \tile_x10y10_ss4beg[6] , \tile_x10y10_ss4beg[5] , \tile_x10y10_ss4beg[4] , \tile_x10y10_ss4beg[3] , \tile_x10y10_ss4beg[2] , \tile_x10y10_ss4beg[1] , \tile_x10y10_ss4beg[0] }),
.userclk(tile_x10y12_userclko),
.userclko(tile_x10y11_userclko),
.w1beg({ \tile_x10y11_w1beg[3] , \tile_x10y11_w1beg[2] , \tile_x10y11_w1beg[1] , \tile_x10y11_w1beg[0] }),
.w1end({ \tile_x11y11_w1beg[3] , \tile_x11y11_w1beg[2] , \tile_x11y11_w1beg[1] , \tile_x11y11_w1beg[0] }),
.w2beg({ \tile_x10y11_w2beg[7] , \tile_x10y11_w2beg[6] , \tile_x10y11_w2beg[5] , \tile_x10y11_w2beg[4] , \tile_x10y11_w2beg[3] , \tile_x10y11_w2beg[2] , \tile_x10y11_w2beg[1] , \tile_x10y11_w2beg[0] }),
.w2begb({ \tile_x10y11_w2begb[7] , \tile_x10y11_w2begb[6] , \tile_x10y11_w2begb[5] , \tile_x10y11_w2begb[4] , \tile_x10y11_w2begb[3] , \tile_x10y11_w2begb[2] , \tile_x10y11_w2begb[1] , \tile_x10y11_w2begb[0] }),
.w2end({ \tile_x11y11_w2begb[7] , \tile_x11y11_w2begb[6] , \tile_x11y11_w2begb[5] , \tile_x11y11_w2begb[4] , \tile_x11y11_w2begb[3] , \tile_x11y11_w2begb[2] , \tile_x11y11_w2begb[1] , \tile_x11y11_w2begb[0] }),
.w2mid({ \tile_x11y11_w2beg[7] , \tile_x11y11_w2beg[6] , \tile_x11y11_w2beg[5] , \tile_x11y11_w2beg[4] , \tile_x11y11_w2beg[3] , \tile_x11y11_w2beg[2] , \tile_x11y11_w2beg[1] , \tile_x11y11_w2beg[0] }),
.w6beg({ \tile_x10y11_w6beg[11] , \tile_x10y11_w6beg[10] , \tile_x10y11_w6beg[9] , \tile_x10y11_w6beg[8] , \tile_x10y11_w6beg[7] , \tile_x10y11_w6beg[6] , \tile_x10y11_w6beg[5] , \tile_x10y11_w6beg[4] , \tile_x10y11_w6beg[3] , \tile_x10y11_w6beg[2] , \tile_x10y11_w6beg[1] , \tile_x10y11_w6beg[0] }),
.w6end({ \tile_x11y11_w6beg[11] , \tile_x11y11_w6beg[10] , \tile_x11y11_w6beg[9] , \tile_x11y11_w6beg[8] , \tile_x11y11_w6beg[7] , \tile_x11y11_w6beg[6] , \tile_x11y11_w6beg[5] , \tile_x11y11_w6beg[4] , \tile_x11y11_w6beg[3] , \tile_x11y11_w6beg[2] , \tile_x11y11_w6beg[1] , \tile_x11y11_w6beg[0] }),
.ww4beg({ \tile_x10y11_ww4beg[15] , \tile_x10y11_ww4beg[14] , \tile_x10y11_ww4beg[13] , \tile_x10y11_ww4beg[12] , \tile_x10y11_ww4beg[11] , \tile_x10y11_ww4beg[10] , \tile_x10y11_ww4beg[9] , \tile_x10y11_ww4beg[8] , \tile_x10y11_ww4beg[7] , \tile_x10y11_ww4beg[6] , \tile_x10y11_ww4beg[5] , \tile_x10y11_ww4beg[4] , \tile_x10y11_ww4beg[3] , \tile_x10y11_ww4beg[2] , \tile_x10y11_ww4beg[1] , \tile_x10y11_ww4beg[0] }),
.ww4end({ \tile_x11y11_ww4beg[15] , \tile_x11y11_ww4beg[14] , \tile_x11y11_ww4beg[13] , \tile_x11y11_ww4beg[12] , \tile_x11y11_ww4beg[11] , \tile_x11y11_ww4beg[10] , \tile_x11y11_ww4beg[9] , \tile_x11y11_ww4beg[8] , \tile_x11y11_ww4beg[7] , \tile_x11y11_ww4beg[6] , \tile_x11y11_ww4beg[5] , \tile_x11y11_ww4beg[4] , \tile_x11y11_ww4beg[3] , \tile_x11y11_ww4beg[2] , \tile_x11y11_ww4beg[1] , \tile_x11y11_ww4beg[0] })
);
lut4ab tile_x10y12_lut4ab (
.ci(tile_x10y13_co),
.co(tile_x10y12_co),
.e1beg({ \tile_x10y12_e1beg[3] , \tile_x10y12_e1beg[2] , \tile_x10y12_e1beg[1] , \tile_x10y12_e1beg[0] }),
.e1end({ \tile_x9y12_e1beg[3] , \tile_x9y12_e1beg[2] , \tile_x9y12_e1beg[1] , \tile_x9y12_e1beg[0] }),
.e2beg({ \tile_x10y12_e2beg[7] , \tile_x10y12_e2beg[6] , \tile_x10y12_e2beg[5] , \tile_x10y12_e2beg[4] , \tile_x10y12_e2beg[3] , \tile_x10y12_e2beg[2] , \tile_x10y12_e2beg[1] , \tile_x10y12_e2beg[0] }),
.e2begb({ \tile_x10y12_e2begb[7] , \tile_x10y12_e2begb[6] , \tile_x10y12_e2begb[5] , \tile_x10y12_e2begb[4] , \tile_x10y12_e2begb[3] , \tile_x10y12_e2begb[2] , \tile_x10y12_e2begb[1] , \tile_x10y12_e2begb[0] }),
.e2end({ \tile_x9y12_e2begb[7] , \tile_x9y12_e2begb[6] , \tile_x9y12_e2begb[5] , \tile_x9y12_e2begb[4] , \tile_x9y12_e2begb[3] , \tile_x9y12_e2begb[2] , \tile_x9y12_e2begb[1] , \tile_x9y12_e2begb[0] }),
.e2mid({ \tile_x9y12_e2beg[7] , \tile_x9y12_e2beg[6] , \tile_x9y12_e2beg[5] , \tile_x9y12_e2beg[4] , \tile_x9y12_e2beg[3] , \tile_x9y12_e2beg[2] , \tile_x9y12_e2beg[1] , \tile_x9y12_e2beg[0] }),
.e6beg({ \tile_x10y12_e6beg[11] , \tile_x10y12_e6beg[10] , \tile_x10y12_e6beg[9] , \tile_x10y12_e6beg[8] , \tile_x10y12_e6beg[7] , \tile_x10y12_e6beg[6] , \tile_x10y12_e6beg[5] , \tile_x10y12_e6beg[4] , \tile_x10y12_e6beg[3] , \tile_x10y12_e6beg[2] , \tile_x10y12_e6beg[1] , \tile_x10y12_e6beg[0] }),
.e6end({ \tile_x9y12_e6beg[11] , \tile_x9y12_e6beg[10] , \tile_x9y12_e6beg[9] , \tile_x9y12_e6beg[8] , \tile_x9y12_e6beg[7] , \tile_x9y12_e6beg[6] , \tile_x9y12_e6beg[5] , \tile_x9y12_e6beg[4] , \tile_x9y12_e6beg[3] , \tile_x9y12_e6beg[2] , \tile_x9y12_e6beg[1] , \tile_x9y12_e6beg[0] }),
.ee4beg({ \tile_x10y12_ee4beg[15] , \tile_x10y12_ee4beg[14] , \tile_x10y12_ee4beg[13] , \tile_x10y12_ee4beg[12] , \tile_x10y12_ee4beg[11] , \tile_x10y12_ee4beg[10] , \tile_x10y12_ee4beg[9] , \tile_x10y12_ee4beg[8] , \tile_x10y12_ee4beg[7] , \tile_x10y12_ee4beg[6] , \tile_x10y12_ee4beg[5] , \tile_x10y12_ee4beg[4] , \tile_x10y12_ee4beg[3] , \tile_x10y12_ee4beg[2] , \tile_x10y12_ee4beg[1] , \tile_x10y12_ee4beg[0] }),
.ee4end({ \tile_x9y12_ee4beg[15] , \tile_x9y12_ee4beg[14] , \tile_x9y12_ee4beg[13] , \tile_x9y12_ee4beg[12] , \tile_x9y12_ee4beg[11] , \tile_x9y12_ee4beg[10] , \tile_x9y12_ee4beg[9] , \tile_x9y12_ee4beg[8] , \tile_x9y12_ee4beg[7] , \tile_x9y12_ee4beg[6] , \tile_x9y12_ee4beg[5] , \tile_x9y12_ee4beg[4] , \tile_x9y12_ee4beg[3] , \tile_x9y12_ee4beg[2] , \tile_x9y12_ee4beg[1] , \tile_x9y12_ee4beg[0] }),
.framedata({ \tile_x9y12_framedata_o[31] , \tile_x9y12_framedata_o[30] , \tile_x9y12_framedata_o[29] , \tile_x9y12_framedata_o[28] , \tile_x9y12_framedata_o[27] , \tile_x9y12_framedata_o[26] , \tile_x9y12_framedata_o[25] , \tile_x9y12_framedata_o[24] , \tile_x9y12_framedata_o[23] , \tile_x9y12_framedata_o[22] , \tile_x9y12_framedata_o[21] , \tile_x9y12_framedata_o[20] , \tile_x9y12_framedata_o[19] , \tile_x9y12_framedata_o[18] , \tile_x9y12_framedata_o[17] , \tile_x9y12_framedata_o[16] , \tile_x9y12_framedata_o[15] , \tile_x9y12_framedata_o[14] , \tile_x9y12_framedata_o[13] , \tile_x9y12_framedata_o[12] , \tile_x9y12_framedata_o[11] , \tile_x9y12_framedata_o[10] , \tile_x9y12_framedata_o[9] , \tile_x9y12_framedata_o[8] , \tile_x9y12_framedata_o[7] , \tile_x9y12_framedata_o[6] , \tile_x9y12_framedata_o[5] , \tile_x9y12_framedata_o[4] , \tile_x9y12_framedata_o[3] , \tile_x9y12_framedata_o[2] , \tile_x9y12_framedata_o[1] , \tile_x9y12_framedata_o[0] }),
.framedata_o({ \tile_x10y12_framedata_o[31] , \tile_x10y12_framedata_o[30] , \tile_x10y12_framedata_o[29] , \tile_x10y12_framedata_o[28] , \tile_x10y12_framedata_o[27] , \tile_x10y12_framedata_o[26] , \tile_x10y12_framedata_o[25] , \tile_x10y12_framedata_o[24] , \tile_x10y12_framedata_o[23] , \tile_x10y12_framedata_o[22] , \tile_x10y12_framedata_o[21] , \tile_x10y12_framedata_o[20] , \tile_x10y12_framedata_o[19] , \tile_x10y12_framedata_o[18] , \tile_x10y12_framedata_o[17] , \tile_x10y12_framedata_o[16] , \tile_x10y12_framedata_o[15] , \tile_x10y12_framedata_o[14] , \tile_x10y12_framedata_o[13] , \tile_x10y12_framedata_o[12] , \tile_x10y12_framedata_o[11] , \tile_x10y12_framedata_o[10] , \tile_x10y12_framedata_o[9] , \tile_x10y12_framedata_o[8] , \tile_x10y12_framedata_o[7] , \tile_x10y12_framedata_o[6] , \tile_x10y12_framedata_o[5] , \tile_x10y12_framedata_o[4] , \tile_x10y12_framedata_o[3] , \tile_x10y12_framedata_o[2] , \tile_x10y12_framedata_o[1] , \tile_x10y12_framedata_o[0] }),
.framestrobe({ \tile_x10y13_framestrobe_o[19] , \tile_x10y13_framestrobe_o[18] , \tile_x10y13_framestrobe_o[17] , \tile_x10y13_framestrobe_o[16] , \tile_x10y13_framestrobe_o[15] , \tile_x10y13_framestrobe_o[14] , \tile_x10y13_framestrobe_o[13] , \tile_x10y13_framestrobe_o[12] , \tile_x10y13_framestrobe_o[11] , \tile_x10y13_framestrobe_o[10] , \tile_x10y13_framestrobe_o[9] , \tile_x10y13_framestrobe_o[8] , \tile_x10y13_framestrobe_o[7] , \tile_x10y13_framestrobe_o[6] , \tile_x10y13_framestrobe_o[5] , \tile_x10y13_framestrobe_o[4] , \tile_x10y13_framestrobe_o[3] , \tile_x10y13_framestrobe_o[2] , \tile_x10y13_framestrobe_o[1] , \tile_x10y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y12_framestrobe_o[19] , \tile_x10y12_framestrobe_o[18] , \tile_x10y12_framestrobe_o[17] , \tile_x10y12_framestrobe_o[16] , \tile_x10y12_framestrobe_o[15] , \tile_x10y12_framestrobe_o[14] , \tile_x10y12_framestrobe_o[13] , \tile_x10y12_framestrobe_o[12] , \tile_x10y12_framestrobe_o[11] , \tile_x10y12_framestrobe_o[10] , \tile_x10y12_framestrobe_o[9] , \tile_x10y12_framestrobe_o[8] , \tile_x10y12_framestrobe_o[7] , \tile_x10y12_framestrobe_o[6] , \tile_x10y12_framestrobe_o[5] , \tile_x10y12_framestrobe_o[4] , \tile_x10y12_framestrobe_o[3] , \tile_x10y12_framestrobe_o[2] , \tile_x10y12_framestrobe_o[1] , \tile_x10y12_framestrobe_o[0] }),
.n1beg({ \tile_x10y12_n1beg[3] , \tile_x10y12_n1beg[2] , \tile_x10y12_n1beg[1] , \tile_x10y12_n1beg[0] }),
.n1end({ \tile_x10y13_n1beg[3] , \tile_x10y13_n1beg[2] , \tile_x10y13_n1beg[1] , \tile_x10y13_n1beg[0] }),
.n2beg({ \tile_x10y12_n2beg[7] , \tile_x10y12_n2beg[6] , \tile_x10y12_n2beg[5] , \tile_x10y12_n2beg[4] , \tile_x10y12_n2beg[3] , \tile_x10y12_n2beg[2] , \tile_x10y12_n2beg[1] , \tile_x10y12_n2beg[0] }),
.n2begb({ \tile_x10y12_n2begb[7] , \tile_x10y12_n2begb[6] , \tile_x10y12_n2begb[5] , \tile_x10y12_n2begb[4] , \tile_x10y12_n2begb[3] , \tile_x10y12_n2begb[2] , \tile_x10y12_n2begb[1] , \tile_x10y12_n2begb[0] }),
.n2end({ \tile_x10y13_n2begb[7] , \tile_x10y13_n2begb[6] , \tile_x10y13_n2begb[5] , \tile_x10y13_n2begb[4] , \tile_x10y13_n2begb[3] , \tile_x10y13_n2begb[2] , \tile_x10y13_n2begb[1] , \tile_x10y13_n2begb[0] }),
.n2mid({ \tile_x10y13_n2beg[7] , \tile_x10y13_n2beg[6] , \tile_x10y13_n2beg[5] , \tile_x10y13_n2beg[4] , \tile_x10y13_n2beg[3] , \tile_x10y13_n2beg[2] , \tile_x10y13_n2beg[1] , \tile_x10y13_n2beg[0] }),
.n4beg({ \tile_x10y12_n4beg[15] , \tile_x10y12_n4beg[14] , \tile_x10y12_n4beg[13] , \tile_x10y12_n4beg[12] , \tile_x10y12_n4beg[11] , \tile_x10y12_n4beg[10] , \tile_x10y12_n4beg[9] , \tile_x10y12_n4beg[8] , \tile_x10y12_n4beg[7] , \tile_x10y12_n4beg[6] , \tile_x10y12_n4beg[5] , \tile_x10y12_n4beg[4] , \tile_x10y12_n4beg[3] , \tile_x10y12_n4beg[2] , \tile_x10y12_n4beg[1] , \tile_x10y12_n4beg[0] }),
.n4end({ \tile_x10y13_n4beg[15] , \tile_x10y13_n4beg[14] , \tile_x10y13_n4beg[13] , \tile_x10y13_n4beg[12] , \tile_x10y13_n4beg[11] , \tile_x10y13_n4beg[10] , \tile_x10y13_n4beg[9] , \tile_x10y13_n4beg[8] , \tile_x10y13_n4beg[7] , \tile_x10y13_n4beg[6] , \tile_x10y13_n4beg[5] , \tile_x10y13_n4beg[4] , \tile_x10y13_n4beg[3] , \tile_x10y13_n4beg[2] , \tile_x10y13_n4beg[1] , \tile_x10y13_n4beg[0] }),
.nn4beg({ \tile_x10y12_nn4beg[15] , \tile_x10y12_nn4beg[14] , \tile_x10y12_nn4beg[13] , \tile_x10y12_nn4beg[12] , \tile_x10y12_nn4beg[11] , \tile_x10y12_nn4beg[10] , \tile_x10y12_nn4beg[9] , \tile_x10y12_nn4beg[8] , \tile_x10y12_nn4beg[7] , \tile_x10y12_nn4beg[6] , \tile_x10y12_nn4beg[5] , \tile_x10y12_nn4beg[4] , \tile_x10y12_nn4beg[3] , \tile_x10y12_nn4beg[2] , \tile_x10y12_nn4beg[1] , \tile_x10y12_nn4beg[0] }),
.nn4end({ \tile_x10y13_nn4beg[15] , \tile_x10y13_nn4beg[14] , \tile_x10y13_nn4beg[13] , \tile_x10y13_nn4beg[12] , \tile_x10y13_nn4beg[11] , \tile_x10y13_nn4beg[10] , \tile_x10y13_nn4beg[9] , \tile_x10y13_nn4beg[8] , \tile_x10y13_nn4beg[7] , \tile_x10y13_nn4beg[6] , \tile_x10y13_nn4beg[5] , \tile_x10y13_nn4beg[4] , \tile_x10y13_nn4beg[3] , \tile_x10y13_nn4beg[2] , \tile_x10y13_nn4beg[1] , \tile_x10y13_nn4beg[0] }),
.s1beg({ \tile_x10y12_s1beg[3] , \tile_x10y12_s1beg[2] , \tile_x10y12_s1beg[1] , \tile_x10y12_s1beg[0] }),
.s1end({ \tile_x10y11_s1beg[3] , \tile_x10y11_s1beg[2] , \tile_x10y11_s1beg[1] , \tile_x10y11_s1beg[0] }),
.s2beg({ \tile_x10y12_s2beg[7] , \tile_x10y12_s2beg[6] , \tile_x10y12_s2beg[5] , \tile_x10y12_s2beg[4] , \tile_x10y12_s2beg[3] , \tile_x10y12_s2beg[2] , \tile_x10y12_s2beg[1] , \tile_x10y12_s2beg[0] }),
.s2begb({ \tile_x10y12_s2begb[7] , \tile_x10y12_s2begb[6] , \tile_x10y12_s2begb[5] , \tile_x10y12_s2begb[4] , \tile_x10y12_s2begb[3] , \tile_x10y12_s2begb[2] , \tile_x10y12_s2begb[1] , \tile_x10y12_s2begb[0] }),
.s2end({ \tile_x10y11_s2begb[7] , \tile_x10y11_s2begb[6] , \tile_x10y11_s2begb[5] , \tile_x10y11_s2begb[4] , \tile_x10y11_s2begb[3] , \tile_x10y11_s2begb[2] , \tile_x10y11_s2begb[1] , \tile_x10y11_s2begb[0] }),
.s2mid({ \tile_x10y11_s2beg[7] , \tile_x10y11_s2beg[6] , \tile_x10y11_s2beg[5] , \tile_x10y11_s2beg[4] , \tile_x10y11_s2beg[3] , \tile_x10y11_s2beg[2] , \tile_x10y11_s2beg[1] , \tile_x10y11_s2beg[0] }),
.s4beg({ \tile_x10y12_s4beg[15] , \tile_x10y12_s4beg[14] , \tile_x10y12_s4beg[13] , \tile_x10y12_s4beg[12] , \tile_x10y12_s4beg[11] , \tile_x10y12_s4beg[10] , \tile_x10y12_s4beg[9] , \tile_x10y12_s4beg[8] , \tile_x10y12_s4beg[7] , \tile_x10y12_s4beg[6] , \tile_x10y12_s4beg[5] , \tile_x10y12_s4beg[4] , \tile_x10y12_s4beg[3] , \tile_x10y12_s4beg[2] , \tile_x10y12_s4beg[1] , \tile_x10y12_s4beg[0] }),
.s4end({ \tile_x10y11_s4beg[15] , \tile_x10y11_s4beg[14] , \tile_x10y11_s4beg[13] , \tile_x10y11_s4beg[12] , \tile_x10y11_s4beg[11] , \tile_x10y11_s4beg[10] , \tile_x10y11_s4beg[9] , \tile_x10y11_s4beg[8] , \tile_x10y11_s4beg[7] , \tile_x10y11_s4beg[6] , \tile_x10y11_s4beg[5] , \tile_x10y11_s4beg[4] , \tile_x10y11_s4beg[3] , \tile_x10y11_s4beg[2] , \tile_x10y11_s4beg[1] , \tile_x10y11_s4beg[0] }),
.ss4beg({ \tile_x10y12_ss4beg[15] , \tile_x10y12_ss4beg[14] , \tile_x10y12_ss4beg[13] , \tile_x10y12_ss4beg[12] , \tile_x10y12_ss4beg[11] , \tile_x10y12_ss4beg[10] , \tile_x10y12_ss4beg[9] , \tile_x10y12_ss4beg[8] , \tile_x10y12_ss4beg[7] , \tile_x10y12_ss4beg[6] , \tile_x10y12_ss4beg[5] , \tile_x10y12_ss4beg[4] , \tile_x10y12_ss4beg[3] , \tile_x10y12_ss4beg[2] , \tile_x10y12_ss4beg[1] , \tile_x10y12_ss4beg[0] }),
.ss4end({ \tile_x10y11_ss4beg[15] , \tile_x10y11_ss4beg[14] , \tile_x10y11_ss4beg[13] , \tile_x10y11_ss4beg[12] , \tile_x10y11_ss4beg[11] , \tile_x10y11_ss4beg[10] , \tile_x10y11_ss4beg[9] , \tile_x10y11_ss4beg[8] , \tile_x10y11_ss4beg[7] , \tile_x10y11_ss4beg[6] , \tile_x10y11_ss4beg[5] , \tile_x10y11_ss4beg[4] , \tile_x10y11_ss4beg[3] , \tile_x10y11_ss4beg[2] , \tile_x10y11_ss4beg[1] , \tile_x10y11_ss4beg[0] }),
.userclk(tile_x10y13_userclko),
.userclko(tile_x10y12_userclko),
.w1beg({ \tile_x10y12_w1beg[3] , \tile_x10y12_w1beg[2] , \tile_x10y12_w1beg[1] , \tile_x10y12_w1beg[0] }),
.w1end({ \tile_x11y12_w1beg[3] , \tile_x11y12_w1beg[2] , \tile_x11y12_w1beg[1] , \tile_x11y12_w1beg[0] }),
.w2beg({ \tile_x10y12_w2beg[7] , \tile_x10y12_w2beg[6] , \tile_x10y12_w2beg[5] , \tile_x10y12_w2beg[4] , \tile_x10y12_w2beg[3] , \tile_x10y12_w2beg[2] , \tile_x10y12_w2beg[1] , \tile_x10y12_w2beg[0] }),
.w2begb({ \tile_x10y12_w2begb[7] , \tile_x10y12_w2begb[6] , \tile_x10y12_w2begb[5] , \tile_x10y12_w2begb[4] , \tile_x10y12_w2begb[3] , \tile_x10y12_w2begb[2] , \tile_x10y12_w2begb[1] , \tile_x10y12_w2begb[0] }),
.w2end({ \tile_x11y12_w2begb[7] , \tile_x11y12_w2begb[6] , \tile_x11y12_w2begb[5] , \tile_x11y12_w2begb[4] , \tile_x11y12_w2begb[3] , \tile_x11y12_w2begb[2] , \tile_x11y12_w2begb[1] , \tile_x11y12_w2begb[0] }),
.w2mid({ \tile_x11y12_w2beg[7] , \tile_x11y12_w2beg[6] , \tile_x11y12_w2beg[5] , \tile_x11y12_w2beg[4] , \tile_x11y12_w2beg[3] , \tile_x11y12_w2beg[2] , \tile_x11y12_w2beg[1] , \tile_x11y12_w2beg[0] }),
.w6beg({ \tile_x10y12_w6beg[11] , \tile_x10y12_w6beg[10] , \tile_x10y12_w6beg[9] , \tile_x10y12_w6beg[8] , \tile_x10y12_w6beg[7] , \tile_x10y12_w6beg[6] , \tile_x10y12_w6beg[5] , \tile_x10y12_w6beg[4] , \tile_x10y12_w6beg[3] , \tile_x10y12_w6beg[2] , \tile_x10y12_w6beg[1] , \tile_x10y12_w6beg[0] }),
.w6end({ \tile_x11y12_w6beg[11] , \tile_x11y12_w6beg[10] , \tile_x11y12_w6beg[9] , \tile_x11y12_w6beg[8] , \tile_x11y12_w6beg[7] , \tile_x11y12_w6beg[6] , \tile_x11y12_w6beg[5] , \tile_x11y12_w6beg[4] , \tile_x11y12_w6beg[3] , \tile_x11y12_w6beg[2] , \tile_x11y12_w6beg[1] , \tile_x11y12_w6beg[0] }),
.ww4beg({ \tile_x10y12_ww4beg[15] , \tile_x10y12_ww4beg[14] , \tile_x10y12_ww4beg[13] , \tile_x10y12_ww4beg[12] , \tile_x10y12_ww4beg[11] , \tile_x10y12_ww4beg[10] , \tile_x10y12_ww4beg[9] , \tile_x10y12_ww4beg[8] , \tile_x10y12_ww4beg[7] , \tile_x10y12_ww4beg[6] , \tile_x10y12_ww4beg[5] , \tile_x10y12_ww4beg[4] , \tile_x10y12_ww4beg[3] , \tile_x10y12_ww4beg[2] , \tile_x10y12_ww4beg[1] , \tile_x10y12_ww4beg[0] }),
.ww4end({ \tile_x11y12_ww4beg[15] , \tile_x11y12_ww4beg[14] , \tile_x11y12_ww4beg[13] , \tile_x11y12_ww4beg[12] , \tile_x11y12_ww4beg[11] , \tile_x11y12_ww4beg[10] , \tile_x11y12_ww4beg[9] , \tile_x11y12_ww4beg[8] , \tile_x11y12_ww4beg[7] , \tile_x11y12_ww4beg[6] , \tile_x11y12_ww4beg[5] , \tile_x11y12_ww4beg[4] , \tile_x11y12_ww4beg[3] , \tile_x11y12_ww4beg[2] , \tile_x11y12_ww4beg[1] , \tile_x11y12_ww4beg[0] })
);
lut4ab tile_x10y13_lut4ab (
.ci(tile_x10y14_co),
.co(tile_x10y13_co),
.e1beg({ \tile_x10y13_e1beg[3] , \tile_x10y13_e1beg[2] , \tile_x10y13_e1beg[1] , \tile_x10y13_e1beg[0] }),
.e1end({ \tile_x9y13_e1beg[3] , \tile_x9y13_e1beg[2] , \tile_x9y13_e1beg[1] , \tile_x9y13_e1beg[0] }),
.e2beg({ \tile_x10y13_e2beg[7] , \tile_x10y13_e2beg[6] , \tile_x10y13_e2beg[5] , \tile_x10y13_e2beg[4] , \tile_x10y13_e2beg[3] , \tile_x10y13_e2beg[2] , \tile_x10y13_e2beg[1] , \tile_x10y13_e2beg[0] }),
.e2begb({ \tile_x10y13_e2begb[7] , \tile_x10y13_e2begb[6] , \tile_x10y13_e2begb[5] , \tile_x10y13_e2begb[4] , \tile_x10y13_e2begb[3] , \tile_x10y13_e2begb[2] , \tile_x10y13_e2begb[1] , \tile_x10y13_e2begb[0] }),
.e2end({ \tile_x9y13_e2begb[7] , \tile_x9y13_e2begb[6] , \tile_x9y13_e2begb[5] , \tile_x9y13_e2begb[4] , \tile_x9y13_e2begb[3] , \tile_x9y13_e2begb[2] , \tile_x9y13_e2begb[1] , \tile_x9y13_e2begb[0] }),
.e2mid({ \tile_x9y13_e2beg[7] , \tile_x9y13_e2beg[6] , \tile_x9y13_e2beg[5] , \tile_x9y13_e2beg[4] , \tile_x9y13_e2beg[3] , \tile_x9y13_e2beg[2] , \tile_x9y13_e2beg[1] , \tile_x9y13_e2beg[0] }),
.e6beg({ \tile_x10y13_e6beg[11] , \tile_x10y13_e6beg[10] , \tile_x10y13_e6beg[9] , \tile_x10y13_e6beg[8] , \tile_x10y13_e6beg[7] , \tile_x10y13_e6beg[6] , \tile_x10y13_e6beg[5] , \tile_x10y13_e6beg[4] , \tile_x10y13_e6beg[3] , \tile_x10y13_e6beg[2] , \tile_x10y13_e6beg[1] , \tile_x10y13_e6beg[0] }),
.e6end({ \tile_x9y13_e6beg[11] , \tile_x9y13_e6beg[10] , \tile_x9y13_e6beg[9] , \tile_x9y13_e6beg[8] , \tile_x9y13_e6beg[7] , \tile_x9y13_e6beg[6] , \tile_x9y13_e6beg[5] , \tile_x9y13_e6beg[4] , \tile_x9y13_e6beg[3] , \tile_x9y13_e6beg[2] , \tile_x9y13_e6beg[1] , \tile_x9y13_e6beg[0] }),
.ee4beg({ \tile_x10y13_ee4beg[15] , \tile_x10y13_ee4beg[14] , \tile_x10y13_ee4beg[13] , \tile_x10y13_ee4beg[12] , \tile_x10y13_ee4beg[11] , \tile_x10y13_ee4beg[10] , \tile_x10y13_ee4beg[9] , \tile_x10y13_ee4beg[8] , \tile_x10y13_ee4beg[7] , \tile_x10y13_ee4beg[6] , \tile_x10y13_ee4beg[5] , \tile_x10y13_ee4beg[4] , \tile_x10y13_ee4beg[3] , \tile_x10y13_ee4beg[2] , \tile_x10y13_ee4beg[1] , \tile_x10y13_ee4beg[0] }),
.ee4end({ \tile_x9y13_ee4beg[15] , \tile_x9y13_ee4beg[14] , \tile_x9y13_ee4beg[13] , \tile_x9y13_ee4beg[12] , \tile_x9y13_ee4beg[11] , \tile_x9y13_ee4beg[10] , \tile_x9y13_ee4beg[9] , \tile_x9y13_ee4beg[8] , \tile_x9y13_ee4beg[7] , \tile_x9y13_ee4beg[6] , \tile_x9y13_ee4beg[5] , \tile_x9y13_ee4beg[4] , \tile_x9y13_ee4beg[3] , \tile_x9y13_ee4beg[2] , \tile_x9y13_ee4beg[1] , \tile_x9y13_ee4beg[0] }),
.framedata({ \tile_x9y13_framedata_o[31] , \tile_x9y13_framedata_o[30] , \tile_x9y13_framedata_o[29] , \tile_x9y13_framedata_o[28] , \tile_x9y13_framedata_o[27] , \tile_x9y13_framedata_o[26] , \tile_x9y13_framedata_o[25] , \tile_x9y13_framedata_o[24] , \tile_x9y13_framedata_o[23] , \tile_x9y13_framedata_o[22] , \tile_x9y13_framedata_o[21] , \tile_x9y13_framedata_o[20] , \tile_x9y13_framedata_o[19] , \tile_x9y13_framedata_o[18] , \tile_x9y13_framedata_o[17] , \tile_x9y13_framedata_o[16] , \tile_x9y13_framedata_o[15] , \tile_x9y13_framedata_o[14] , \tile_x9y13_framedata_o[13] , \tile_x9y13_framedata_o[12] , \tile_x9y13_framedata_o[11] , \tile_x9y13_framedata_o[10] , \tile_x9y13_framedata_o[9] , \tile_x9y13_framedata_o[8] , \tile_x9y13_framedata_o[7] , \tile_x9y13_framedata_o[6] , \tile_x9y13_framedata_o[5] , \tile_x9y13_framedata_o[4] , \tile_x9y13_framedata_o[3] , \tile_x9y13_framedata_o[2] , \tile_x9y13_framedata_o[1] , \tile_x9y13_framedata_o[0] }),
.framedata_o({ \tile_x10y13_framedata_o[31] , \tile_x10y13_framedata_o[30] , \tile_x10y13_framedata_o[29] , \tile_x10y13_framedata_o[28] , \tile_x10y13_framedata_o[27] , \tile_x10y13_framedata_o[26] , \tile_x10y13_framedata_o[25] , \tile_x10y13_framedata_o[24] , \tile_x10y13_framedata_o[23] , \tile_x10y13_framedata_o[22] , \tile_x10y13_framedata_o[21] , \tile_x10y13_framedata_o[20] , \tile_x10y13_framedata_o[19] , \tile_x10y13_framedata_o[18] , \tile_x10y13_framedata_o[17] , \tile_x10y13_framedata_o[16] , \tile_x10y13_framedata_o[15] , \tile_x10y13_framedata_o[14] , \tile_x10y13_framedata_o[13] , \tile_x10y13_framedata_o[12] , \tile_x10y13_framedata_o[11] , \tile_x10y13_framedata_o[10] , \tile_x10y13_framedata_o[9] , \tile_x10y13_framedata_o[8] , \tile_x10y13_framedata_o[7] , \tile_x10y13_framedata_o[6] , \tile_x10y13_framedata_o[5] , \tile_x10y13_framedata_o[4] , \tile_x10y13_framedata_o[3] , \tile_x10y13_framedata_o[2] , \tile_x10y13_framedata_o[1] , \tile_x10y13_framedata_o[0] }),
.framestrobe({ \tile_x10y14_framestrobe_o[19] , \tile_x10y14_framestrobe_o[18] , \tile_x10y14_framestrobe_o[17] , \tile_x10y14_framestrobe_o[16] , \tile_x10y14_framestrobe_o[15] , \tile_x10y14_framestrobe_o[14] , \tile_x10y14_framestrobe_o[13] , \tile_x10y14_framestrobe_o[12] , \tile_x10y14_framestrobe_o[11] , \tile_x10y14_framestrobe_o[10] , \tile_x10y14_framestrobe_o[9] , \tile_x10y14_framestrobe_o[8] , \tile_x10y14_framestrobe_o[7] , \tile_x10y14_framestrobe_o[6] , \tile_x10y14_framestrobe_o[5] , \tile_x10y14_framestrobe_o[4] , \tile_x10y14_framestrobe_o[3] , \tile_x10y14_framestrobe_o[2] , \tile_x10y14_framestrobe_o[1] , \tile_x10y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y13_framestrobe_o[19] , \tile_x10y13_framestrobe_o[18] , \tile_x10y13_framestrobe_o[17] , \tile_x10y13_framestrobe_o[16] , \tile_x10y13_framestrobe_o[15] , \tile_x10y13_framestrobe_o[14] , \tile_x10y13_framestrobe_o[13] , \tile_x10y13_framestrobe_o[12] , \tile_x10y13_framestrobe_o[11] , \tile_x10y13_framestrobe_o[10] , \tile_x10y13_framestrobe_o[9] , \tile_x10y13_framestrobe_o[8] , \tile_x10y13_framestrobe_o[7] , \tile_x10y13_framestrobe_o[6] , \tile_x10y13_framestrobe_o[5] , \tile_x10y13_framestrobe_o[4] , \tile_x10y13_framestrobe_o[3] , \tile_x10y13_framestrobe_o[2] , \tile_x10y13_framestrobe_o[1] , \tile_x10y13_framestrobe_o[0] }),
.n1beg({ \tile_x10y13_n1beg[3] , \tile_x10y13_n1beg[2] , \tile_x10y13_n1beg[1] , \tile_x10y13_n1beg[0] }),
.n1end({ \tile_x10y14_n1beg[3] , \tile_x10y14_n1beg[2] , \tile_x10y14_n1beg[1] , \tile_x10y14_n1beg[0] }),
.n2beg({ \tile_x10y13_n2beg[7] , \tile_x10y13_n2beg[6] , \tile_x10y13_n2beg[5] , \tile_x10y13_n2beg[4] , \tile_x10y13_n2beg[3] , \tile_x10y13_n2beg[2] , \tile_x10y13_n2beg[1] , \tile_x10y13_n2beg[0] }),
.n2begb({ \tile_x10y13_n2begb[7] , \tile_x10y13_n2begb[6] , \tile_x10y13_n2begb[5] , \tile_x10y13_n2begb[4] , \tile_x10y13_n2begb[3] , \tile_x10y13_n2begb[2] , \tile_x10y13_n2begb[1] , \tile_x10y13_n2begb[0] }),
.n2end({ \tile_x10y14_n2begb[7] , \tile_x10y14_n2begb[6] , \tile_x10y14_n2begb[5] , \tile_x10y14_n2begb[4] , \tile_x10y14_n2begb[3] , \tile_x10y14_n2begb[2] , \tile_x10y14_n2begb[1] , \tile_x10y14_n2begb[0] }),
.n2mid({ \tile_x10y14_n2beg[7] , \tile_x10y14_n2beg[6] , \tile_x10y14_n2beg[5] , \tile_x10y14_n2beg[4] , \tile_x10y14_n2beg[3] , \tile_x10y14_n2beg[2] , \tile_x10y14_n2beg[1] , \tile_x10y14_n2beg[0] }),
.n4beg({ \tile_x10y13_n4beg[15] , \tile_x10y13_n4beg[14] , \tile_x10y13_n4beg[13] , \tile_x10y13_n4beg[12] , \tile_x10y13_n4beg[11] , \tile_x10y13_n4beg[10] , \tile_x10y13_n4beg[9] , \tile_x10y13_n4beg[8] , \tile_x10y13_n4beg[7] , \tile_x10y13_n4beg[6] , \tile_x10y13_n4beg[5] , \tile_x10y13_n4beg[4] , \tile_x10y13_n4beg[3] , \tile_x10y13_n4beg[2] , \tile_x10y13_n4beg[1] , \tile_x10y13_n4beg[0] }),
.n4end({ \tile_x10y14_n4beg[15] , \tile_x10y14_n4beg[14] , \tile_x10y14_n4beg[13] , \tile_x10y14_n4beg[12] , \tile_x10y14_n4beg[11] , \tile_x10y14_n4beg[10] , \tile_x10y14_n4beg[9] , \tile_x10y14_n4beg[8] , \tile_x10y14_n4beg[7] , \tile_x10y14_n4beg[6] , \tile_x10y14_n4beg[5] , \tile_x10y14_n4beg[4] , \tile_x10y14_n4beg[3] , \tile_x10y14_n4beg[2] , \tile_x10y14_n4beg[1] , \tile_x10y14_n4beg[0] }),
.nn4beg({ \tile_x10y13_nn4beg[15] , \tile_x10y13_nn4beg[14] , \tile_x10y13_nn4beg[13] , \tile_x10y13_nn4beg[12] , \tile_x10y13_nn4beg[11] , \tile_x10y13_nn4beg[10] , \tile_x10y13_nn4beg[9] , \tile_x10y13_nn4beg[8] , \tile_x10y13_nn4beg[7] , \tile_x10y13_nn4beg[6] , \tile_x10y13_nn4beg[5] , \tile_x10y13_nn4beg[4] , \tile_x10y13_nn4beg[3] , \tile_x10y13_nn4beg[2] , \tile_x10y13_nn4beg[1] , \tile_x10y13_nn4beg[0] }),
.nn4end({ \tile_x10y14_nn4beg[15] , \tile_x10y14_nn4beg[14] , \tile_x10y14_nn4beg[13] , \tile_x10y14_nn4beg[12] , \tile_x10y14_nn4beg[11] , \tile_x10y14_nn4beg[10] , \tile_x10y14_nn4beg[9] , \tile_x10y14_nn4beg[8] , \tile_x10y14_nn4beg[7] , \tile_x10y14_nn4beg[6] , \tile_x10y14_nn4beg[5] , \tile_x10y14_nn4beg[4] , \tile_x10y14_nn4beg[3] , \tile_x10y14_nn4beg[2] , \tile_x10y14_nn4beg[1] , \tile_x10y14_nn4beg[0] }),
.s1beg({ \tile_x10y13_s1beg[3] , \tile_x10y13_s1beg[2] , \tile_x10y13_s1beg[1] , \tile_x10y13_s1beg[0] }),
.s1end({ \tile_x10y12_s1beg[3] , \tile_x10y12_s1beg[2] , \tile_x10y12_s1beg[1] , \tile_x10y12_s1beg[0] }),
.s2beg({ \tile_x10y13_s2beg[7] , \tile_x10y13_s2beg[6] , \tile_x10y13_s2beg[5] , \tile_x10y13_s2beg[4] , \tile_x10y13_s2beg[3] , \tile_x10y13_s2beg[2] , \tile_x10y13_s2beg[1] , \tile_x10y13_s2beg[0] }),
.s2begb({ \tile_x10y13_s2begb[7] , \tile_x10y13_s2begb[6] , \tile_x10y13_s2begb[5] , \tile_x10y13_s2begb[4] , \tile_x10y13_s2begb[3] , \tile_x10y13_s2begb[2] , \tile_x10y13_s2begb[1] , \tile_x10y13_s2begb[0] }),
.s2end({ \tile_x10y12_s2begb[7] , \tile_x10y12_s2begb[6] , \tile_x10y12_s2begb[5] , \tile_x10y12_s2begb[4] , \tile_x10y12_s2begb[3] , \tile_x10y12_s2begb[2] , \tile_x10y12_s2begb[1] , \tile_x10y12_s2begb[0] }),
.s2mid({ \tile_x10y12_s2beg[7] , \tile_x10y12_s2beg[6] , \tile_x10y12_s2beg[5] , \tile_x10y12_s2beg[4] , \tile_x10y12_s2beg[3] , \tile_x10y12_s2beg[2] , \tile_x10y12_s2beg[1] , \tile_x10y12_s2beg[0] }),
.s4beg({ \tile_x10y13_s4beg[15] , \tile_x10y13_s4beg[14] , \tile_x10y13_s4beg[13] , \tile_x10y13_s4beg[12] , \tile_x10y13_s4beg[11] , \tile_x10y13_s4beg[10] , \tile_x10y13_s4beg[9] , \tile_x10y13_s4beg[8] , \tile_x10y13_s4beg[7] , \tile_x10y13_s4beg[6] , \tile_x10y13_s4beg[5] , \tile_x10y13_s4beg[4] , \tile_x10y13_s4beg[3] , \tile_x10y13_s4beg[2] , \tile_x10y13_s4beg[1] , \tile_x10y13_s4beg[0] }),
.s4end({ \tile_x10y12_s4beg[15] , \tile_x10y12_s4beg[14] , \tile_x10y12_s4beg[13] , \tile_x10y12_s4beg[12] , \tile_x10y12_s4beg[11] , \tile_x10y12_s4beg[10] , \tile_x10y12_s4beg[9] , \tile_x10y12_s4beg[8] , \tile_x10y12_s4beg[7] , \tile_x10y12_s4beg[6] , \tile_x10y12_s4beg[5] , \tile_x10y12_s4beg[4] , \tile_x10y12_s4beg[3] , \tile_x10y12_s4beg[2] , \tile_x10y12_s4beg[1] , \tile_x10y12_s4beg[0] }),
.ss4beg({ \tile_x10y13_ss4beg[15] , \tile_x10y13_ss4beg[14] , \tile_x10y13_ss4beg[13] , \tile_x10y13_ss4beg[12] , \tile_x10y13_ss4beg[11] , \tile_x10y13_ss4beg[10] , \tile_x10y13_ss4beg[9] , \tile_x10y13_ss4beg[8] , \tile_x10y13_ss4beg[7] , \tile_x10y13_ss4beg[6] , \tile_x10y13_ss4beg[5] , \tile_x10y13_ss4beg[4] , \tile_x10y13_ss4beg[3] , \tile_x10y13_ss4beg[2] , \tile_x10y13_ss4beg[1] , \tile_x10y13_ss4beg[0] }),
.ss4end({ \tile_x10y12_ss4beg[15] , \tile_x10y12_ss4beg[14] , \tile_x10y12_ss4beg[13] , \tile_x10y12_ss4beg[12] , \tile_x10y12_ss4beg[11] , \tile_x10y12_ss4beg[10] , \tile_x10y12_ss4beg[9] , \tile_x10y12_ss4beg[8] , \tile_x10y12_ss4beg[7] , \tile_x10y12_ss4beg[6] , \tile_x10y12_ss4beg[5] , \tile_x10y12_ss4beg[4] , \tile_x10y12_ss4beg[3] , \tile_x10y12_ss4beg[2] , \tile_x10y12_ss4beg[1] , \tile_x10y12_ss4beg[0] }),
.userclk(tile_x10y14_userclko),
.userclko(tile_x10y13_userclko),
.w1beg({ \tile_x10y13_w1beg[3] , \tile_x10y13_w1beg[2] , \tile_x10y13_w1beg[1] , \tile_x10y13_w1beg[0] }),
.w1end({ \tile_x11y13_w1beg[3] , \tile_x11y13_w1beg[2] , \tile_x11y13_w1beg[1] , \tile_x11y13_w1beg[0] }),
.w2beg({ \tile_x10y13_w2beg[7] , \tile_x10y13_w2beg[6] , \tile_x10y13_w2beg[5] , \tile_x10y13_w2beg[4] , \tile_x10y13_w2beg[3] , \tile_x10y13_w2beg[2] , \tile_x10y13_w2beg[1] , \tile_x10y13_w2beg[0] }),
.w2begb({ \tile_x10y13_w2begb[7] , \tile_x10y13_w2begb[6] , \tile_x10y13_w2begb[5] , \tile_x10y13_w2begb[4] , \tile_x10y13_w2begb[3] , \tile_x10y13_w2begb[2] , \tile_x10y13_w2begb[1] , \tile_x10y13_w2begb[0] }),
.w2end({ \tile_x11y13_w2begb[7] , \tile_x11y13_w2begb[6] , \tile_x11y13_w2begb[5] , \tile_x11y13_w2begb[4] , \tile_x11y13_w2begb[3] , \tile_x11y13_w2begb[2] , \tile_x11y13_w2begb[1] , \tile_x11y13_w2begb[0] }),
.w2mid({ \tile_x11y13_w2beg[7] , \tile_x11y13_w2beg[6] , \tile_x11y13_w2beg[5] , \tile_x11y13_w2beg[4] , \tile_x11y13_w2beg[3] , \tile_x11y13_w2beg[2] , \tile_x11y13_w2beg[1] , \tile_x11y13_w2beg[0] }),
.w6beg({ \tile_x10y13_w6beg[11] , \tile_x10y13_w6beg[10] , \tile_x10y13_w6beg[9] , \tile_x10y13_w6beg[8] , \tile_x10y13_w6beg[7] , \tile_x10y13_w6beg[6] , \tile_x10y13_w6beg[5] , \tile_x10y13_w6beg[4] , \tile_x10y13_w6beg[3] , \tile_x10y13_w6beg[2] , \tile_x10y13_w6beg[1] , \tile_x10y13_w6beg[0] }),
.w6end({ \tile_x11y13_w6beg[11] , \tile_x11y13_w6beg[10] , \tile_x11y13_w6beg[9] , \tile_x11y13_w6beg[8] , \tile_x11y13_w6beg[7] , \tile_x11y13_w6beg[6] , \tile_x11y13_w6beg[5] , \tile_x11y13_w6beg[4] , \tile_x11y13_w6beg[3] , \tile_x11y13_w6beg[2] , \tile_x11y13_w6beg[1] , \tile_x11y13_w6beg[0] }),
.ww4beg({ \tile_x10y13_ww4beg[15] , \tile_x10y13_ww4beg[14] , \tile_x10y13_ww4beg[13] , \tile_x10y13_ww4beg[12] , \tile_x10y13_ww4beg[11] , \tile_x10y13_ww4beg[10] , \tile_x10y13_ww4beg[9] , \tile_x10y13_ww4beg[8] , \tile_x10y13_ww4beg[7] , \tile_x10y13_ww4beg[6] , \tile_x10y13_ww4beg[5] , \tile_x10y13_ww4beg[4] , \tile_x10y13_ww4beg[3] , \tile_x10y13_ww4beg[2] , \tile_x10y13_ww4beg[1] , \tile_x10y13_ww4beg[0] }),
.ww4end({ \tile_x11y13_ww4beg[15] , \tile_x11y13_ww4beg[14] , \tile_x11y13_ww4beg[13] , \tile_x11y13_ww4beg[12] , \tile_x11y13_ww4beg[11] , \tile_x11y13_ww4beg[10] , \tile_x11y13_ww4beg[9] , \tile_x11y13_ww4beg[8] , \tile_x11y13_ww4beg[7] , \tile_x11y13_ww4beg[6] , \tile_x11y13_ww4beg[5] , \tile_x11y13_ww4beg[4] , \tile_x11y13_ww4beg[3] , \tile_x11y13_ww4beg[2] , \tile_x11y13_ww4beg[1] , \tile_x11y13_ww4beg[0] })
);
lut4ab tile_x10y14_lut4ab (
.ci(tile_x10y15_co),
.co(tile_x10y14_co),
.e1beg({ \tile_x10y14_e1beg[3] , \tile_x10y14_e1beg[2] , \tile_x10y14_e1beg[1] , \tile_x10y14_e1beg[0] }),
.e1end({ \tile_x9y14_e1beg[3] , \tile_x9y14_e1beg[2] , \tile_x9y14_e1beg[1] , \tile_x9y14_e1beg[0] }),
.e2beg({ \tile_x10y14_e2beg[7] , \tile_x10y14_e2beg[6] , \tile_x10y14_e2beg[5] , \tile_x10y14_e2beg[4] , \tile_x10y14_e2beg[3] , \tile_x10y14_e2beg[2] , \tile_x10y14_e2beg[1] , \tile_x10y14_e2beg[0] }),
.e2begb({ \tile_x10y14_e2begb[7] , \tile_x10y14_e2begb[6] , \tile_x10y14_e2begb[5] , \tile_x10y14_e2begb[4] , \tile_x10y14_e2begb[3] , \tile_x10y14_e2begb[2] , \tile_x10y14_e2begb[1] , \tile_x10y14_e2begb[0] }),
.e2end({ \tile_x9y14_e2begb[7] , \tile_x9y14_e2begb[6] , \tile_x9y14_e2begb[5] , \tile_x9y14_e2begb[4] , \tile_x9y14_e2begb[3] , \tile_x9y14_e2begb[2] , \tile_x9y14_e2begb[1] , \tile_x9y14_e2begb[0] }),
.e2mid({ \tile_x9y14_e2beg[7] , \tile_x9y14_e2beg[6] , \tile_x9y14_e2beg[5] , \tile_x9y14_e2beg[4] , \tile_x9y14_e2beg[3] , \tile_x9y14_e2beg[2] , \tile_x9y14_e2beg[1] , \tile_x9y14_e2beg[0] }),
.e6beg({ \tile_x10y14_e6beg[11] , \tile_x10y14_e6beg[10] , \tile_x10y14_e6beg[9] , \tile_x10y14_e6beg[8] , \tile_x10y14_e6beg[7] , \tile_x10y14_e6beg[6] , \tile_x10y14_e6beg[5] , \tile_x10y14_e6beg[4] , \tile_x10y14_e6beg[3] , \tile_x10y14_e6beg[2] , \tile_x10y14_e6beg[1] , \tile_x10y14_e6beg[0] }),
.e6end({ \tile_x9y14_e6beg[11] , \tile_x9y14_e6beg[10] , \tile_x9y14_e6beg[9] , \tile_x9y14_e6beg[8] , \tile_x9y14_e6beg[7] , \tile_x9y14_e6beg[6] , \tile_x9y14_e6beg[5] , \tile_x9y14_e6beg[4] , \tile_x9y14_e6beg[3] , \tile_x9y14_e6beg[2] , \tile_x9y14_e6beg[1] , \tile_x9y14_e6beg[0] }),
.ee4beg({ \tile_x10y14_ee4beg[15] , \tile_x10y14_ee4beg[14] , \tile_x10y14_ee4beg[13] , \tile_x10y14_ee4beg[12] , \tile_x10y14_ee4beg[11] , \tile_x10y14_ee4beg[10] , \tile_x10y14_ee4beg[9] , \tile_x10y14_ee4beg[8] , \tile_x10y14_ee4beg[7] , \tile_x10y14_ee4beg[6] , \tile_x10y14_ee4beg[5] , \tile_x10y14_ee4beg[4] , \tile_x10y14_ee4beg[3] , \tile_x10y14_ee4beg[2] , \tile_x10y14_ee4beg[1] , \tile_x10y14_ee4beg[0] }),
.ee4end({ \tile_x9y14_ee4beg[15] , \tile_x9y14_ee4beg[14] , \tile_x9y14_ee4beg[13] , \tile_x9y14_ee4beg[12] , \tile_x9y14_ee4beg[11] , \tile_x9y14_ee4beg[10] , \tile_x9y14_ee4beg[9] , \tile_x9y14_ee4beg[8] , \tile_x9y14_ee4beg[7] , \tile_x9y14_ee4beg[6] , \tile_x9y14_ee4beg[5] , \tile_x9y14_ee4beg[4] , \tile_x9y14_ee4beg[3] , \tile_x9y14_ee4beg[2] , \tile_x9y14_ee4beg[1] , \tile_x9y14_ee4beg[0] }),
.framedata({ \tile_x9y14_framedata_o[31] , \tile_x9y14_framedata_o[30] , \tile_x9y14_framedata_o[29] , \tile_x9y14_framedata_o[28] , \tile_x9y14_framedata_o[27] , \tile_x9y14_framedata_o[26] , \tile_x9y14_framedata_o[25] , \tile_x9y14_framedata_o[24] , \tile_x9y14_framedata_o[23] , \tile_x9y14_framedata_o[22] , \tile_x9y14_framedata_o[21] , \tile_x9y14_framedata_o[20] , \tile_x9y14_framedata_o[19] , \tile_x9y14_framedata_o[18] , \tile_x9y14_framedata_o[17] , \tile_x9y14_framedata_o[16] , \tile_x9y14_framedata_o[15] , \tile_x9y14_framedata_o[14] , \tile_x9y14_framedata_o[13] , \tile_x9y14_framedata_o[12] , \tile_x9y14_framedata_o[11] , \tile_x9y14_framedata_o[10] , \tile_x9y14_framedata_o[9] , \tile_x9y14_framedata_o[8] , \tile_x9y14_framedata_o[7] , \tile_x9y14_framedata_o[6] , \tile_x9y14_framedata_o[5] , \tile_x9y14_framedata_o[4] , \tile_x9y14_framedata_o[3] , \tile_x9y14_framedata_o[2] , \tile_x9y14_framedata_o[1] , \tile_x9y14_framedata_o[0] }),
.framedata_o({ \tile_x10y14_framedata_o[31] , \tile_x10y14_framedata_o[30] , \tile_x10y14_framedata_o[29] , \tile_x10y14_framedata_o[28] , \tile_x10y14_framedata_o[27] , \tile_x10y14_framedata_o[26] , \tile_x10y14_framedata_o[25] , \tile_x10y14_framedata_o[24] , \tile_x10y14_framedata_o[23] , \tile_x10y14_framedata_o[22] , \tile_x10y14_framedata_o[21] , \tile_x10y14_framedata_o[20] , \tile_x10y14_framedata_o[19] , \tile_x10y14_framedata_o[18] , \tile_x10y14_framedata_o[17] , \tile_x10y14_framedata_o[16] , \tile_x10y14_framedata_o[15] , \tile_x10y14_framedata_o[14] , \tile_x10y14_framedata_o[13] , \tile_x10y14_framedata_o[12] , \tile_x10y14_framedata_o[11] , \tile_x10y14_framedata_o[10] , \tile_x10y14_framedata_o[9] , \tile_x10y14_framedata_o[8] , \tile_x10y14_framedata_o[7] , \tile_x10y14_framedata_o[6] , \tile_x10y14_framedata_o[5] , \tile_x10y14_framedata_o[4] , \tile_x10y14_framedata_o[3] , \tile_x10y14_framedata_o[2] , \tile_x10y14_framedata_o[1] , \tile_x10y14_framedata_o[0] }),
.framestrobe({ \tile_x10y15_framestrobe_o[19] , \tile_x10y15_framestrobe_o[18] , \tile_x10y15_framestrobe_o[17] , \tile_x10y15_framestrobe_o[16] , \tile_x10y15_framestrobe_o[15] , \tile_x10y15_framestrobe_o[14] , \tile_x10y15_framestrobe_o[13] , \tile_x10y15_framestrobe_o[12] , \tile_x10y15_framestrobe_o[11] , \tile_x10y15_framestrobe_o[10] , \tile_x10y15_framestrobe_o[9] , \tile_x10y15_framestrobe_o[8] , \tile_x10y15_framestrobe_o[7] , \tile_x10y15_framestrobe_o[6] , \tile_x10y15_framestrobe_o[5] , \tile_x10y15_framestrobe_o[4] , \tile_x10y15_framestrobe_o[3] , \tile_x10y15_framestrobe_o[2] , \tile_x10y15_framestrobe_o[1] , \tile_x10y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y14_framestrobe_o[19] , \tile_x10y14_framestrobe_o[18] , \tile_x10y14_framestrobe_o[17] , \tile_x10y14_framestrobe_o[16] , \tile_x10y14_framestrobe_o[15] , \tile_x10y14_framestrobe_o[14] , \tile_x10y14_framestrobe_o[13] , \tile_x10y14_framestrobe_o[12] , \tile_x10y14_framestrobe_o[11] , \tile_x10y14_framestrobe_o[10] , \tile_x10y14_framestrobe_o[9] , \tile_x10y14_framestrobe_o[8] , \tile_x10y14_framestrobe_o[7] , \tile_x10y14_framestrobe_o[6] , \tile_x10y14_framestrobe_o[5] , \tile_x10y14_framestrobe_o[4] , \tile_x10y14_framestrobe_o[3] , \tile_x10y14_framestrobe_o[2] , \tile_x10y14_framestrobe_o[1] , \tile_x10y14_framestrobe_o[0] }),
.n1beg({ \tile_x10y14_n1beg[3] , \tile_x10y14_n1beg[2] , \tile_x10y14_n1beg[1] , \tile_x10y14_n1beg[0] }),
.n1end({ \tile_x10y15_n1beg[3] , \tile_x10y15_n1beg[2] , \tile_x10y15_n1beg[1] , \tile_x10y15_n1beg[0] }),
.n2beg({ \tile_x10y14_n2beg[7] , \tile_x10y14_n2beg[6] , \tile_x10y14_n2beg[5] , \tile_x10y14_n2beg[4] , \tile_x10y14_n2beg[3] , \tile_x10y14_n2beg[2] , \tile_x10y14_n2beg[1] , \tile_x10y14_n2beg[0] }),
.n2begb({ \tile_x10y14_n2begb[7] , \tile_x10y14_n2begb[6] , \tile_x10y14_n2begb[5] , \tile_x10y14_n2begb[4] , \tile_x10y14_n2begb[3] , \tile_x10y14_n2begb[2] , \tile_x10y14_n2begb[1] , \tile_x10y14_n2begb[0] }),
.n2end({ \tile_x10y15_n2begb[7] , \tile_x10y15_n2begb[6] , \tile_x10y15_n2begb[5] , \tile_x10y15_n2begb[4] , \tile_x10y15_n2begb[3] , \tile_x10y15_n2begb[2] , \tile_x10y15_n2begb[1] , \tile_x10y15_n2begb[0] }),
.n2mid({ \tile_x10y15_n2beg[7] , \tile_x10y15_n2beg[6] , \tile_x10y15_n2beg[5] , \tile_x10y15_n2beg[4] , \tile_x10y15_n2beg[3] , \tile_x10y15_n2beg[2] , \tile_x10y15_n2beg[1] , \tile_x10y15_n2beg[0] }),
.n4beg({ \tile_x10y14_n4beg[15] , \tile_x10y14_n4beg[14] , \tile_x10y14_n4beg[13] , \tile_x10y14_n4beg[12] , \tile_x10y14_n4beg[11] , \tile_x10y14_n4beg[10] , \tile_x10y14_n4beg[9] , \tile_x10y14_n4beg[8] , \tile_x10y14_n4beg[7] , \tile_x10y14_n4beg[6] , \tile_x10y14_n4beg[5] , \tile_x10y14_n4beg[4] , \tile_x10y14_n4beg[3] , \tile_x10y14_n4beg[2] , \tile_x10y14_n4beg[1] , \tile_x10y14_n4beg[0] }),
.n4end({ \tile_x10y15_n4beg[15] , \tile_x10y15_n4beg[14] , \tile_x10y15_n4beg[13] , \tile_x10y15_n4beg[12] , \tile_x10y15_n4beg[11] , \tile_x10y15_n4beg[10] , \tile_x10y15_n4beg[9] , \tile_x10y15_n4beg[8] , \tile_x10y15_n4beg[7] , \tile_x10y15_n4beg[6] , \tile_x10y15_n4beg[5] , \tile_x10y15_n4beg[4] , \tile_x10y15_n4beg[3] , \tile_x10y15_n4beg[2] , \tile_x10y15_n4beg[1] , \tile_x10y15_n4beg[0] }),
.nn4beg({ \tile_x10y14_nn4beg[15] , \tile_x10y14_nn4beg[14] , \tile_x10y14_nn4beg[13] , \tile_x10y14_nn4beg[12] , \tile_x10y14_nn4beg[11] , \tile_x10y14_nn4beg[10] , \tile_x10y14_nn4beg[9] , \tile_x10y14_nn4beg[8] , \tile_x10y14_nn4beg[7] , \tile_x10y14_nn4beg[6] , \tile_x10y14_nn4beg[5] , \tile_x10y14_nn4beg[4] , \tile_x10y14_nn4beg[3] , \tile_x10y14_nn4beg[2] , \tile_x10y14_nn4beg[1] , \tile_x10y14_nn4beg[0] }),
.nn4end({ \tile_x10y15_nn4beg[15] , \tile_x10y15_nn4beg[14] , \tile_x10y15_nn4beg[13] , \tile_x10y15_nn4beg[12] , \tile_x10y15_nn4beg[11] , \tile_x10y15_nn4beg[10] , \tile_x10y15_nn4beg[9] , \tile_x10y15_nn4beg[8] , \tile_x10y15_nn4beg[7] , \tile_x10y15_nn4beg[6] , \tile_x10y15_nn4beg[5] , \tile_x10y15_nn4beg[4] , \tile_x10y15_nn4beg[3] , \tile_x10y15_nn4beg[2] , \tile_x10y15_nn4beg[1] , \tile_x10y15_nn4beg[0] }),
.s1beg({ \tile_x10y14_s1beg[3] , \tile_x10y14_s1beg[2] , \tile_x10y14_s1beg[1] , \tile_x10y14_s1beg[0] }),
.s1end({ \tile_x10y13_s1beg[3] , \tile_x10y13_s1beg[2] , \tile_x10y13_s1beg[1] , \tile_x10y13_s1beg[0] }),
.s2beg({ \tile_x10y14_s2beg[7] , \tile_x10y14_s2beg[6] , \tile_x10y14_s2beg[5] , \tile_x10y14_s2beg[4] , \tile_x10y14_s2beg[3] , \tile_x10y14_s2beg[2] , \tile_x10y14_s2beg[1] , \tile_x10y14_s2beg[0] }),
.s2begb({ \tile_x10y14_s2begb[7] , \tile_x10y14_s2begb[6] , \tile_x10y14_s2begb[5] , \tile_x10y14_s2begb[4] , \tile_x10y14_s2begb[3] , \tile_x10y14_s2begb[2] , \tile_x10y14_s2begb[1] , \tile_x10y14_s2begb[0] }),
.s2end({ \tile_x10y13_s2begb[7] , \tile_x10y13_s2begb[6] , \tile_x10y13_s2begb[5] , \tile_x10y13_s2begb[4] , \tile_x10y13_s2begb[3] , \tile_x10y13_s2begb[2] , \tile_x10y13_s2begb[1] , \tile_x10y13_s2begb[0] }),
.s2mid({ \tile_x10y13_s2beg[7] , \tile_x10y13_s2beg[6] , \tile_x10y13_s2beg[5] , \tile_x10y13_s2beg[4] , \tile_x10y13_s2beg[3] , \tile_x10y13_s2beg[2] , \tile_x10y13_s2beg[1] , \tile_x10y13_s2beg[0] }),
.s4beg({ \tile_x10y14_s4beg[15] , \tile_x10y14_s4beg[14] , \tile_x10y14_s4beg[13] , \tile_x10y14_s4beg[12] , \tile_x10y14_s4beg[11] , \tile_x10y14_s4beg[10] , \tile_x10y14_s4beg[9] , \tile_x10y14_s4beg[8] , \tile_x10y14_s4beg[7] , \tile_x10y14_s4beg[6] , \tile_x10y14_s4beg[5] , \tile_x10y14_s4beg[4] , \tile_x10y14_s4beg[3] , \tile_x10y14_s4beg[2] , \tile_x10y14_s4beg[1] , \tile_x10y14_s4beg[0] }),
.s4end({ \tile_x10y13_s4beg[15] , \tile_x10y13_s4beg[14] , \tile_x10y13_s4beg[13] , \tile_x10y13_s4beg[12] , \tile_x10y13_s4beg[11] , \tile_x10y13_s4beg[10] , \tile_x10y13_s4beg[9] , \tile_x10y13_s4beg[8] , \tile_x10y13_s4beg[7] , \tile_x10y13_s4beg[6] , \tile_x10y13_s4beg[5] , \tile_x10y13_s4beg[4] , \tile_x10y13_s4beg[3] , \tile_x10y13_s4beg[2] , \tile_x10y13_s4beg[1] , \tile_x10y13_s4beg[0] }),
.ss4beg({ \tile_x10y14_ss4beg[15] , \tile_x10y14_ss4beg[14] , \tile_x10y14_ss4beg[13] , \tile_x10y14_ss4beg[12] , \tile_x10y14_ss4beg[11] , \tile_x10y14_ss4beg[10] , \tile_x10y14_ss4beg[9] , \tile_x10y14_ss4beg[8] , \tile_x10y14_ss4beg[7] , \tile_x10y14_ss4beg[6] , \tile_x10y14_ss4beg[5] , \tile_x10y14_ss4beg[4] , \tile_x10y14_ss4beg[3] , \tile_x10y14_ss4beg[2] , \tile_x10y14_ss4beg[1] , \tile_x10y14_ss4beg[0] }),
.ss4end({ \tile_x10y13_ss4beg[15] , \tile_x10y13_ss4beg[14] , \tile_x10y13_ss4beg[13] , \tile_x10y13_ss4beg[12] , \tile_x10y13_ss4beg[11] , \tile_x10y13_ss4beg[10] , \tile_x10y13_ss4beg[9] , \tile_x10y13_ss4beg[8] , \tile_x10y13_ss4beg[7] , \tile_x10y13_ss4beg[6] , \tile_x10y13_ss4beg[5] , \tile_x10y13_ss4beg[4] , \tile_x10y13_ss4beg[3] , \tile_x10y13_ss4beg[2] , \tile_x10y13_ss4beg[1] , \tile_x10y13_ss4beg[0] }),
.userclk(tile_x10y15_userclko),
.userclko(tile_x10y14_userclko),
.w1beg({ \tile_x10y14_w1beg[3] , \tile_x10y14_w1beg[2] , \tile_x10y14_w1beg[1] , \tile_x10y14_w1beg[0] }),
.w1end({ \tile_x11y14_w1beg[3] , \tile_x11y14_w1beg[2] , \tile_x11y14_w1beg[1] , \tile_x11y14_w1beg[0] }),
.w2beg({ \tile_x10y14_w2beg[7] , \tile_x10y14_w2beg[6] , \tile_x10y14_w2beg[5] , \tile_x10y14_w2beg[4] , \tile_x10y14_w2beg[3] , \tile_x10y14_w2beg[2] , \tile_x10y14_w2beg[1] , \tile_x10y14_w2beg[0] }),
.w2begb({ \tile_x10y14_w2begb[7] , \tile_x10y14_w2begb[6] , \tile_x10y14_w2begb[5] , \tile_x10y14_w2begb[4] , \tile_x10y14_w2begb[3] , \tile_x10y14_w2begb[2] , \tile_x10y14_w2begb[1] , \tile_x10y14_w2begb[0] }),
.w2end({ \tile_x11y14_w2begb[7] , \tile_x11y14_w2begb[6] , \tile_x11y14_w2begb[5] , \tile_x11y14_w2begb[4] , \tile_x11y14_w2begb[3] , \tile_x11y14_w2begb[2] , \tile_x11y14_w2begb[1] , \tile_x11y14_w2begb[0] }),
.w2mid({ \tile_x11y14_w2beg[7] , \tile_x11y14_w2beg[6] , \tile_x11y14_w2beg[5] , \tile_x11y14_w2beg[4] , \tile_x11y14_w2beg[3] , \tile_x11y14_w2beg[2] , \tile_x11y14_w2beg[1] , \tile_x11y14_w2beg[0] }),
.w6beg({ \tile_x10y14_w6beg[11] , \tile_x10y14_w6beg[10] , \tile_x10y14_w6beg[9] , \tile_x10y14_w6beg[8] , \tile_x10y14_w6beg[7] , \tile_x10y14_w6beg[6] , \tile_x10y14_w6beg[5] , \tile_x10y14_w6beg[4] , \tile_x10y14_w6beg[3] , \tile_x10y14_w6beg[2] , \tile_x10y14_w6beg[1] , \tile_x10y14_w6beg[0] }),
.w6end({ \tile_x11y14_w6beg[11] , \tile_x11y14_w6beg[10] , \tile_x11y14_w6beg[9] , \tile_x11y14_w6beg[8] , \tile_x11y14_w6beg[7] , \tile_x11y14_w6beg[6] , \tile_x11y14_w6beg[5] , \tile_x11y14_w6beg[4] , \tile_x11y14_w6beg[3] , \tile_x11y14_w6beg[2] , \tile_x11y14_w6beg[1] , \tile_x11y14_w6beg[0] }),
.ww4beg({ \tile_x10y14_ww4beg[15] , \tile_x10y14_ww4beg[14] , \tile_x10y14_ww4beg[13] , \tile_x10y14_ww4beg[12] , \tile_x10y14_ww4beg[11] , \tile_x10y14_ww4beg[10] , \tile_x10y14_ww4beg[9] , \tile_x10y14_ww4beg[8] , \tile_x10y14_ww4beg[7] , \tile_x10y14_ww4beg[6] , \tile_x10y14_ww4beg[5] , \tile_x10y14_ww4beg[4] , \tile_x10y14_ww4beg[3] , \tile_x10y14_ww4beg[2] , \tile_x10y14_ww4beg[1] , \tile_x10y14_ww4beg[0] }),
.ww4end({ \tile_x11y14_ww4beg[15] , \tile_x11y14_ww4beg[14] , \tile_x11y14_ww4beg[13] , \tile_x11y14_ww4beg[12] , \tile_x11y14_ww4beg[11] , \tile_x11y14_ww4beg[10] , \tile_x11y14_ww4beg[9] , \tile_x11y14_ww4beg[8] , \tile_x11y14_ww4beg[7] , \tile_x11y14_ww4beg[6] , \tile_x11y14_ww4beg[5] , \tile_x11y14_ww4beg[4] , \tile_x11y14_ww4beg[3] , \tile_x11y14_ww4beg[2] , \tile_x11y14_ww4beg[1] , \tile_x11y14_ww4beg[0] })
);
s_term_single tile_x10y15_s_term_single (
.co(tile_x10y15_co),
.framestrobe(framestrobe[219:200]),
.framestrobe_o({ \tile_x10y15_framestrobe_o[19] , \tile_x10y15_framestrobe_o[18] , \tile_x10y15_framestrobe_o[17] , \tile_x10y15_framestrobe_o[16] , \tile_x10y15_framestrobe_o[15] , \tile_x10y15_framestrobe_o[14] , \tile_x10y15_framestrobe_o[13] , \tile_x10y15_framestrobe_o[12] , \tile_x10y15_framestrobe_o[11] , \tile_x10y15_framestrobe_o[10] , \tile_x10y15_framestrobe_o[9] , \tile_x10y15_framestrobe_o[8] , \tile_x10y15_framestrobe_o[7] , \tile_x10y15_framestrobe_o[6] , \tile_x10y15_framestrobe_o[5] , \tile_x10y15_framestrobe_o[4] , \tile_x10y15_framestrobe_o[3] , \tile_x10y15_framestrobe_o[2] , \tile_x10y15_framestrobe_o[1] , \tile_x10y15_framestrobe_o[0] }),
.n1beg({ \tile_x10y15_n1beg[3] , \tile_x10y15_n1beg[2] , \tile_x10y15_n1beg[1] , \tile_x10y15_n1beg[0] }),
.n2beg({ \tile_x10y15_n2beg[7] , \tile_x10y15_n2beg[6] , \tile_x10y15_n2beg[5] , \tile_x10y15_n2beg[4] , \tile_x10y15_n2beg[3] , \tile_x10y15_n2beg[2] , \tile_x10y15_n2beg[1] , \tile_x10y15_n2beg[0] }),
.n2begb({ \tile_x10y15_n2begb[7] , \tile_x10y15_n2begb[6] , \tile_x10y15_n2begb[5] , \tile_x10y15_n2begb[4] , \tile_x10y15_n2begb[3] , \tile_x10y15_n2begb[2] , \tile_x10y15_n2begb[1] , \tile_x10y15_n2begb[0] }),
.n4beg({ \tile_x10y15_n4beg[15] , \tile_x10y15_n4beg[14] , \tile_x10y15_n4beg[13] , \tile_x10y15_n4beg[12] , \tile_x10y15_n4beg[11] , \tile_x10y15_n4beg[10] , \tile_x10y15_n4beg[9] , \tile_x10y15_n4beg[8] , \tile_x10y15_n4beg[7] , \tile_x10y15_n4beg[6] , \tile_x10y15_n4beg[5] , \tile_x10y15_n4beg[4] , \tile_x10y15_n4beg[3] , \tile_x10y15_n4beg[2] , \tile_x10y15_n4beg[1] , \tile_x10y15_n4beg[0] }),
.nn4beg({ \tile_x10y15_nn4beg[15] , \tile_x10y15_nn4beg[14] , \tile_x10y15_nn4beg[13] , \tile_x10y15_nn4beg[12] , \tile_x10y15_nn4beg[11] , \tile_x10y15_nn4beg[10] , \tile_x10y15_nn4beg[9] , \tile_x10y15_nn4beg[8] , \tile_x10y15_nn4beg[7] , \tile_x10y15_nn4beg[6] , \tile_x10y15_nn4beg[5] , \tile_x10y15_nn4beg[4] , \tile_x10y15_nn4beg[3] , \tile_x10y15_nn4beg[2] , \tile_x10y15_nn4beg[1] , \tile_x10y15_nn4beg[0] }),
.s1end({ \tile_x10y14_s1beg[3] , \tile_x10y14_s1beg[2] , \tile_x10y14_s1beg[1] , \tile_x10y14_s1beg[0] }),
.s2end({ \tile_x10y14_s2begb[7] , \tile_x10y14_s2begb[6] , \tile_x10y14_s2begb[5] , \tile_x10y14_s2begb[4] , \tile_x10y14_s2begb[3] , \tile_x10y14_s2begb[2] , \tile_x10y14_s2begb[1] , \tile_x10y14_s2begb[0] }),
.s2mid({ \tile_x10y14_s2beg[7] , \tile_x10y14_s2beg[6] , \tile_x10y14_s2beg[5] , \tile_x10y14_s2beg[4] , \tile_x10y14_s2beg[3] , \tile_x10y14_s2beg[2] , \tile_x10y14_s2beg[1] , \tile_x10y14_s2beg[0] }),
.s4end({ \tile_x10y14_s4beg[15] , \tile_x10y14_s4beg[14] , \tile_x10y14_s4beg[13] , \tile_x10y14_s4beg[12] , \tile_x10y14_s4beg[11] , \tile_x10y14_s4beg[10] , \tile_x10y14_s4beg[9] , \tile_x10y14_s4beg[8] , \tile_x10y14_s4beg[7] , \tile_x10y14_s4beg[6] , \tile_x10y14_s4beg[5] , \tile_x10y14_s4beg[4] , \tile_x10y14_s4beg[3] , \tile_x10y14_s4beg[2] , \tile_x10y14_s4beg[1] , \tile_x10y14_s4beg[0] }),
.ss4end({ \tile_x10y14_ss4beg[15] , \tile_x10y14_ss4beg[14] , \tile_x10y14_ss4beg[13] , \tile_x10y14_ss4beg[12] , \tile_x10y14_ss4beg[11] , \tile_x10y14_ss4beg[10] , \tile_x10y14_ss4beg[9] , \tile_x10y14_ss4beg[8] , \tile_x10y14_ss4beg[7] , \tile_x10y14_ss4beg[6] , \tile_x10y14_ss4beg[5] , \tile_x10y14_ss4beg[4] , \tile_x10y14_ss4beg[3] , \tile_x10y14_ss4beg[2] , \tile_x10y14_ss4beg[1] , \tile_x10y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x10y15_userclko)
);
lut4ab tile_x10y1_lut4ab (
.ci(tile_x10y2_co),
.co(tile_x10y1_co),
.e1beg({ \tile_x10y1_e1beg[3] , \tile_x10y1_e1beg[2] , \tile_x10y1_e1beg[1] , \tile_x10y1_e1beg[0] }),
.e1end({ \tile_x9y1_e1beg[3] , \tile_x9y1_e1beg[2] , \tile_x9y1_e1beg[1] , \tile_x9y1_e1beg[0] }),
.e2beg({ \tile_x10y1_e2beg[7] , \tile_x10y1_e2beg[6] , \tile_x10y1_e2beg[5] , \tile_x10y1_e2beg[4] , \tile_x10y1_e2beg[3] , \tile_x10y1_e2beg[2] , \tile_x10y1_e2beg[1] , \tile_x10y1_e2beg[0] }),
.e2begb({ \tile_x10y1_e2begb[7] , \tile_x10y1_e2begb[6] , \tile_x10y1_e2begb[5] , \tile_x10y1_e2begb[4] , \tile_x10y1_e2begb[3] , \tile_x10y1_e2begb[2] , \tile_x10y1_e2begb[1] , \tile_x10y1_e2begb[0] }),
.e2end({ \tile_x9y1_e2begb[7] , \tile_x9y1_e2begb[6] , \tile_x9y1_e2begb[5] , \tile_x9y1_e2begb[4] , \tile_x9y1_e2begb[3] , \tile_x9y1_e2begb[2] , \tile_x9y1_e2begb[1] , \tile_x9y1_e2begb[0] }),
.e2mid({ \tile_x9y1_e2beg[7] , \tile_x9y1_e2beg[6] , \tile_x9y1_e2beg[5] , \tile_x9y1_e2beg[4] , \tile_x9y1_e2beg[3] , \tile_x9y1_e2beg[2] , \tile_x9y1_e2beg[1] , \tile_x9y1_e2beg[0] }),
.e6beg({ \tile_x10y1_e6beg[11] , \tile_x10y1_e6beg[10] , \tile_x10y1_e6beg[9] , \tile_x10y1_e6beg[8] , \tile_x10y1_e6beg[7] , \tile_x10y1_e6beg[6] , \tile_x10y1_e6beg[5] , \tile_x10y1_e6beg[4] , \tile_x10y1_e6beg[3] , \tile_x10y1_e6beg[2] , \tile_x10y1_e6beg[1] , \tile_x10y1_e6beg[0] }),
.e6end({ \tile_x9y1_e6beg[11] , \tile_x9y1_e6beg[10] , \tile_x9y1_e6beg[9] , \tile_x9y1_e6beg[8] , \tile_x9y1_e6beg[7] , \tile_x9y1_e6beg[6] , \tile_x9y1_e6beg[5] , \tile_x9y1_e6beg[4] , \tile_x9y1_e6beg[3] , \tile_x9y1_e6beg[2] , \tile_x9y1_e6beg[1] , \tile_x9y1_e6beg[0] }),
.ee4beg({ \tile_x10y1_ee4beg[15] , \tile_x10y1_ee4beg[14] , \tile_x10y1_ee4beg[13] , \tile_x10y1_ee4beg[12] , \tile_x10y1_ee4beg[11] , \tile_x10y1_ee4beg[10] , \tile_x10y1_ee4beg[9] , \tile_x10y1_ee4beg[8] , \tile_x10y1_ee4beg[7] , \tile_x10y1_ee4beg[6] , \tile_x10y1_ee4beg[5] , \tile_x10y1_ee4beg[4] , \tile_x10y1_ee4beg[3] , \tile_x10y1_ee4beg[2] , \tile_x10y1_ee4beg[1] , \tile_x10y1_ee4beg[0] }),
.ee4end({ \tile_x9y1_ee4beg[15] , \tile_x9y1_ee4beg[14] , \tile_x9y1_ee4beg[13] , \tile_x9y1_ee4beg[12] , \tile_x9y1_ee4beg[11] , \tile_x9y1_ee4beg[10] , \tile_x9y1_ee4beg[9] , \tile_x9y1_ee4beg[8] , \tile_x9y1_ee4beg[7] , \tile_x9y1_ee4beg[6] , \tile_x9y1_ee4beg[5] , \tile_x9y1_ee4beg[4] , \tile_x9y1_ee4beg[3] , \tile_x9y1_ee4beg[2] , \tile_x9y1_ee4beg[1] , \tile_x9y1_ee4beg[0] }),
.framedata({ \tile_x9y1_framedata_o[31] , \tile_x9y1_framedata_o[30] , \tile_x9y1_framedata_o[29] , \tile_x9y1_framedata_o[28] , \tile_x9y1_framedata_o[27] , \tile_x9y1_framedata_o[26] , \tile_x9y1_framedata_o[25] , \tile_x9y1_framedata_o[24] , \tile_x9y1_framedata_o[23] , \tile_x9y1_framedata_o[22] , \tile_x9y1_framedata_o[21] , \tile_x9y1_framedata_o[20] , \tile_x9y1_framedata_o[19] , \tile_x9y1_framedata_o[18] , \tile_x9y1_framedata_o[17] , \tile_x9y1_framedata_o[16] , \tile_x9y1_framedata_o[15] , \tile_x9y1_framedata_o[14] , \tile_x9y1_framedata_o[13] , \tile_x9y1_framedata_o[12] , \tile_x9y1_framedata_o[11] , \tile_x9y1_framedata_o[10] , \tile_x9y1_framedata_o[9] , \tile_x9y1_framedata_o[8] , \tile_x9y1_framedata_o[7] , \tile_x9y1_framedata_o[6] , \tile_x9y1_framedata_o[5] , \tile_x9y1_framedata_o[4] , \tile_x9y1_framedata_o[3] , \tile_x9y1_framedata_o[2] , \tile_x9y1_framedata_o[1] , \tile_x9y1_framedata_o[0] }),
.framedata_o({ \tile_x10y1_framedata_o[31] , \tile_x10y1_framedata_o[30] , \tile_x10y1_framedata_o[29] , \tile_x10y1_framedata_o[28] , \tile_x10y1_framedata_o[27] , \tile_x10y1_framedata_o[26] , \tile_x10y1_framedata_o[25] , \tile_x10y1_framedata_o[24] , \tile_x10y1_framedata_o[23] , \tile_x10y1_framedata_o[22] , \tile_x10y1_framedata_o[21] , \tile_x10y1_framedata_o[20] , \tile_x10y1_framedata_o[19] , \tile_x10y1_framedata_o[18] , \tile_x10y1_framedata_o[17] , \tile_x10y1_framedata_o[16] , \tile_x10y1_framedata_o[15] , \tile_x10y1_framedata_o[14] , \tile_x10y1_framedata_o[13] , \tile_x10y1_framedata_o[12] , \tile_x10y1_framedata_o[11] , \tile_x10y1_framedata_o[10] , \tile_x10y1_framedata_o[9] , \tile_x10y1_framedata_o[8] , \tile_x10y1_framedata_o[7] , \tile_x10y1_framedata_o[6] , \tile_x10y1_framedata_o[5] , \tile_x10y1_framedata_o[4] , \tile_x10y1_framedata_o[3] , \tile_x10y1_framedata_o[2] , \tile_x10y1_framedata_o[1] , \tile_x10y1_framedata_o[0] }),
.framestrobe({ \tile_x10y2_framestrobe_o[19] , \tile_x10y2_framestrobe_o[18] , \tile_x10y2_framestrobe_o[17] , \tile_x10y2_framestrobe_o[16] , \tile_x10y2_framestrobe_o[15] , \tile_x10y2_framestrobe_o[14] , \tile_x10y2_framestrobe_o[13] , \tile_x10y2_framestrobe_o[12] , \tile_x10y2_framestrobe_o[11] , \tile_x10y2_framestrobe_o[10] , \tile_x10y2_framestrobe_o[9] , \tile_x10y2_framestrobe_o[8] , \tile_x10y2_framestrobe_o[7] , \tile_x10y2_framestrobe_o[6] , \tile_x10y2_framestrobe_o[5] , \tile_x10y2_framestrobe_o[4] , \tile_x10y2_framestrobe_o[3] , \tile_x10y2_framestrobe_o[2] , \tile_x10y2_framestrobe_o[1] , \tile_x10y2_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y1_framestrobe_o[19] , \tile_x10y1_framestrobe_o[18] , \tile_x10y1_framestrobe_o[17] , \tile_x10y1_framestrobe_o[16] , \tile_x10y1_framestrobe_o[15] , \tile_x10y1_framestrobe_o[14] , \tile_x10y1_framestrobe_o[13] , \tile_x10y1_framestrobe_o[12] , \tile_x10y1_framestrobe_o[11] , \tile_x10y1_framestrobe_o[10] , \tile_x10y1_framestrobe_o[9] , \tile_x10y1_framestrobe_o[8] , \tile_x10y1_framestrobe_o[7] , \tile_x10y1_framestrobe_o[6] , \tile_x10y1_framestrobe_o[5] , \tile_x10y1_framestrobe_o[4] , \tile_x10y1_framestrobe_o[3] , \tile_x10y1_framestrobe_o[2] , \tile_x10y1_framestrobe_o[1] , \tile_x10y1_framestrobe_o[0] }),
.n1beg({ \tile_x10y1_n1beg[3] , \tile_x10y1_n1beg[2] , \tile_x10y1_n1beg[1] , \tile_x10y1_n1beg[0] }),
.n1end({ \tile_x10y2_n1beg[3] , \tile_x10y2_n1beg[2] , \tile_x10y2_n1beg[1] , \tile_x10y2_n1beg[0] }),
.n2beg({ \tile_x10y1_n2beg[7] , \tile_x10y1_n2beg[6] , \tile_x10y1_n2beg[5] , \tile_x10y1_n2beg[4] , \tile_x10y1_n2beg[3] , \tile_x10y1_n2beg[2] , \tile_x10y1_n2beg[1] , \tile_x10y1_n2beg[0] }),
.n2begb({ \tile_x10y1_n2begb[7] , \tile_x10y1_n2begb[6] , \tile_x10y1_n2begb[5] , \tile_x10y1_n2begb[4] , \tile_x10y1_n2begb[3] , \tile_x10y1_n2begb[2] , \tile_x10y1_n2begb[1] , \tile_x10y1_n2begb[0] }),
.n2end({ \tile_x10y2_n2begb[7] , \tile_x10y2_n2begb[6] , \tile_x10y2_n2begb[5] , \tile_x10y2_n2begb[4] , \tile_x10y2_n2begb[3] , \tile_x10y2_n2begb[2] , \tile_x10y2_n2begb[1] , \tile_x10y2_n2begb[0] }),
.n2mid({ \tile_x10y2_n2beg[7] , \tile_x10y2_n2beg[6] , \tile_x10y2_n2beg[5] , \tile_x10y2_n2beg[4] , \tile_x10y2_n2beg[3] , \tile_x10y2_n2beg[2] , \tile_x10y2_n2beg[1] , \tile_x10y2_n2beg[0] }),
.n4beg({ \tile_x10y1_n4beg[15] , \tile_x10y1_n4beg[14] , \tile_x10y1_n4beg[13] , \tile_x10y1_n4beg[12] , \tile_x10y1_n4beg[11] , \tile_x10y1_n4beg[10] , \tile_x10y1_n4beg[9] , \tile_x10y1_n4beg[8] , \tile_x10y1_n4beg[7] , \tile_x10y1_n4beg[6] , \tile_x10y1_n4beg[5] , \tile_x10y1_n4beg[4] , \tile_x10y1_n4beg[3] , \tile_x10y1_n4beg[2] , \tile_x10y1_n4beg[1] , \tile_x10y1_n4beg[0] }),
.n4end({ \tile_x10y2_n4beg[15] , \tile_x10y2_n4beg[14] , \tile_x10y2_n4beg[13] , \tile_x10y2_n4beg[12] , \tile_x10y2_n4beg[11] , \tile_x10y2_n4beg[10] , \tile_x10y2_n4beg[9] , \tile_x10y2_n4beg[8] , \tile_x10y2_n4beg[7] , \tile_x10y2_n4beg[6] , \tile_x10y2_n4beg[5] , \tile_x10y2_n4beg[4] , \tile_x10y2_n4beg[3] , \tile_x10y2_n4beg[2] , \tile_x10y2_n4beg[1] , \tile_x10y2_n4beg[0] }),
.nn4beg({ \tile_x10y1_nn4beg[15] , \tile_x10y1_nn4beg[14] , \tile_x10y1_nn4beg[13] , \tile_x10y1_nn4beg[12] , \tile_x10y1_nn4beg[11] , \tile_x10y1_nn4beg[10] , \tile_x10y1_nn4beg[9] , \tile_x10y1_nn4beg[8] , \tile_x10y1_nn4beg[7] , \tile_x10y1_nn4beg[6] , \tile_x10y1_nn4beg[5] , \tile_x10y1_nn4beg[4] , \tile_x10y1_nn4beg[3] , \tile_x10y1_nn4beg[2] , \tile_x10y1_nn4beg[1] , \tile_x10y1_nn4beg[0] }),
.nn4end({ \tile_x10y2_nn4beg[15] , \tile_x10y2_nn4beg[14] , \tile_x10y2_nn4beg[13] , \tile_x10y2_nn4beg[12] , \tile_x10y2_nn4beg[11] , \tile_x10y2_nn4beg[10] , \tile_x10y2_nn4beg[9] , \tile_x10y2_nn4beg[8] , \tile_x10y2_nn4beg[7] , \tile_x10y2_nn4beg[6] , \tile_x10y2_nn4beg[5] , \tile_x10y2_nn4beg[4] , \tile_x10y2_nn4beg[3] , \tile_x10y2_nn4beg[2] , \tile_x10y2_nn4beg[1] , \tile_x10y2_nn4beg[0] }),
.s1beg({ \tile_x10y1_s1beg[3] , \tile_x10y1_s1beg[2] , \tile_x10y1_s1beg[1] , \tile_x10y1_s1beg[0] }),
.s1end({ \tile_x10y0_s1beg[3] , \tile_x10y0_s1beg[2] , \tile_x10y0_s1beg[1] , \tile_x10y0_s1beg[0] }),
.s2beg({ \tile_x10y1_s2beg[7] , \tile_x10y1_s2beg[6] , \tile_x10y1_s2beg[5] , \tile_x10y1_s2beg[4] , \tile_x10y1_s2beg[3] , \tile_x10y1_s2beg[2] , \tile_x10y1_s2beg[1] , \tile_x10y1_s2beg[0] }),
.s2begb({ \tile_x10y1_s2begb[7] , \tile_x10y1_s2begb[6] , \tile_x10y1_s2begb[5] , \tile_x10y1_s2begb[4] , \tile_x10y1_s2begb[3] , \tile_x10y1_s2begb[2] , \tile_x10y1_s2begb[1] , \tile_x10y1_s2begb[0] }),
.s2end({ \tile_x10y0_s2begb[7] , \tile_x10y0_s2begb[6] , \tile_x10y0_s2begb[5] , \tile_x10y0_s2begb[4] , \tile_x10y0_s2begb[3] , \tile_x10y0_s2begb[2] , \tile_x10y0_s2begb[1] , \tile_x10y0_s2begb[0] }),
.s2mid({ \tile_x10y0_s2beg[7] , \tile_x10y0_s2beg[6] , \tile_x10y0_s2beg[5] , \tile_x10y0_s2beg[4] , \tile_x10y0_s2beg[3] , \tile_x10y0_s2beg[2] , \tile_x10y0_s2beg[1] , \tile_x10y0_s2beg[0] }),
.s4beg({ \tile_x10y1_s4beg[15] , \tile_x10y1_s4beg[14] , \tile_x10y1_s4beg[13] , \tile_x10y1_s4beg[12] , \tile_x10y1_s4beg[11] , \tile_x10y1_s4beg[10] , \tile_x10y1_s4beg[9] , \tile_x10y1_s4beg[8] , \tile_x10y1_s4beg[7] , \tile_x10y1_s4beg[6] , \tile_x10y1_s4beg[5] , \tile_x10y1_s4beg[4] , \tile_x10y1_s4beg[3] , \tile_x10y1_s4beg[2] , \tile_x10y1_s4beg[1] , \tile_x10y1_s4beg[0] }),
.s4end({ \tile_x10y0_s4beg[15] , \tile_x10y0_s4beg[14] , \tile_x10y0_s4beg[13] , \tile_x10y0_s4beg[12] , \tile_x10y0_s4beg[11] , \tile_x10y0_s4beg[10] , \tile_x10y0_s4beg[9] , \tile_x10y0_s4beg[8] , \tile_x10y0_s4beg[7] , \tile_x10y0_s4beg[6] , \tile_x10y0_s4beg[5] , \tile_x10y0_s4beg[4] , \tile_x10y0_s4beg[3] , \tile_x10y0_s4beg[2] , \tile_x10y0_s4beg[1] , \tile_x10y0_s4beg[0] }),
.ss4beg({ \tile_x10y1_ss4beg[15] , \tile_x10y1_ss4beg[14] , \tile_x10y1_ss4beg[13] , \tile_x10y1_ss4beg[12] , \tile_x10y1_ss4beg[11] , \tile_x10y1_ss4beg[10] , \tile_x10y1_ss4beg[9] , \tile_x10y1_ss4beg[8] , \tile_x10y1_ss4beg[7] , \tile_x10y1_ss4beg[6] , \tile_x10y1_ss4beg[5] , \tile_x10y1_ss4beg[4] , \tile_x10y1_ss4beg[3] , \tile_x10y1_ss4beg[2] , \tile_x10y1_ss4beg[1] , \tile_x10y1_ss4beg[0] }),
.ss4end({ \tile_x10y0_ss4beg[15] , \tile_x10y0_ss4beg[14] , \tile_x10y0_ss4beg[13] , \tile_x10y0_ss4beg[12] , \tile_x10y0_ss4beg[11] , \tile_x10y0_ss4beg[10] , \tile_x10y0_ss4beg[9] , \tile_x10y0_ss4beg[8] , \tile_x10y0_ss4beg[7] , \tile_x10y0_ss4beg[6] , \tile_x10y0_ss4beg[5] , \tile_x10y0_ss4beg[4] , \tile_x10y0_ss4beg[3] , \tile_x10y0_ss4beg[2] , \tile_x10y0_ss4beg[1] , \tile_x10y0_ss4beg[0] }),
.userclk(tile_x10y2_userclko),
.userclko(tile_x10y1_userclko),
.w1beg({ \tile_x10y1_w1beg[3] , \tile_x10y1_w1beg[2] , \tile_x10y1_w1beg[1] , \tile_x10y1_w1beg[0] }),
.w1end({ \tile_x11y1_w1beg[3] , \tile_x11y1_w1beg[2] , \tile_x11y1_w1beg[1] , \tile_x11y1_w1beg[0] }),
.w2beg({ \tile_x10y1_w2beg[7] , \tile_x10y1_w2beg[6] , \tile_x10y1_w2beg[5] , \tile_x10y1_w2beg[4] , \tile_x10y1_w2beg[3] , \tile_x10y1_w2beg[2] , \tile_x10y1_w2beg[1] , \tile_x10y1_w2beg[0] }),
.w2begb({ \tile_x10y1_w2begb[7] , \tile_x10y1_w2begb[6] , \tile_x10y1_w2begb[5] , \tile_x10y1_w2begb[4] , \tile_x10y1_w2begb[3] , \tile_x10y1_w2begb[2] , \tile_x10y1_w2begb[1] , \tile_x10y1_w2begb[0] }),
.w2end({ \tile_x11y1_w2begb[7] , \tile_x11y1_w2begb[6] , \tile_x11y1_w2begb[5] , \tile_x11y1_w2begb[4] , \tile_x11y1_w2begb[3] , \tile_x11y1_w2begb[2] , \tile_x11y1_w2begb[1] , \tile_x11y1_w2begb[0] }),
.w2mid({ \tile_x11y1_w2beg[7] , \tile_x11y1_w2beg[6] , \tile_x11y1_w2beg[5] , \tile_x11y1_w2beg[4] , \tile_x11y1_w2beg[3] , \tile_x11y1_w2beg[2] , \tile_x11y1_w2beg[1] , \tile_x11y1_w2beg[0] }),
.w6beg({ \tile_x10y1_w6beg[11] , \tile_x10y1_w6beg[10] , \tile_x10y1_w6beg[9] , \tile_x10y1_w6beg[8] , \tile_x10y1_w6beg[7] , \tile_x10y1_w6beg[6] , \tile_x10y1_w6beg[5] , \tile_x10y1_w6beg[4] , \tile_x10y1_w6beg[3] , \tile_x10y1_w6beg[2] , \tile_x10y1_w6beg[1] , \tile_x10y1_w6beg[0] }),
.w6end({ \tile_x11y1_w6beg[11] , \tile_x11y1_w6beg[10] , \tile_x11y1_w6beg[9] , \tile_x11y1_w6beg[8] , \tile_x11y1_w6beg[7] , \tile_x11y1_w6beg[6] , \tile_x11y1_w6beg[5] , \tile_x11y1_w6beg[4] , \tile_x11y1_w6beg[3] , \tile_x11y1_w6beg[2] , \tile_x11y1_w6beg[1] , \tile_x11y1_w6beg[0] }),
.ww4beg({ \tile_x10y1_ww4beg[15] , \tile_x10y1_ww4beg[14] , \tile_x10y1_ww4beg[13] , \tile_x10y1_ww4beg[12] , \tile_x10y1_ww4beg[11] , \tile_x10y1_ww4beg[10] , \tile_x10y1_ww4beg[9] , \tile_x10y1_ww4beg[8] , \tile_x10y1_ww4beg[7] , \tile_x10y1_ww4beg[6] , \tile_x10y1_ww4beg[5] , \tile_x10y1_ww4beg[4] , \tile_x10y1_ww4beg[3] , \tile_x10y1_ww4beg[2] , \tile_x10y1_ww4beg[1] , \tile_x10y1_ww4beg[0] }),
.ww4end({ \tile_x11y1_ww4beg[15] , \tile_x11y1_ww4beg[14] , \tile_x11y1_ww4beg[13] , \tile_x11y1_ww4beg[12] , \tile_x11y1_ww4beg[11] , \tile_x11y1_ww4beg[10] , \tile_x11y1_ww4beg[9] , \tile_x11y1_ww4beg[8] , \tile_x11y1_ww4beg[7] , \tile_x11y1_ww4beg[6] , \tile_x11y1_ww4beg[5] , \tile_x11y1_ww4beg[4] , \tile_x11y1_ww4beg[3] , \tile_x11y1_ww4beg[2] , \tile_x11y1_ww4beg[1] , \tile_x11y1_ww4beg[0] })
);
lut4ab tile_x10y2_lut4ab (
.ci(tile_x10y3_co),
.co(tile_x10y2_co),
.e1beg({ \tile_x10y2_e1beg[3] , \tile_x10y2_e1beg[2] , \tile_x10y2_e1beg[1] , \tile_x10y2_e1beg[0] }),
.e1end({ \tile_x9y2_e1beg[3] , \tile_x9y2_e1beg[2] , \tile_x9y2_e1beg[1] , \tile_x9y2_e1beg[0] }),
.e2beg({ \tile_x10y2_e2beg[7] , \tile_x10y2_e2beg[6] , \tile_x10y2_e2beg[5] , \tile_x10y2_e2beg[4] , \tile_x10y2_e2beg[3] , \tile_x10y2_e2beg[2] , \tile_x10y2_e2beg[1] , \tile_x10y2_e2beg[0] }),
.e2begb({ \tile_x10y2_e2begb[7] , \tile_x10y2_e2begb[6] , \tile_x10y2_e2begb[5] , \tile_x10y2_e2begb[4] , \tile_x10y2_e2begb[3] , \tile_x10y2_e2begb[2] , \tile_x10y2_e2begb[1] , \tile_x10y2_e2begb[0] }),
.e2end({ \tile_x9y2_e2begb[7] , \tile_x9y2_e2begb[6] , \tile_x9y2_e2begb[5] , \tile_x9y2_e2begb[4] , \tile_x9y2_e2begb[3] , \tile_x9y2_e2begb[2] , \tile_x9y2_e2begb[1] , \tile_x9y2_e2begb[0] }),
.e2mid({ \tile_x9y2_e2beg[7] , \tile_x9y2_e2beg[6] , \tile_x9y2_e2beg[5] , \tile_x9y2_e2beg[4] , \tile_x9y2_e2beg[3] , \tile_x9y2_e2beg[2] , \tile_x9y2_e2beg[1] , \tile_x9y2_e2beg[0] }),
.e6beg({ \tile_x10y2_e6beg[11] , \tile_x10y2_e6beg[10] , \tile_x10y2_e6beg[9] , \tile_x10y2_e6beg[8] , \tile_x10y2_e6beg[7] , \tile_x10y2_e6beg[6] , \tile_x10y2_e6beg[5] , \tile_x10y2_e6beg[4] , \tile_x10y2_e6beg[3] , \tile_x10y2_e6beg[2] , \tile_x10y2_e6beg[1] , \tile_x10y2_e6beg[0] }),
.e6end({ \tile_x9y2_e6beg[11] , \tile_x9y2_e6beg[10] , \tile_x9y2_e6beg[9] , \tile_x9y2_e6beg[8] , \tile_x9y2_e6beg[7] , \tile_x9y2_e6beg[6] , \tile_x9y2_e6beg[5] , \tile_x9y2_e6beg[4] , \tile_x9y2_e6beg[3] , \tile_x9y2_e6beg[2] , \tile_x9y2_e6beg[1] , \tile_x9y2_e6beg[0] }),
.ee4beg({ \tile_x10y2_ee4beg[15] , \tile_x10y2_ee4beg[14] , \tile_x10y2_ee4beg[13] , \tile_x10y2_ee4beg[12] , \tile_x10y2_ee4beg[11] , \tile_x10y2_ee4beg[10] , \tile_x10y2_ee4beg[9] , \tile_x10y2_ee4beg[8] , \tile_x10y2_ee4beg[7] , \tile_x10y2_ee4beg[6] , \tile_x10y2_ee4beg[5] , \tile_x10y2_ee4beg[4] , \tile_x10y2_ee4beg[3] , \tile_x10y2_ee4beg[2] , \tile_x10y2_ee4beg[1] , \tile_x10y2_ee4beg[0] }),
.ee4end({ \tile_x9y2_ee4beg[15] , \tile_x9y2_ee4beg[14] , \tile_x9y2_ee4beg[13] , \tile_x9y2_ee4beg[12] , \tile_x9y2_ee4beg[11] , \tile_x9y2_ee4beg[10] , \tile_x9y2_ee4beg[9] , \tile_x9y2_ee4beg[8] , \tile_x9y2_ee4beg[7] , \tile_x9y2_ee4beg[6] , \tile_x9y2_ee4beg[5] , \tile_x9y2_ee4beg[4] , \tile_x9y2_ee4beg[3] , \tile_x9y2_ee4beg[2] , \tile_x9y2_ee4beg[1] , \tile_x9y2_ee4beg[0] }),
.framedata({ \tile_x9y2_framedata_o[31] , \tile_x9y2_framedata_o[30] , \tile_x9y2_framedata_o[29] , \tile_x9y2_framedata_o[28] , \tile_x9y2_framedata_o[27] , \tile_x9y2_framedata_o[26] , \tile_x9y2_framedata_o[25] , \tile_x9y2_framedata_o[24] , \tile_x9y2_framedata_o[23] , \tile_x9y2_framedata_o[22] , \tile_x9y2_framedata_o[21] , \tile_x9y2_framedata_o[20] , \tile_x9y2_framedata_o[19] , \tile_x9y2_framedata_o[18] , \tile_x9y2_framedata_o[17] , \tile_x9y2_framedata_o[16] , \tile_x9y2_framedata_o[15] , \tile_x9y2_framedata_o[14] , \tile_x9y2_framedata_o[13] , \tile_x9y2_framedata_o[12] , \tile_x9y2_framedata_o[11] , \tile_x9y2_framedata_o[10] , \tile_x9y2_framedata_o[9] , \tile_x9y2_framedata_o[8] , \tile_x9y2_framedata_o[7] , \tile_x9y2_framedata_o[6] , \tile_x9y2_framedata_o[5] , \tile_x9y2_framedata_o[4] , \tile_x9y2_framedata_o[3] , \tile_x9y2_framedata_o[2] , \tile_x9y2_framedata_o[1] , \tile_x9y2_framedata_o[0] }),
.framedata_o({ \tile_x10y2_framedata_o[31] , \tile_x10y2_framedata_o[30] , \tile_x10y2_framedata_o[29] , \tile_x10y2_framedata_o[28] , \tile_x10y2_framedata_o[27] , \tile_x10y2_framedata_o[26] , \tile_x10y2_framedata_o[25] , \tile_x10y2_framedata_o[24] , \tile_x10y2_framedata_o[23] , \tile_x10y2_framedata_o[22] , \tile_x10y2_framedata_o[21] , \tile_x10y2_framedata_o[20] , \tile_x10y2_framedata_o[19] , \tile_x10y2_framedata_o[18] , \tile_x10y2_framedata_o[17] , \tile_x10y2_framedata_o[16] , \tile_x10y2_framedata_o[15] , \tile_x10y2_framedata_o[14] , \tile_x10y2_framedata_o[13] , \tile_x10y2_framedata_o[12] , \tile_x10y2_framedata_o[11] , \tile_x10y2_framedata_o[10] , \tile_x10y2_framedata_o[9] , \tile_x10y2_framedata_o[8] , \tile_x10y2_framedata_o[7] , \tile_x10y2_framedata_o[6] , \tile_x10y2_framedata_o[5] , \tile_x10y2_framedata_o[4] , \tile_x10y2_framedata_o[3] , \tile_x10y2_framedata_o[2] , \tile_x10y2_framedata_o[1] , \tile_x10y2_framedata_o[0] }),
.framestrobe({ \tile_x10y3_framestrobe_o[19] , \tile_x10y3_framestrobe_o[18] , \tile_x10y3_framestrobe_o[17] , \tile_x10y3_framestrobe_o[16] , \tile_x10y3_framestrobe_o[15] , \tile_x10y3_framestrobe_o[14] , \tile_x10y3_framestrobe_o[13] , \tile_x10y3_framestrobe_o[12] , \tile_x10y3_framestrobe_o[11] , \tile_x10y3_framestrobe_o[10] , \tile_x10y3_framestrobe_o[9] , \tile_x10y3_framestrobe_o[8] , \tile_x10y3_framestrobe_o[7] , \tile_x10y3_framestrobe_o[6] , \tile_x10y3_framestrobe_o[5] , \tile_x10y3_framestrobe_o[4] , \tile_x10y3_framestrobe_o[3] , \tile_x10y3_framestrobe_o[2] , \tile_x10y3_framestrobe_o[1] , \tile_x10y3_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y2_framestrobe_o[19] , \tile_x10y2_framestrobe_o[18] , \tile_x10y2_framestrobe_o[17] , \tile_x10y2_framestrobe_o[16] , \tile_x10y2_framestrobe_o[15] , \tile_x10y2_framestrobe_o[14] , \tile_x10y2_framestrobe_o[13] , \tile_x10y2_framestrobe_o[12] , \tile_x10y2_framestrobe_o[11] , \tile_x10y2_framestrobe_o[10] , \tile_x10y2_framestrobe_o[9] , \tile_x10y2_framestrobe_o[8] , \tile_x10y2_framestrobe_o[7] , \tile_x10y2_framestrobe_o[6] , \tile_x10y2_framestrobe_o[5] , \tile_x10y2_framestrobe_o[4] , \tile_x10y2_framestrobe_o[3] , \tile_x10y2_framestrobe_o[2] , \tile_x10y2_framestrobe_o[1] , \tile_x10y2_framestrobe_o[0] }),
.n1beg({ \tile_x10y2_n1beg[3] , \tile_x10y2_n1beg[2] , \tile_x10y2_n1beg[1] , \tile_x10y2_n1beg[0] }),
.n1end({ \tile_x10y3_n1beg[3] , \tile_x10y3_n1beg[2] , \tile_x10y3_n1beg[1] , \tile_x10y3_n1beg[0] }),
.n2beg({ \tile_x10y2_n2beg[7] , \tile_x10y2_n2beg[6] , \tile_x10y2_n2beg[5] , \tile_x10y2_n2beg[4] , \tile_x10y2_n2beg[3] , \tile_x10y2_n2beg[2] , \tile_x10y2_n2beg[1] , \tile_x10y2_n2beg[0] }),
.n2begb({ \tile_x10y2_n2begb[7] , \tile_x10y2_n2begb[6] , \tile_x10y2_n2begb[5] , \tile_x10y2_n2begb[4] , \tile_x10y2_n2begb[3] , \tile_x10y2_n2begb[2] , \tile_x10y2_n2begb[1] , \tile_x10y2_n2begb[0] }),
.n2end({ \tile_x10y3_n2begb[7] , \tile_x10y3_n2begb[6] , \tile_x10y3_n2begb[5] , \tile_x10y3_n2begb[4] , \tile_x10y3_n2begb[3] , \tile_x10y3_n2begb[2] , \tile_x10y3_n2begb[1] , \tile_x10y3_n2begb[0] }),
.n2mid({ \tile_x10y3_n2beg[7] , \tile_x10y3_n2beg[6] , \tile_x10y3_n2beg[5] , \tile_x10y3_n2beg[4] , \tile_x10y3_n2beg[3] , \tile_x10y3_n2beg[2] , \tile_x10y3_n2beg[1] , \tile_x10y3_n2beg[0] }),
.n4beg({ \tile_x10y2_n4beg[15] , \tile_x10y2_n4beg[14] , \tile_x10y2_n4beg[13] , \tile_x10y2_n4beg[12] , \tile_x10y2_n4beg[11] , \tile_x10y2_n4beg[10] , \tile_x10y2_n4beg[9] , \tile_x10y2_n4beg[8] , \tile_x10y2_n4beg[7] , \tile_x10y2_n4beg[6] , \tile_x10y2_n4beg[5] , \tile_x10y2_n4beg[4] , \tile_x10y2_n4beg[3] , \tile_x10y2_n4beg[2] , \tile_x10y2_n4beg[1] , \tile_x10y2_n4beg[0] }),
.n4end({ \tile_x10y3_n4beg[15] , \tile_x10y3_n4beg[14] , \tile_x10y3_n4beg[13] , \tile_x10y3_n4beg[12] , \tile_x10y3_n4beg[11] , \tile_x10y3_n4beg[10] , \tile_x10y3_n4beg[9] , \tile_x10y3_n4beg[8] , \tile_x10y3_n4beg[7] , \tile_x10y3_n4beg[6] , \tile_x10y3_n4beg[5] , \tile_x10y3_n4beg[4] , \tile_x10y3_n4beg[3] , \tile_x10y3_n4beg[2] , \tile_x10y3_n4beg[1] , \tile_x10y3_n4beg[0] }),
.nn4beg({ \tile_x10y2_nn4beg[15] , \tile_x10y2_nn4beg[14] , \tile_x10y2_nn4beg[13] , \tile_x10y2_nn4beg[12] , \tile_x10y2_nn4beg[11] , \tile_x10y2_nn4beg[10] , \tile_x10y2_nn4beg[9] , \tile_x10y2_nn4beg[8] , \tile_x10y2_nn4beg[7] , \tile_x10y2_nn4beg[6] , \tile_x10y2_nn4beg[5] , \tile_x10y2_nn4beg[4] , \tile_x10y2_nn4beg[3] , \tile_x10y2_nn4beg[2] , \tile_x10y2_nn4beg[1] , \tile_x10y2_nn4beg[0] }),
.nn4end({ \tile_x10y3_nn4beg[15] , \tile_x10y3_nn4beg[14] , \tile_x10y3_nn4beg[13] , \tile_x10y3_nn4beg[12] , \tile_x10y3_nn4beg[11] , \tile_x10y3_nn4beg[10] , \tile_x10y3_nn4beg[9] , \tile_x10y3_nn4beg[8] , \tile_x10y3_nn4beg[7] , \tile_x10y3_nn4beg[6] , \tile_x10y3_nn4beg[5] , \tile_x10y3_nn4beg[4] , \tile_x10y3_nn4beg[3] , \tile_x10y3_nn4beg[2] , \tile_x10y3_nn4beg[1] , \tile_x10y3_nn4beg[0] }),
.s1beg({ \tile_x10y2_s1beg[3] , \tile_x10y2_s1beg[2] , \tile_x10y2_s1beg[1] , \tile_x10y2_s1beg[0] }),
.s1end({ \tile_x10y1_s1beg[3] , \tile_x10y1_s1beg[2] , \tile_x10y1_s1beg[1] , \tile_x10y1_s1beg[0] }),
.s2beg({ \tile_x10y2_s2beg[7] , \tile_x10y2_s2beg[6] , \tile_x10y2_s2beg[5] , \tile_x10y2_s2beg[4] , \tile_x10y2_s2beg[3] , \tile_x10y2_s2beg[2] , \tile_x10y2_s2beg[1] , \tile_x10y2_s2beg[0] }),
.s2begb({ \tile_x10y2_s2begb[7] , \tile_x10y2_s2begb[6] , \tile_x10y2_s2begb[5] , \tile_x10y2_s2begb[4] , \tile_x10y2_s2begb[3] , \tile_x10y2_s2begb[2] , \tile_x10y2_s2begb[1] , \tile_x10y2_s2begb[0] }),
.s2end({ \tile_x10y1_s2begb[7] , \tile_x10y1_s2begb[6] , \tile_x10y1_s2begb[5] , \tile_x10y1_s2begb[4] , \tile_x10y1_s2begb[3] , \tile_x10y1_s2begb[2] , \tile_x10y1_s2begb[1] , \tile_x10y1_s2begb[0] }),
.s2mid({ \tile_x10y1_s2beg[7] , \tile_x10y1_s2beg[6] , \tile_x10y1_s2beg[5] , \tile_x10y1_s2beg[4] , \tile_x10y1_s2beg[3] , \tile_x10y1_s2beg[2] , \tile_x10y1_s2beg[1] , \tile_x10y1_s2beg[0] }),
.s4beg({ \tile_x10y2_s4beg[15] , \tile_x10y2_s4beg[14] , \tile_x10y2_s4beg[13] , \tile_x10y2_s4beg[12] , \tile_x10y2_s4beg[11] , \tile_x10y2_s4beg[10] , \tile_x10y2_s4beg[9] , \tile_x10y2_s4beg[8] , \tile_x10y2_s4beg[7] , \tile_x10y2_s4beg[6] , \tile_x10y2_s4beg[5] , \tile_x10y2_s4beg[4] , \tile_x10y2_s4beg[3] , \tile_x10y2_s4beg[2] , \tile_x10y2_s4beg[1] , \tile_x10y2_s4beg[0] }),
.s4end({ \tile_x10y1_s4beg[15] , \tile_x10y1_s4beg[14] , \tile_x10y1_s4beg[13] , \tile_x10y1_s4beg[12] , \tile_x10y1_s4beg[11] , \tile_x10y1_s4beg[10] , \tile_x10y1_s4beg[9] , \tile_x10y1_s4beg[8] , \tile_x10y1_s4beg[7] , \tile_x10y1_s4beg[6] , \tile_x10y1_s4beg[5] , \tile_x10y1_s4beg[4] , \tile_x10y1_s4beg[3] , \tile_x10y1_s4beg[2] , \tile_x10y1_s4beg[1] , \tile_x10y1_s4beg[0] }),
.ss4beg({ \tile_x10y2_ss4beg[15] , \tile_x10y2_ss4beg[14] , \tile_x10y2_ss4beg[13] , \tile_x10y2_ss4beg[12] , \tile_x10y2_ss4beg[11] , \tile_x10y2_ss4beg[10] , \tile_x10y2_ss4beg[9] , \tile_x10y2_ss4beg[8] , \tile_x10y2_ss4beg[7] , \tile_x10y2_ss4beg[6] , \tile_x10y2_ss4beg[5] , \tile_x10y2_ss4beg[4] , \tile_x10y2_ss4beg[3] , \tile_x10y2_ss4beg[2] , \tile_x10y2_ss4beg[1] , \tile_x10y2_ss4beg[0] }),
.ss4end({ \tile_x10y1_ss4beg[15] , \tile_x10y1_ss4beg[14] , \tile_x10y1_ss4beg[13] , \tile_x10y1_ss4beg[12] , \tile_x10y1_ss4beg[11] , \tile_x10y1_ss4beg[10] , \tile_x10y1_ss4beg[9] , \tile_x10y1_ss4beg[8] , \tile_x10y1_ss4beg[7] , \tile_x10y1_ss4beg[6] , \tile_x10y1_ss4beg[5] , \tile_x10y1_ss4beg[4] , \tile_x10y1_ss4beg[3] , \tile_x10y1_ss4beg[2] , \tile_x10y1_ss4beg[1] , \tile_x10y1_ss4beg[0] }),
.userclk(tile_x10y3_userclko),
.userclko(tile_x10y2_userclko),
.w1beg({ \tile_x10y2_w1beg[3] , \tile_x10y2_w1beg[2] , \tile_x10y2_w1beg[1] , \tile_x10y2_w1beg[0] }),
.w1end({ \tile_x11y2_w1beg[3] , \tile_x11y2_w1beg[2] , \tile_x11y2_w1beg[1] , \tile_x11y2_w1beg[0] }),
.w2beg({ \tile_x10y2_w2beg[7] , \tile_x10y2_w2beg[6] , \tile_x10y2_w2beg[5] , \tile_x10y2_w2beg[4] , \tile_x10y2_w2beg[3] , \tile_x10y2_w2beg[2] , \tile_x10y2_w2beg[1] , \tile_x10y2_w2beg[0] }),
.w2begb({ \tile_x10y2_w2begb[7] , \tile_x10y2_w2begb[6] , \tile_x10y2_w2begb[5] , \tile_x10y2_w2begb[4] , \tile_x10y2_w2begb[3] , \tile_x10y2_w2begb[2] , \tile_x10y2_w2begb[1] , \tile_x10y2_w2begb[0] }),
.w2end({ \tile_x11y2_w2begb[7] , \tile_x11y2_w2begb[6] , \tile_x11y2_w2begb[5] , \tile_x11y2_w2begb[4] , \tile_x11y2_w2begb[3] , \tile_x11y2_w2begb[2] , \tile_x11y2_w2begb[1] , \tile_x11y2_w2begb[0] }),
.w2mid({ \tile_x11y2_w2beg[7] , \tile_x11y2_w2beg[6] , \tile_x11y2_w2beg[5] , \tile_x11y2_w2beg[4] , \tile_x11y2_w2beg[3] , \tile_x11y2_w2beg[2] , \tile_x11y2_w2beg[1] , \tile_x11y2_w2beg[0] }),
.w6beg({ \tile_x10y2_w6beg[11] , \tile_x10y2_w6beg[10] , \tile_x10y2_w6beg[9] , \tile_x10y2_w6beg[8] , \tile_x10y2_w6beg[7] , \tile_x10y2_w6beg[6] , \tile_x10y2_w6beg[5] , \tile_x10y2_w6beg[4] , \tile_x10y2_w6beg[3] , \tile_x10y2_w6beg[2] , \tile_x10y2_w6beg[1] , \tile_x10y2_w6beg[0] }),
.w6end({ \tile_x11y2_w6beg[11] , \tile_x11y2_w6beg[10] , \tile_x11y2_w6beg[9] , \tile_x11y2_w6beg[8] , \tile_x11y2_w6beg[7] , \tile_x11y2_w6beg[6] , \tile_x11y2_w6beg[5] , \tile_x11y2_w6beg[4] , \tile_x11y2_w6beg[3] , \tile_x11y2_w6beg[2] , \tile_x11y2_w6beg[1] , \tile_x11y2_w6beg[0] }),
.ww4beg({ \tile_x10y2_ww4beg[15] , \tile_x10y2_ww4beg[14] , \tile_x10y2_ww4beg[13] , \tile_x10y2_ww4beg[12] , \tile_x10y2_ww4beg[11] , \tile_x10y2_ww4beg[10] , \tile_x10y2_ww4beg[9] , \tile_x10y2_ww4beg[8] , \tile_x10y2_ww4beg[7] , \tile_x10y2_ww4beg[6] , \tile_x10y2_ww4beg[5] , \tile_x10y2_ww4beg[4] , \tile_x10y2_ww4beg[3] , \tile_x10y2_ww4beg[2] , \tile_x10y2_ww4beg[1] , \tile_x10y2_ww4beg[0] }),
.ww4end({ \tile_x11y2_ww4beg[15] , \tile_x11y2_ww4beg[14] , \tile_x11y2_ww4beg[13] , \tile_x11y2_ww4beg[12] , \tile_x11y2_ww4beg[11] , \tile_x11y2_ww4beg[10] , \tile_x11y2_ww4beg[9] , \tile_x11y2_ww4beg[8] , \tile_x11y2_ww4beg[7] , \tile_x11y2_ww4beg[6] , \tile_x11y2_ww4beg[5] , \tile_x11y2_ww4beg[4] , \tile_x11y2_ww4beg[3] , \tile_x11y2_ww4beg[2] , \tile_x11y2_ww4beg[1] , \tile_x11y2_ww4beg[0] })
);
lut4ab tile_x10y3_lut4ab (
.ci(tile_x10y4_co),
.co(tile_x10y3_co),
.e1beg({ \tile_x10y3_e1beg[3] , \tile_x10y3_e1beg[2] , \tile_x10y3_e1beg[1] , \tile_x10y3_e1beg[0] }),
.e1end({ \tile_x9y3_e1beg[3] , \tile_x9y3_e1beg[2] , \tile_x9y3_e1beg[1] , \tile_x9y3_e1beg[0] }),
.e2beg({ \tile_x10y3_e2beg[7] , \tile_x10y3_e2beg[6] , \tile_x10y3_e2beg[5] , \tile_x10y3_e2beg[4] , \tile_x10y3_e2beg[3] , \tile_x10y3_e2beg[2] , \tile_x10y3_e2beg[1] , \tile_x10y3_e2beg[0] }),
.e2begb({ \tile_x10y3_e2begb[7] , \tile_x10y3_e2begb[6] , \tile_x10y3_e2begb[5] , \tile_x10y3_e2begb[4] , \tile_x10y3_e2begb[3] , \tile_x10y3_e2begb[2] , \tile_x10y3_e2begb[1] , \tile_x10y3_e2begb[0] }),
.e2end({ \tile_x9y3_e2begb[7] , \tile_x9y3_e2begb[6] , \tile_x9y3_e2begb[5] , \tile_x9y3_e2begb[4] , \tile_x9y3_e2begb[3] , \tile_x9y3_e2begb[2] , \tile_x9y3_e2begb[1] , \tile_x9y3_e2begb[0] }),
.e2mid({ \tile_x9y3_e2beg[7] , \tile_x9y3_e2beg[6] , \tile_x9y3_e2beg[5] , \tile_x9y3_e2beg[4] , \tile_x9y3_e2beg[3] , \tile_x9y3_e2beg[2] , \tile_x9y3_e2beg[1] , \tile_x9y3_e2beg[0] }),
.e6beg({ \tile_x10y3_e6beg[11] , \tile_x10y3_e6beg[10] , \tile_x10y3_e6beg[9] , \tile_x10y3_e6beg[8] , \tile_x10y3_e6beg[7] , \tile_x10y3_e6beg[6] , \tile_x10y3_e6beg[5] , \tile_x10y3_e6beg[4] , \tile_x10y3_e6beg[3] , \tile_x10y3_e6beg[2] , \tile_x10y3_e6beg[1] , \tile_x10y3_e6beg[0] }),
.e6end({ \tile_x9y3_e6beg[11] , \tile_x9y3_e6beg[10] , \tile_x9y3_e6beg[9] , \tile_x9y3_e6beg[8] , \tile_x9y3_e6beg[7] , \tile_x9y3_e6beg[6] , \tile_x9y3_e6beg[5] , \tile_x9y3_e6beg[4] , \tile_x9y3_e6beg[3] , \tile_x9y3_e6beg[2] , \tile_x9y3_e6beg[1] , \tile_x9y3_e6beg[0] }),
.ee4beg({ \tile_x10y3_ee4beg[15] , \tile_x10y3_ee4beg[14] , \tile_x10y3_ee4beg[13] , \tile_x10y3_ee4beg[12] , \tile_x10y3_ee4beg[11] , \tile_x10y3_ee4beg[10] , \tile_x10y3_ee4beg[9] , \tile_x10y3_ee4beg[8] , \tile_x10y3_ee4beg[7] , \tile_x10y3_ee4beg[6] , \tile_x10y3_ee4beg[5] , \tile_x10y3_ee4beg[4] , \tile_x10y3_ee4beg[3] , \tile_x10y3_ee4beg[2] , \tile_x10y3_ee4beg[1] , \tile_x10y3_ee4beg[0] }),
.ee4end({ \tile_x9y3_ee4beg[15] , \tile_x9y3_ee4beg[14] , \tile_x9y3_ee4beg[13] , \tile_x9y3_ee4beg[12] , \tile_x9y3_ee4beg[11] , \tile_x9y3_ee4beg[10] , \tile_x9y3_ee4beg[9] , \tile_x9y3_ee4beg[8] , \tile_x9y3_ee4beg[7] , \tile_x9y3_ee4beg[6] , \tile_x9y3_ee4beg[5] , \tile_x9y3_ee4beg[4] , \tile_x9y3_ee4beg[3] , \tile_x9y3_ee4beg[2] , \tile_x9y3_ee4beg[1] , \tile_x9y3_ee4beg[0] }),
.framedata({ \tile_x9y3_framedata_o[31] , \tile_x9y3_framedata_o[30] , \tile_x9y3_framedata_o[29] , \tile_x9y3_framedata_o[28] , \tile_x9y3_framedata_o[27] , \tile_x9y3_framedata_o[26] , \tile_x9y3_framedata_o[25] , \tile_x9y3_framedata_o[24] , \tile_x9y3_framedata_o[23] , \tile_x9y3_framedata_o[22] , \tile_x9y3_framedata_o[21] , \tile_x9y3_framedata_o[20] , \tile_x9y3_framedata_o[19] , \tile_x9y3_framedata_o[18] , \tile_x9y3_framedata_o[17] , \tile_x9y3_framedata_o[16] , \tile_x9y3_framedata_o[15] , \tile_x9y3_framedata_o[14] , \tile_x9y3_framedata_o[13] , \tile_x9y3_framedata_o[12] , \tile_x9y3_framedata_o[11] , \tile_x9y3_framedata_o[10] , \tile_x9y3_framedata_o[9] , \tile_x9y3_framedata_o[8] , \tile_x9y3_framedata_o[7] , \tile_x9y3_framedata_o[6] , \tile_x9y3_framedata_o[5] , \tile_x9y3_framedata_o[4] , \tile_x9y3_framedata_o[3] , \tile_x9y3_framedata_o[2] , \tile_x9y3_framedata_o[1] , \tile_x9y3_framedata_o[0] }),
.framedata_o({ \tile_x10y3_framedata_o[31] , \tile_x10y3_framedata_o[30] , \tile_x10y3_framedata_o[29] , \tile_x10y3_framedata_o[28] , \tile_x10y3_framedata_o[27] , \tile_x10y3_framedata_o[26] , \tile_x10y3_framedata_o[25] , \tile_x10y3_framedata_o[24] , \tile_x10y3_framedata_o[23] , \tile_x10y3_framedata_o[22] , \tile_x10y3_framedata_o[21] , \tile_x10y3_framedata_o[20] , \tile_x10y3_framedata_o[19] , \tile_x10y3_framedata_o[18] , \tile_x10y3_framedata_o[17] , \tile_x10y3_framedata_o[16] , \tile_x10y3_framedata_o[15] , \tile_x10y3_framedata_o[14] , \tile_x10y3_framedata_o[13] , \tile_x10y3_framedata_o[12] , \tile_x10y3_framedata_o[11] , \tile_x10y3_framedata_o[10] , \tile_x10y3_framedata_o[9] , \tile_x10y3_framedata_o[8] , \tile_x10y3_framedata_o[7] , \tile_x10y3_framedata_o[6] , \tile_x10y3_framedata_o[5] , \tile_x10y3_framedata_o[4] , \tile_x10y3_framedata_o[3] , \tile_x10y3_framedata_o[2] , \tile_x10y3_framedata_o[1] , \tile_x10y3_framedata_o[0] }),
.framestrobe({ \tile_x10y4_framestrobe_o[19] , \tile_x10y4_framestrobe_o[18] , \tile_x10y4_framestrobe_o[17] , \tile_x10y4_framestrobe_o[16] , \tile_x10y4_framestrobe_o[15] , \tile_x10y4_framestrobe_o[14] , \tile_x10y4_framestrobe_o[13] , \tile_x10y4_framestrobe_o[12] , \tile_x10y4_framestrobe_o[11] , \tile_x10y4_framestrobe_o[10] , \tile_x10y4_framestrobe_o[9] , \tile_x10y4_framestrobe_o[8] , \tile_x10y4_framestrobe_o[7] , \tile_x10y4_framestrobe_o[6] , \tile_x10y4_framestrobe_o[5] , \tile_x10y4_framestrobe_o[4] , \tile_x10y4_framestrobe_o[3] , \tile_x10y4_framestrobe_o[2] , \tile_x10y4_framestrobe_o[1] , \tile_x10y4_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y3_framestrobe_o[19] , \tile_x10y3_framestrobe_o[18] , \tile_x10y3_framestrobe_o[17] , \tile_x10y3_framestrobe_o[16] , \tile_x10y3_framestrobe_o[15] , \tile_x10y3_framestrobe_o[14] , \tile_x10y3_framestrobe_o[13] , \tile_x10y3_framestrobe_o[12] , \tile_x10y3_framestrobe_o[11] , \tile_x10y3_framestrobe_o[10] , \tile_x10y3_framestrobe_o[9] , \tile_x10y3_framestrobe_o[8] , \tile_x10y3_framestrobe_o[7] , \tile_x10y3_framestrobe_o[6] , \tile_x10y3_framestrobe_o[5] , \tile_x10y3_framestrobe_o[4] , \tile_x10y3_framestrobe_o[3] , \tile_x10y3_framestrobe_o[2] , \tile_x10y3_framestrobe_o[1] , \tile_x10y3_framestrobe_o[0] }),
.n1beg({ \tile_x10y3_n1beg[3] , \tile_x10y3_n1beg[2] , \tile_x10y3_n1beg[1] , \tile_x10y3_n1beg[0] }),
.n1end({ \tile_x10y4_n1beg[3] , \tile_x10y4_n1beg[2] , \tile_x10y4_n1beg[1] , \tile_x10y4_n1beg[0] }),
.n2beg({ \tile_x10y3_n2beg[7] , \tile_x10y3_n2beg[6] , \tile_x10y3_n2beg[5] , \tile_x10y3_n2beg[4] , \tile_x10y3_n2beg[3] , \tile_x10y3_n2beg[2] , \tile_x10y3_n2beg[1] , \tile_x10y3_n2beg[0] }),
.n2begb({ \tile_x10y3_n2begb[7] , \tile_x10y3_n2begb[6] , \tile_x10y3_n2begb[5] , \tile_x10y3_n2begb[4] , \tile_x10y3_n2begb[3] , \tile_x10y3_n2begb[2] , \tile_x10y3_n2begb[1] , \tile_x10y3_n2begb[0] }),
.n2end({ \tile_x10y4_n2begb[7] , \tile_x10y4_n2begb[6] , \tile_x10y4_n2begb[5] , \tile_x10y4_n2begb[4] , \tile_x10y4_n2begb[3] , \tile_x10y4_n2begb[2] , \tile_x10y4_n2begb[1] , \tile_x10y4_n2begb[0] }),
.n2mid({ \tile_x10y4_n2beg[7] , \tile_x10y4_n2beg[6] , \tile_x10y4_n2beg[5] , \tile_x10y4_n2beg[4] , \tile_x10y4_n2beg[3] , \tile_x10y4_n2beg[2] , \tile_x10y4_n2beg[1] , \tile_x10y4_n2beg[0] }),
.n4beg({ \tile_x10y3_n4beg[15] , \tile_x10y3_n4beg[14] , \tile_x10y3_n4beg[13] , \tile_x10y3_n4beg[12] , \tile_x10y3_n4beg[11] , \tile_x10y3_n4beg[10] , \tile_x10y3_n4beg[9] , \tile_x10y3_n4beg[8] , \tile_x10y3_n4beg[7] , \tile_x10y3_n4beg[6] , \tile_x10y3_n4beg[5] , \tile_x10y3_n4beg[4] , \tile_x10y3_n4beg[3] , \tile_x10y3_n4beg[2] , \tile_x10y3_n4beg[1] , \tile_x10y3_n4beg[0] }),
.n4end({ \tile_x10y4_n4beg[15] , \tile_x10y4_n4beg[14] , \tile_x10y4_n4beg[13] , \tile_x10y4_n4beg[12] , \tile_x10y4_n4beg[11] , \tile_x10y4_n4beg[10] , \tile_x10y4_n4beg[9] , \tile_x10y4_n4beg[8] , \tile_x10y4_n4beg[7] , \tile_x10y4_n4beg[6] , \tile_x10y4_n4beg[5] , \tile_x10y4_n4beg[4] , \tile_x10y4_n4beg[3] , \tile_x10y4_n4beg[2] , \tile_x10y4_n4beg[1] , \tile_x10y4_n4beg[0] }),
.nn4beg({ \tile_x10y3_nn4beg[15] , \tile_x10y3_nn4beg[14] , \tile_x10y3_nn4beg[13] , \tile_x10y3_nn4beg[12] , \tile_x10y3_nn4beg[11] , \tile_x10y3_nn4beg[10] , \tile_x10y3_nn4beg[9] , \tile_x10y3_nn4beg[8] , \tile_x10y3_nn4beg[7] , \tile_x10y3_nn4beg[6] , \tile_x10y3_nn4beg[5] , \tile_x10y3_nn4beg[4] , \tile_x10y3_nn4beg[3] , \tile_x10y3_nn4beg[2] , \tile_x10y3_nn4beg[1] , \tile_x10y3_nn4beg[0] }),
.nn4end({ \tile_x10y4_nn4beg[15] , \tile_x10y4_nn4beg[14] , \tile_x10y4_nn4beg[13] , \tile_x10y4_nn4beg[12] , \tile_x10y4_nn4beg[11] , \tile_x10y4_nn4beg[10] , \tile_x10y4_nn4beg[9] , \tile_x10y4_nn4beg[8] , \tile_x10y4_nn4beg[7] , \tile_x10y4_nn4beg[6] , \tile_x10y4_nn4beg[5] , \tile_x10y4_nn4beg[4] , \tile_x10y4_nn4beg[3] , \tile_x10y4_nn4beg[2] , \tile_x10y4_nn4beg[1] , \tile_x10y4_nn4beg[0] }),
.s1beg({ \tile_x10y3_s1beg[3] , \tile_x10y3_s1beg[2] , \tile_x10y3_s1beg[1] , \tile_x10y3_s1beg[0] }),
.s1end({ \tile_x10y2_s1beg[3] , \tile_x10y2_s1beg[2] , \tile_x10y2_s1beg[1] , \tile_x10y2_s1beg[0] }),
.s2beg({ \tile_x10y3_s2beg[7] , \tile_x10y3_s2beg[6] , \tile_x10y3_s2beg[5] , \tile_x10y3_s2beg[4] , \tile_x10y3_s2beg[3] , \tile_x10y3_s2beg[2] , \tile_x10y3_s2beg[1] , \tile_x10y3_s2beg[0] }),
.s2begb({ \tile_x10y3_s2begb[7] , \tile_x10y3_s2begb[6] , \tile_x10y3_s2begb[5] , \tile_x10y3_s2begb[4] , \tile_x10y3_s2begb[3] , \tile_x10y3_s2begb[2] , \tile_x10y3_s2begb[1] , \tile_x10y3_s2begb[0] }),
.s2end({ \tile_x10y2_s2begb[7] , \tile_x10y2_s2begb[6] , \tile_x10y2_s2begb[5] , \tile_x10y2_s2begb[4] , \tile_x10y2_s2begb[3] , \tile_x10y2_s2begb[2] , \tile_x10y2_s2begb[1] , \tile_x10y2_s2begb[0] }),
.s2mid({ \tile_x10y2_s2beg[7] , \tile_x10y2_s2beg[6] , \tile_x10y2_s2beg[5] , \tile_x10y2_s2beg[4] , \tile_x10y2_s2beg[3] , \tile_x10y2_s2beg[2] , \tile_x10y2_s2beg[1] , \tile_x10y2_s2beg[0] }),
.s4beg({ \tile_x10y3_s4beg[15] , \tile_x10y3_s4beg[14] , \tile_x10y3_s4beg[13] , \tile_x10y3_s4beg[12] , \tile_x10y3_s4beg[11] , \tile_x10y3_s4beg[10] , \tile_x10y3_s4beg[9] , \tile_x10y3_s4beg[8] , \tile_x10y3_s4beg[7] , \tile_x10y3_s4beg[6] , \tile_x10y3_s4beg[5] , \tile_x10y3_s4beg[4] , \tile_x10y3_s4beg[3] , \tile_x10y3_s4beg[2] , \tile_x10y3_s4beg[1] , \tile_x10y3_s4beg[0] }),
.s4end({ \tile_x10y2_s4beg[15] , \tile_x10y2_s4beg[14] , \tile_x10y2_s4beg[13] , \tile_x10y2_s4beg[12] , \tile_x10y2_s4beg[11] , \tile_x10y2_s4beg[10] , \tile_x10y2_s4beg[9] , \tile_x10y2_s4beg[8] , \tile_x10y2_s4beg[7] , \tile_x10y2_s4beg[6] , \tile_x10y2_s4beg[5] , \tile_x10y2_s4beg[4] , \tile_x10y2_s4beg[3] , \tile_x10y2_s4beg[2] , \tile_x10y2_s4beg[1] , \tile_x10y2_s4beg[0] }),
.ss4beg({ \tile_x10y3_ss4beg[15] , \tile_x10y3_ss4beg[14] , \tile_x10y3_ss4beg[13] , \tile_x10y3_ss4beg[12] , \tile_x10y3_ss4beg[11] , \tile_x10y3_ss4beg[10] , \tile_x10y3_ss4beg[9] , \tile_x10y3_ss4beg[8] , \tile_x10y3_ss4beg[7] , \tile_x10y3_ss4beg[6] , \tile_x10y3_ss4beg[5] , \tile_x10y3_ss4beg[4] , \tile_x10y3_ss4beg[3] , \tile_x10y3_ss4beg[2] , \tile_x10y3_ss4beg[1] , \tile_x10y3_ss4beg[0] }),
.ss4end({ \tile_x10y2_ss4beg[15] , \tile_x10y2_ss4beg[14] , \tile_x10y2_ss4beg[13] , \tile_x10y2_ss4beg[12] , \tile_x10y2_ss4beg[11] , \tile_x10y2_ss4beg[10] , \tile_x10y2_ss4beg[9] , \tile_x10y2_ss4beg[8] , \tile_x10y2_ss4beg[7] , \tile_x10y2_ss4beg[6] , \tile_x10y2_ss4beg[5] , \tile_x10y2_ss4beg[4] , \tile_x10y2_ss4beg[3] , \tile_x10y2_ss4beg[2] , \tile_x10y2_ss4beg[1] , \tile_x10y2_ss4beg[0] }),
.userclk(tile_x10y4_userclko),
.userclko(tile_x10y3_userclko),
.w1beg({ \tile_x10y3_w1beg[3] , \tile_x10y3_w1beg[2] , \tile_x10y3_w1beg[1] , \tile_x10y3_w1beg[0] }),
.w1end({ \tile_x11y3_w1beg[3] , \tile_x11y3_w1beg[2] , \tile_x11y3_w1beg[1] , \tile_x11y3_w1beg[0] }),
.w2beg({ \tile_x10y3_w2beg[7] , \tile_x10y3_w2beg[6] , \tile_x10y3_w2beg[5] , \tile_x10y3_w2beg[4] , \tile_x10y3_w2beg[3] , \tile_x10y3_w2beg[2] , \tile_x10y3_w2beg[1] , \tile_x10y3_w2beg[0] }),
.w2begb({ \tile_x10y3_w2begb[7] , \tile_x10y3_w2begb[6] , \tile_x10y3_w2begb[5] , \tile_x10y3_w2begb[4] , \tile_x10y3_w2begb[3] , \tile_x10y3_w2begb[2] , \tile_x10y3_w2begb[1] , \tile_x10y3_w2begb[0] }),
.w2end({ \tile_x11y3_w2begb[7] , \tile_x11y3_w2begb[6] , \tile_x11y3_w2begb[5] , \tile_x11y3_w2begb[4] , \tile_x11y3_w2begb[3] , \tile_x11y3_w2begb[2] , \tile_x11y3_w2begb[1] , \tile_x11y3_w2begb[0] }),
.w2mid({ \tile_x11y3_w2beg[7] , \tile_x11y3_w2beg[6] , \tile_x11y3_w2beg[5] , \tile_x11y3_w2beg[4] , \tile_x11y3_w2beg[3] , \tile_x11y3_w2beg[2] , \tile_x11y3_w2beg[1] , \tile_x11y3_w2beg[0] }),
.w6beg({ \tile_x10y3_w6beg[11] , \tile_x10y3_w6beg[10] , \tile_x10y3_w6beg[9] , \tile_x10y3_w6beg[8] , \tile_x10y3_w6beg[7] , \tile_x10y3_w6beg[6] , \tile_x10y3_w6beg[5] , \tile_x10y3_w6beg[4] , \tile_x10y3_w6beg[3] , \tile_x10y3_w6beg[2] , \tile_x10y3_w6beg[1] , \tile_x10y3_w6beg[0] }),
.w6end({ \tile_x11y3_w6beg[11] , \tile_x11y3_w6beg[10] , \tile_x11y3_w6beg[9] , \tile_x11y3_w6beg[8] , \tile_x11y3_w6beg[7] , \tile_x11y3_w6beg[6] , \tile_x11y3_w6beg[5] , \tile_x11y3_w6beg[4] , \tile_x11y3_w6beg[3] , \tile_x11y3_w6beg[2] , \tile_x11y3_w6beg[1] , \tile_x11y3_w6beg[0] }),
.ww4beg({ \tile_x10y3_ww4beg[15] , \tile_x10y3_ww4beg[14] , \tile_x10y3_ww4beg[13] , \tile_x10y3_ww4beg[12] , \tile_x10y3_ww4beg[11] , \tile_x10y3_ww4beg[10] , \tile_x10y3_ww4beg[9] , \tile_x10y3_ww4beg[8] , \tile_x10y3_ww4beg[7] , \tile_x10y3_ww4beg[6] , \tile_x10y3_ww4beg[5] , \tile_x10y3_ww4beg[4] , \tile_x10y3_ww4beg[3] , \tile_x10y3_ww4beg[2] , \tile_x10y3_ww4beg[1] , \tile_x10y3_ww4beg[0] }),
.ww4end({ \tile_x11y3_ww4beg[15] , \tile_x11y3_ww4beg[14] , \tile_x11y3_ww4beg[13] , \tile_x11y3_ww4beg[12] , \tile_x11y3_ww4beg[11] , \tile_x11y3_ww4beg[10] , \tile_x11y3_ww4beg[9] , \tile_x11y3_ww4beg[8] , \tile_x11y3_ww4beg[7] , \tile_x11y3_ww4beg[6] , \tile_x11y3_ww4beg[5] , \tile_x11y3_ww4beg[4] , \tile_x11y3_ww4beg[3] , \tile_x11y3_ww4beg[2] , \tile_x11y3_ww4beg[1] , \tile_x11y3_ww4beg[0] })
);
lut4ab tile_x10y4_lut4ab (
.ci(tile_x10y5_co),
.co(tile_x10y4_co),
.e1beg({ \tile_x10y4_e1beg[3] , \tile_x10y4_e1beg[2] , \tile_x10y4_e1beg[1] , \tile_x10y4_e1beg[0] }),
.e1end({ \tile_x9y4_e1beg[3] , \tile_x9y4_e1beg[2] , \tile_x9y4_e1beg[1] , \tile_x9y4_e1beg[0] }),
.e2beg({ \tile_x10y4_e2beg[7] , \tile_x10y4_e2beg[6] , \tile_x10y4_e2beg[5] , \tile_x10y4_e2beg[4] , \tile_x10y4_e2beg[3] , \tile_x10y4_e2beg[2] , \tile_x10y4_e2beg[1] , \tile_x10y4_e2beg[0] }),
.e2begb({ \tile_x10y4_e2begb[7] , \tile_x10y4_e2begb[6] , \tile_x10y4_e2begb[5] , \tile_x10y4_e2begb[4] , \tile_x10y4_e2begb[3] , \tile_x10y4_e2begb[2] , \tile_x10y4_e2begb[1] , \tile_x10y4_e2begb[0] }),
.e2end({ \tile_x9y4_e2begb[7] , \tile_x9y4_e2begb[6] , \tile_x9y4_e2begb[5] , \tile_x9y4_e2begb[4] , \tile_x9y4_e2begb[3] , \tile_x9y4_e2begb[2] , \tile_x9y4_e2begb[1] , \tile_x9y4_e2begb[0] }),
.e2mid({ \tile_x9y4_e2beg[7] , \tile_x9y4_e2beg[6] , \tile_x9y4_e2beg[5] , \tile_x9y4_e2beg[4] , \tile_x9y4_e2beg[3] , \tile_x9y4_e2beg[2] , \tile_x9y4_e2beg[1] , \tile_x9y4_e2beg[0] }),
.e6beg({ \tile_x10y4_e6beg[11] , \tile_x10y4_e6beg[10] , \tile_x10y4_e6beg[9] , \tile_x10y4_e6beg[8] , \tile_x10y4_e6beg[7] , \tile_x10y4_e6beg[6] , \tile_x10y4_e6beg[5] , \tile_x10y4_e6beg[4] , \tile_x10y4_e6beg[3] , \tile_x10y4_e6beg[2] , \tile_x10y4_e6beg[1] , \tile_x10y4_e6beg[0] }),
.e6end({ \tile_x9y4_e6beg[11] , \tile_x9y4_e6beg[10] , \tile_x9y4_e6beg[9] , \tile_x9y4_e6beg[8] , \tile_x9y4_e6beg[7] , \tile_x9y4_e6beg[6] , \tile_x9y4_e6beg[5] , \tile_x9y4_e6beg[4] , \tile_x9y4_e6beg[3] , \tile_x9y4_e6beg[2] , \tile_x9y4_e6beg[1] , \tile_x9y4_e6beg[0] }),
.ee4beg({ \tile_x10y4_ee4beg[15] , \tile_x10y4_ee4beg[14] , \tile_x10y4_ee4beg[13] , \tile_x10y4_ee4beg[12] , \tile_x10y4_ee4beg[11] , \tile_x10y4_ee4beg[10] , \tile_x10y4_ee4beg[9] , \tile_x10y4_ee4beg[8] , \tile_x10y4_ee4beg[7] , \tile_x10y4_ee4beg[6] , \tile_x10y4_ee4beg[5] , \tile_x10y4_ee4beg[4] , \tile_x10y4_ee4beg[3] , \tile_x10y4_ee4beg[2] , \tile_x10y4_ee4beg[1] , \tile_x10y4_ee4beg[0] }),
.ee4end({ \tile_x9y4_ee4beg[15] , \tile_x9y4_ee4beg[14] , \tile_x9y4_ee4beg[13] , \tile_x9y4_ee4beg[12] , \tile_x9y4_ee4beg[11] , \tile_x9y4_ee4beg[10] , \tile_x9y4_ee4beg[9] , \tile_x9y4_ee4beg[8] , \tile_x9y4_ee4beg[7] , \tile_x9y4_ee4beg[6] , \tile_x9y4_ee4beg[5] , \tile_x9y4_ee4beg[4] , \tile_x9y4_ee4beg[3] , \tile_x9y4_ee4beg[2] , \tile_x9y4_ee4beg[1] , \tile_x9y4_ee4beg[0] }),
.framedata({ \tile_x9y4_framedata_o[31] , \tile_x9y4_framedata_o[30] , \tile_x9y4_framedata_o[29] , \tile_x9y4_framedata_o[28] , \tile_x9y4_framedata_o[27] , \tile_x9y4_framedata_o[26] , \tile_x9y4_framedata_o[25] , \tile_x9y4_framedata_o[24] , \tile_x9y4_framedata_o[23] , \tile_x9y4_framedata_o[22] , \tile_x9y4_framedata_o[21] , \tile_x9y4_framedata_o[20] , \tile_x9y4_framedata_o[19] , \tile_x9y4_framedata_o[18] , \tile_x9y4_framedata_o[17] , \tile_x9y4_framedata_o[16] , \tile_x9y4_framedata_o[15] , \tile_x9y4_framedata_o[14] , \tile_x9y4_framedata_o[13] , \tile_x9y4_framedata_o[12] , \tile_x9y4_framedata_o[11] , \tile_x9y4_framedata_o[10] , \tile_x9y4_framedata_o[9] , \tile_x9y4_framedata_o[8] , \tile_x9y4_framedata_o[7] , \tile_x9y4_framedata_o[6] , \tile_x9y4_framedata_o[5] , \tile_x9y4_framedata_o[4] , \tile_x9y4_framedata_o[3] , \tile_x9y4_framedata_o[2] , \tile_x9y4_framedata_o[1] , \tile_x9y4_framedata_o[0] }),
.framedata_o({ \tile_x10y4_framedata_o[31] , \tile_x10y4_framedata_o[30] , \tile_x10y4_framedata_o[29] , \tile_x10y4_framedata_o[28] , \tile_x10y4_framedata_o[27] , \tile_x10y4_framedata_o[26] , \tile_x10y4_framedata_o[25] , \tile_x10y4_framedata_o[24] , \tile_x10y4_framedata_o[23] , \tile_x10y4_framedata_o[22] , \tile_x10y4_framedata_o[21] , \tile_x10y4_framedata_o[20] , \tile_x10y4_framedata_o[19] , \tile_x10y4_framedata_o[18] , \tile_x10y4_framedata_o[17] , \tile_x10y4_framedata_o[16] , \tile_x10y4_framedata_o[15] , \tile_x10y4_framedata_o[14] , \tile_x10y4_framedata_o[13] , \tile_x10y4_framedata_o[12] , \tile_x10y4_framedata_o[11] , \tile_x10y4_framedata_o[10] , \tile_x10y4_framedata_o[9] , \tile_x10y4_framedata_o[8] , \tile_x10y4_framedata_o[7] , \tile_x10y4_framedata_o[6] , \tile_x10y4_framedata_o[5] , \tile_x10y4_framedata_o[4] , \tile_x10y4_framedata_o[3] , \tile_x10y4_framedata_o[2] , \tile_x10y4_framedata_o[1] , \tile_x10y4_framedata_o[0] }),
.framestrobe({ \tile_x10y5_framestrobe_o[19] , \tile_x10y5_framestrobe_o[18] , \tile_x10y5_framestrobe_o[17] , \tile_x10y5_framestrobe_o[16] , \tile_x10y5_framestrobe_o[15] , \tile_x10y5_framestrobe_o[14] , \tile_x10y5_framestrobe_o[13] , \tile_x10y5_framestrobe_o[12] , \tile_x10y5_framestrobe_o[11] , \tile_x10y5_framestrobe_o[10] , \tile_x10y5_framestrobe_o[9] , \tile_x10y5_framestrobe_o[8] , \tile_x10y5_framestrobe_o[7] , \tile_x10y5_framestrobe_o[6] , \tile_x10y5_framestrobe_o[5] , \tile_x10y5_framestrobe_o[4] , \tile_x10y5_framestrobe_o[3] , \tile_x10y5_framestrobe_o[2] , \tile_x10y5_framestrobe_o[1] , \tile_x10y5_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y4_framestrobe_o[19] , \tile_x10y4_framestrobe_o[18] , \tile_x10y4_framestrobe_o[17] , \tile_x10y4_framestrobe_o[16] , \tile_x10y4_framestrobe_o[15] , \tile_x10y4_framestrobe_o[14] , \tile_x10y4_framestrobe_o[13] , \tile_x10y4_framestrobe_o[12] , \tile_x10y4_framestrobe_o[11] , \tile_x10y4_framestrobe_o[10] , \tile_x10y4_framestrobe_o[9] , \tile_x10y4_framestrobe_o[8] , \tile_x10y4_framestrobe_o[7] , \tile_x10y4_framestrobe_o[6] , \tile_x10y4_framestrobe_o[5] , \tile_x10y4_framestrobe_o[4] , \tile_x10y4_framestrobe_o[3] , \tile_x10y4_framestrobe_o[2] , \tile_x10y4_framestrobe_o[1] , \tile_x10y4_framestrobe_o[0] }),
.n1beg({ \tile_x10y4_n1beg[3] , \tile_x10y4_n1beg[2] , \tile_x10y4_n1beg[1] , \tile_x10y4_n1beg[0] }),
.n1end({ \tile_x10y5_n1beg[3] , \tile_x10y5_n1beg[2] , \tile_x10y5_n1beg[1] , \tile_x10y5_n1beg[0] }),
.n2beg({ \tile_x10y4_n2beg[7] , \tile_x10y4_n2beg[6] , \tile_x10y4_n2beg[5] , \tile_x10y4_n2beg[4] , \tile_x10y4_n2beg[3] , \tile_x10y4_n2beg[2] , \tile_x10y4_n2beg[1] , \tile_x10y4_n2beg[0] }),
.n2begb({ \tile_x10y4_n2begb[7] , \tile_x10y4_n2begb[6] , \tile_x10y4_n2begb[5] , \tile_x10y4_n2begb[4] , \tile_x10y4_n2begb[3] , \tile_x10y4_n2begb[2] , \tile_x10y4_n2begb[1] , \tile_x10y4_n2begb[0] }),
.n2end({ \tile_x10y5_n2begb[7] , \tile_x10y5_n2begb[6] , \tile_x10y5_n2begb[5] , \tile_x10y5_n2begb[4] , \tile_x10y5_n2begb[3] , \tile_x10y5_n2begb[2] , \tile_x10y5_n2begb[1] , \tile_x10y5_n2begb[0] }),
.n2mid({ \tile_x10y5_n2beg[7] , \tile_x10y5_n2beg[6] , \tile_x10y5_n2beg[5] , \tile_x10y5_n2beg[4] , \tile_x10y5_n2beg[3] , \tile_x10y5_n2beg[2] , \tile_x10y5_n2beg[1] , \tile_x10y5_n2beg[0] }),
.n4beg({ \tile_x10y4_n4beg[15] , \tile_x10y4_n4beg[14] , \tile_x10y4_n4beg[13] , \tile_x10y4_n4beg[12] , \tile_x10y4_n4beg[11] , \tile_x10y4_n4beg[10] , \tile_x10y4_n4beg[9] , \tile_x10y4_n4beg[8] , \tile_x10y4_n4beg[7] , \tile_x10y4_n4beg[6] , \tile_x10y4_n4beg[5] , \tile_x10y4_n4beg[4] , \tile_x10y4_n4beg[3] , \tile_x10y4_n4beg[2] , \tile_x10y4_n4beg[1] , \tile_x10y4_n4beg[0] }),
.n4end({ \tile_x10y5_n4beg[15] , \tile_x10y5_n4beg[14] , \tile_x10y5_n4beg[13] , \tile_x10y5_n4beg[12] , \tile_x10y5_n4beg[11] , \tile_x10y5_n4beg[10] , \tile_x10y5_n4beg[9] , \tile_x10y5_n4beg[8] , \tile_x10y5_n4beg[7] , \tile_x10y5_n4beg[6] , \tile_x10y5_n4beg[5] , \tile_x10y5_n4beg[4] , \tile_x10y5_n4beg[3] , \tile_x10y5_n4beg[2] , \tile_x10y5_n4beg[1] , \tile_x10y5_n4beg[0] }),
.nn4beg({ \tile_x10y4_nn4beg[15] , \tile_x10y4_nn4beg[14] , \tile_x10y4_nn4beg[13] , \tile_x10y4_nn4beg[12] , \tile_x10y4_nn4beg[11] , \tile_x10y4_nn4beg[10] , \tile_x10y4_nn4beg[9] , \tile_x10y4_nn4beg[8] , \tile_x10y4_nn4beg[7] , \tile_x10y4_nn4beg[6] , \tile_x10y4_nn4beg[5] , \tile_x10y4_nn4beg[4] , \tile_x10y4_nn4beg[3] , \tile_x10y4_nn4beg[2] , \tile_x10y4_nn4beg[1] , \tile_x10y4_nn4beg[0] }),
.nn4end({ \tile_x10y5_nn4beg[15] , \tile_x10y5_nn4beg[14] , \tile_x10y5_nn4beg[13] , \tile_x10y5_nn4beg[12] , \tile_x10y5_nn4beg[11] , \tile_x10y5_nn4beg[10] , \tile_x10y5_nn4beg[9] , \tile_x10y5_nn4beg[8] , \tile_x10y5_nn4beg[7] , \tile_x10y5_nn4beg[6] , \tile_x10y5_nn4beg[5] , \tile_x10y5_nn4beg[4] , \tile_x10y5_nn4beg[3] , \tile_x10y5_nn4beg[2] , \tile_x10y5_nn4beg[1] , \tile_x10y5_nn4beg[0] }),
.s1beg({ \tile_x10y4_s1beg[3] , \tile_x10y4_s1beg[2] , \tile_x10y4_s1beg[1] , \tile_x10y4_s1beg[0] }),
.s1end({ \tile_x10y3_s1beg[3] , \tile_x10y3_s1beg[2] , \tile_x10y3_s1beg[1] , \tile_x10y3_s1beg[0] }),
.s2beg({ \tile_x10y4_s2beg[7] , \tile_x10y4_s2beg[6] , \tile_x10y4_s2beg[5] , \tile_x10y4_s2beg[4] , \tile_x10y4_s2beg[3] , \tile_x10y4_s2beg[2] , \tile_x10y4_s2beg[1] , \tile_x10y4_s2beg[0] }),
.s2begb({ \tile_x10y4_s2begb[7] , \tile_x10y4_s2begb[6] , \tile_x10y4_s2begb[5] , \tile_x10y4_s2begb[4] , \tile_x10y4_s2begb[3] , \tile_x10y4_s2begb[2] , \tile_x10y4_s2begb[1] , \tile_x10y4_s2begb[0] }),
.s2end({ \tile_x10y3_s2begb[7] , \tile_x10y3_s2begb[6] , \tile_x10y3_s2begb[5] , \tile_x10y3_s2begb[4] , \tile_x10y3_s2begb[3] , \tile_x10y3_s2begb[2] , \tile_x10y3_s2begb[1] , \tile_x10y3_s2begb[0] }),
.s2mid({ \tile_x10y3_s2beg[7] , \tile_x10y3_s2beg[6] , \tile_x10y3_s2beg[5] , \tile_x10y3_s2beg[4] , \tile_x10y3_s2beg[3] , \tile_x10y3_s2beg[2] , \tile_x10y3_s2beg[1] , \tile_x10y3_s2beg[0] }),
.s4beg({ \tile_x10y4_s4beg[15] , \tile_x10y4_s4beg[14] , \tile_x10y4_s4beg[13] , \tile_x10y4_s4beg[12] , \tile_x10y4_s4beg[11] , \tile_x10y4_s4beg[10] , \tile_x10y4_s4beg[9] , \tile_x10y4_s4beg[8] , \tile_x10y4_s4beg[7] , \tile_x10y4_s4beg[6] , \tile_x10y4_s4beg[5] , \tile_x10y4_s4beg[4] , \tile_x10y4_s4beg[3] , \tile_x10y4_s4beg[2] , \tile_x10y4_s4beg[1] , \tile_x10y4_s4beg[0] }),
.s4end({ \tile_x10y3_s4beg[15] , \tile_x10y3_s4beg[14] , \tile_x10y3_s4beg[13] , \tile_x10y3_s4beg[12] , \tile_x10y3_s4beg[11] , \tile_x10y3_s4beg[10] , \tile_x10y3_s4beg[9] , \tile_x10y3_s4beg[8] , \tile_x10y3_s4beg[7] , \tile_x10y3_s4beg[6] , \tile_x10y3_s4beg[5] , \tile_x10y3_s4beg[4] , \tile_x10y3_s4beg[3] , \tile_x10y3_s4beg[2] , \tile_x10y3_s4beg[1] , \tile_x10y3_s4beg[0] }),
.ss4beg({ \tile_x10y4_ss4beg[15] , \tile_x10y4_ss4beg[14] , \tile_x10y4_ss4beg[13] , \tile_x10y4_ss4beg[12] , \tile_x10y4_ss4beg[11] , \tile_x10y4_ss4beg[10] , \tile_x10y4_ss4beg[9] , \tile_x10y4_ss4beg[8] , \tile_x10y4_ss4beg[7] , \tile_x10y4_ss4beg[6] , \tile_x10y4_ss4beg[5] , \tile_x10y4_ss4beg[4] , \tile_x10y4_ss4beg[3] , \tile_x10y4_ss4beg[2] , \tile_x10y4_ss4beg[1] , \tile_x10y4_ss4beg[0] }),
.ss4end({ \tile_x10y3_ss4beg[15] , \tile_x10y3_ss4beg[14] , \tile_x10y3_ss4beg[13] , \tile_x10y3_ss4beg[12] , \tile_x10y3_ss4beg[11] , \tile_x10y3_ss4beg[10] , \tile_x10y3_ss4beg[9] , \tile_x10y3_ss4beg[8] , \tile_x10y3_ss4beg[7] , \tile_x10y3_ss4beg[6] , \tile_x10y3_ss4beg[5] , \tile_x10y3_ss4beg[4] , \tile_x10y3_ss4beg[3] , \tile_x10y3_ss4beg[2] , \tile_x10y3_ss4beg[1] , \tile_x10y3_ss4beg[0] }),
.userclk(tile_x10y5_userclko),
.userclko(tile_x10y4_userclko),
.w1beg({ \tile_x10y4_w1beg[3] , \tile_x10y4_w1beg[2] , \tile_x10y4_w1beg[1] , \tile_x10y4_w1beg[0] }),
.w1end({ \tile_x11y4_w1beg[3] , \tile_x11y4_w1beg[2] , \tile_x11y4_w1beg[1] , \tile_x11y4_w1beg[0] }),
.w2beg({ \tile_x10y4_w2beg[7] , \tile_x10y4_w2beg[6] , \tile_x10y4_w2beg[5] , \tile_x10y4_w2beg[4] , \tile_x10y4_w2beg[3] , \tile_x10y4_w2beg[2] , \tile_x10y4_w2beg[1] , \tile_x10y4_w2beg[0] }),
.w2begb({ \tile_x10y4_w2begb[7] , \tile_x10y4_w2begb[6] , \tile_x10y4_w2begb[5] , \tile_x10y4_w2begb[4] , \tile_x10y4_w2begb[3] , \tile_x10y4_w2begb[2] , \tile_x10y4_w2begb[1] , \tile_x10y4_w2begb[0] }),
.w2end({ \tile_x11y4_w2begb[7] , \tile_x11y4_w2begb[6] , \tile_x11y4_w2begb[5] , \tile_x11y4_w2begb[4] , \tile_x11y4_w2begb[3] , \tile_x11y4_w2begb[2] , \tile_x11y4_w2begb[1] , \tile_x11y4_w2begb[0] }),
.w2mid({ \tile_x11y4_w2beg[7] , \tile_x11y4_w2beg[6] , \tile_x11y4_w2beg[5] , \tile_x11y4_w2beg[4] , \tile_x11y4_w2beg[3] , \tile_x11y4_w2beg[2] , \tile_x11y4_w2beg[1] , \tile_x11y4_w2beg[0] }),
.w6beg({ \tile_x10y4_w6beg[11] , \tile_x10y4_w6beg[10] , \tile_x10y4_w6beg[9] , \tile_x10y4_w6beg[8] , \tile_x10y4_w6beg[7] , \tile_x10y4_w6beg[6] , \tile_x10y4_w6beg[5] , \tile_x10y4_w6beg[4] , \tile_x10y4_w6beg[3] , \tile_x10y4_w6beg[2] , \tile_x10y4_w6beg[1] , \tile_x10y4_w6beg[0] }),
.w6end({ \tile_x11y4_w6beg[11] , \tile_x11y4_w6beg[10] , \tile_x11y4_w6beg[9] , \tile_x11y4_w6beg[8] , \tile_x11y4_w6beg[7] , \tile_x11y4_w6beg[6] , \tile_x11y4_w6beg[5] , \tile_x11y4_w6beg[4] , \tile_x11y4_w6beg[3] , \tile_x11y4_w6beg[2] , \tile_x11y4_w6beg[1] , \tile_x11y4_w6beg[0] }),
.ww4beg({ \tile_x10y4_ww4beg[15] , \tile_x10y4_ww4beg[14] , \tile_x10y4_ww4beg[13] , \tile_x10y4_ww4beg[12] , \tile_x10y4_ww4beg[11] , \tile_x10y4_ww4beg[10] , \tile_x10y4_ww4beg[9] , \tile_x10y4_ww4beg[8] , \tile_x10y4_ww4beg[7] , \tile_x10y4_ww4beg[6] , \tile_x10y4_ww4beg[5] , \tile_x10y4_ww4beg[4] , \tile_x10y4_ww4beg[3] , \tile_x10y4_ww4beg[2] , \tile_x10y4_ww4beg[1] , \tile_x10y4_ww4beg[0] }),
.ww4end({ \tile_x11y4_ww4beg[15] , \tile_x11y4_ww4beg[14] , \tile_x11y4_ww4beg[13] , \tile_x11y4_ww4beg[12] , \tile_x11y4_ww4beg[11] , \tile_x11y4_ww4beg[10] , \tile_x11y4_ww4beg[9] , \tile_x11y4_ww4beg[8] , \tile_x11y4_ww4beg[7] , \tile_x11y4_ww4beg[6] , \tile_x11y4_ww4beg[5] , \tile_x11y4_ww4beg[4] , \tile_x11y4_ww4beg[3] , \tile_x11y4_ww4beg[2] , \tile_x11y4_ww4beg[1] , \tile_x11y4_ww4beg[0] })
);
lut4ab tile_x10y5_lut4ab (
.ci(tile_x10y6_co),
.co(tile_x10y5_co),
.e1beg({ \tile_x10y5_e1beg[3] , \tile_x10y5_e1beg[2] , \tile_x10y5_e1beg[1] , \tile_x10y5_e1beg[0] }),
.e1end({ \tile_x9y5_e1beg[3] , \tile_x9y5_e1beg[2] , \tile_x9y5_e1beg[1] , \tile_x9y5_e1beg[0] }),
.e2beg({ \tile_x10y5_e2beg[7] , \tile_x10y5_e2beg[6] , \tile_x10y5_e2beg[5] , \tile_x10y5_e2beg[4] , \tile_x10y5_e2beg[3] , \tile_x10y5_e2beg[2] , \tile_x10y5_e2beg[1] , \tile_x10y5_e2beg[0] }),
.e2begb({ \tile_x10y5_e2begb[7] , \tile_x10y5_e2begb[6] , \tile_x10y5_e2begb[5] , \tile_x10y5_e2begb[4] , \tile_x10y5_e2begb[3] , \tile_x10y5_e2begb[2] , \tile_x10y5_e2begb[1] , \tile_x10y5_e2begb[0] }),
.e2end({ \tile_x9y5_e2begb[7] , \tile_x9y5_e2begb[6] , \tile_x9y5_e2begb[5] , \tile_x9y5_e2begb[4] , \tile_x9y5_e2begb[3] , \tile_x9y5_e2begb[2] , \tile_x9y5_e2begb[1] , \tile_x9y5_e2begb[0] }),
.e2mid({ \tile_x9y5_e2beg[7] , \tile_x9y5_e2beg[6] , \tile_x9y5_e2beg[5] , \tile_x9y5_e2beg[4] , \tile_x9y5_e2beg[3] , \tile_x9y5_e2beg[2] , \tile_x9y5_e2beg[1] , \tile_x9y5_e2beg[0] }),
.e6beg({ \tile_x10y5_e6beg[11] , \tile_x10y5_e6beg[10] , \tile_x10y5_e6beg[9] , \tile_x10y5_e6beg[8] , \tile_x10y5_e6beg[7] , \tile_x10y5_e6beg[6] , \tile_x10y5_e6beg[5] , \tile_x10y5_e6beg[4] , \tile_x10y5_e6beg[3] , \tile_x10y5_e6beg[2] , \tile_x10y5_e6beg[1] , \tile_x10y5_e6beg[0] }),
.e6end({ \tile_x9y5_e6beg[11] , \tile_x9y5_e6beg[10] , \tile_x9y5_e6beg[9] , \tile_x9y5_e6beg[8] , \tile_x9y5_e6beg[7] , \tile_x9y5_e6beg[6] , \tile_x9y5_e6beg[5] , \tile_x9y5_e6beg[4] , \tile_x9y5_e6beg[3] , \tile_x9y5_e6beg[2] , \tile_x9y5_e6beg[1] , \tile_x9y5_e6beg[0] }),
.ee4beg({ \tile_x10y5_ee4beg[15] , \tile_x10y5_ee4beg[14] , \tile_x10y5_ee4beg[13] , \tile_x10y5_ee4beg[12] , \tile_x10y5_ee4beg[11] , \tile_x10y5_ee4beg[10] , \tile_x10y5_ee4beg[9] , \tile_x10y5_ee4beg[8] , \tile_x10y5_ee4beg[7] , \tile_x10y5_ee4beg[6] , \tile_x10y5_ee4beg[5] , \tile_x10y5_ee4beg[4] , \tile_x10y5_ee4beg[3] , \tile_x10y5_ee4beg[2] , \tile_x10y5_ee4beg[1] , \tile_x10y5_ee4beg[0] }),
.ee4end({ \tile_x9y5_ee4beg[15] , \tile_x9y5_ee4beg[14] , \tile_x9y5_ee4beg[13] , \tile_x9y5_ee4beg[12] , \tile_x9y5_ee4beg[11] , \tile_x9y5_ee4beg[10] , \tile_x9y5_ee4beg[9] , \tile_x9y5_ee4beg[8] , \tile_x9y5_ee4beg[7] , \tile_x9y5_ee4beg[6] , \tile_x9y5_ee4beg[5] , \tile_x9y5_ee4beg[4] , \tile_x9y5_ee4beg[3] , \tile_x9y5_ee4beg[2] , \tile_x9y5_ee4beg[1] , \tile_x9y5_ee4beg[0] }),
.framedata({ \tile_x9y5_framedata_o[31] , \tile_x9y5_framedata_o[30] , \tile_x9y5_framedata_o[29] , \tile_x9y5_framedata_o[28] , \tile_x9y5_framedata_o[27] , \tile_x9y5_framedata_o[26] , \tile_x9y5_framedata_o[25] , \tile_x9y5_framedata_o[24] , \tile_x9y5_framedata_o[23] , \tile_x9y5_framedata_o[22] , \tile_x9y5_framedata_o[21] , \tile_x9y5_framedata_o[20] , \tile_x9y5_framedata_o[19] , \tile_x9y5_framedata_o[18] , \tile_x9y5_framedata_o[17] , \tile_x9y5_framedata_o[16] , \tile_x9y5_framedata_o[15] , \tile_x9y5_framedata_o[14] , \tile_x9y5_framedata_o[13] , \tile_x9y5_framedata_o[12] , \tile_x9y5_framedata_o[11] , \tile_x9y5_framedata_o[10] , \tile_x9y5_framedata_o[9] , \tile_x9y5_framedata_o[8] , \tile_x9y5_framedata_o[7] , \tile_x9y5_framedata_o[6] , \tile_x9y5_framedata_o[5] , \tile_x9y5_framedata_o[4] , \tile_x9y5_framedata_o[3] , \tile_x9y5_framedata_o[2] , \tile_x9y5_framedata_o[1] , \tile_x9y5_framedata_o[0] }),
.framedata_o({ \tile_x10y5_framedata_o[31] , \tile_x10y5_framedata_o[30] , \tile_x10y5_framedata_o[29] , \tile_x10y5_framedata_o[28] , \tile_x10y5_framedata_o[27] , \tile_x10y5_framedata_o[26] , \tile_x10y5_framedata_o[25] , \tile_x10y5_framedata_o[24] , \tile_x10y5_framedata_o[23] , \tile_x10y5_framedata_o[22] , \tile_x10y5_framedata_o[21] , \tile_x10y5_framedata_o[20] , \tile_x10y5_framedata_o[19] , \tile_x10y5_framedata_o[18] , \tile_x10y5_framedata_o[17] , \tile_x10y5_framedata_o[16] , \tile_x10y5_framedata_o[15] , \tile_x10y5_framedata_o[14] , \tile_x10y5_framedata_o[13] , \tile_x10y5_framedata_o[12] , \tile_x10y5_framedata_o[11] , \tile_x10y5_framedata_o[10] , \tile_x10y5_framedata_o[9] , \tile_x10y5_framedata_o[8] , \tile_x10y5_framedata_o[7] , \tile_x10y5_framedata_o[6] , \tile_x10y5_framedata_o[5] , \tile_x10y5_framedata_o[4] , \tile_x10y5_framedata_o[3] , \tile_x10y5_framedata_o[2] , \tile_x10y5_framedata_o[1] , \tile_x10y5_framedata_o[0] }),
.framestrobe({ \tile_x10y6_framestrobe_o[19] , \tile_x10y6_framestrobe_o[18] , \tile_x10y6_framestrobe_o[17] , \tile_x10y6_framestrobe_o[16] , \tile_x10y6_framestrobe_o[15] , \tile_x10y6_framestrobe_o[14] , \tile_x10y6_framestrobe_o[13] , \tile_x10y6_framestrobe_o[12] , \tile_x10y6_framestrobe_o[11] , \tile_x10y6_framestrobe_o[10] , \tile_x10y6_framestrobe_o[9] , \tile_x10y6_framestrobe_o[8] , \tile_x10y6_framestrobe_o[7] , \tile_x10y6_framestrobe_o[6] , \tile_x10y6_framestrobe_o[5] , \tile_x10y6_framestrobe_o[4] , \tile_x10y6_framestrobe_o[3] , \tile_x10y6_framestrobe_o[2] , \tile_x10y6_framestrobe_o[1] , \tile_x10y6_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y5_framestrobe_o[19] , \tile_x10y5_framestrobe_o[18] , \tile_x10y5_framestrobe_o[17] , \tile_x10y5_framestrobe_o[16] , \tile_x10y5_framestrobe_o[15] , \tile_x10y5_framestrobe_o[14] , \tile_x10y5_framestrobe_o[13] , \tile_x10y5_framestrobe_o[12] , \tile_x10y5_framestrobe_o[11] , \tile_x10y5_framestrobe_o[10] , \tile_x10y5_framestrobe_o[9] , \tile_x10y5_framestrobe_o[8] , \tile_x10y5_framestrobe_o[7] , \tile_x10y5_framestrobe_o[6] , \tile_x10y5_framestrobe_o[5] , \tile_x10y5_framestrobe_o[4] , \tile_x10y5_framestrobe_o[3] , \tile_x10y5_framestrobe_o[2] , \tile_x10y5_framestrobe_o[1] , \tile_x10y5_framestrobe_o[0] }),
.n1beg({ \tile_x10y5_n1beg[3] , \tile_x10y5_n1beg[2] , \tile_x10y5_n1beg[1] , \tile_x10y5_n1beg[0] }),
.n1end({ \tile_x10y6_n1beg[3] , \tile_x10y6_n1beg[2] , \tile_x10y6_n1beg[1] , \tile_x10y6_n1beg[0] }),
.n2beg({ \tile_x10y5_n2beg[7] , \tile_x10y5_n2beg[6] , \tile_x10y5_n2beg[5] , \tile_x10y5_n2beg[4] , \tile_x10y5_n2beg[3] , \tile_x10y5_n2beg[2] , \tile_x10y5_n2beg[1] , \tile_x10y5_n2beg[0] }),
.n2begb({ \tile_x10y5_n2begb[7] , \tile_x10y5_n2begb[6] , \tile_x10y5_n2begb[5] , \tile_x10y5_n2begb[4] , \tile_x10y5_n2begb[3] , \tile_x10y5_n2begb[2] , \tile_x10y5_n2begb[1] , \tile_x10y5_n2begb[0] }),
.n2end({ \tile_x10y6_n2begb[7] , \tile_x10y6_n2begb[6] , \tile_x10y6_n2begb[5] , \tile_x10y6_n2begb[4] , \tile_x10y6_n2begb[3] , \tile_x10y6_n2begb[2] , \tile_x10y6_n2begb[1] , \tile_x10y6_n2begb[0] }),
.n2mid({ \tile_x10y6_n2beg[7] , \tile_x10y6_n2beg[6] , \tile_x10y6_n2beg[5] , \tile_x10y6_n2beg[4] , \tile_x10y6_n2beg[3] , \tile_x10y6_n2beg[2] , \tile_x10y6_n2beg[1] , \tile_x10y6_n2beg[0] }),
.n4beg({ \tile_x10y5_n4beg[15] , \tile_x10y5_n4beg[14] , \tile_x10y5_n4beg[13] , \tile_x10y5_n4beg[12] , \tile_x10y5_n4beg[11] , \tile_x10y5_n4beg[10] , \tile_x10y5_n4beg[9] , \tile_x10y5_n4beg[8] , \tile_x10y5_n4beg[7] , \tile_x10y5_n4beg[6] , \tile_x10y5_n4beg[5] , \tile_x10y5_n4beg[4] , \tile_x10y5_n4beg[3] , \tile_x10y5_n4beg[2] , \tile_x10y5_n4beg[1] , \tile_x10y5_n4beg[0] }),
.n4end({ \tile_x10y6_n4beg[15] , \tile_x10y6_n4beg[14] , \tile_x10y6_n4beg[13] , \tile_x10y6_n4beg[12] , \tile_x10y6_n4beg[11] , \tile_x10y6_n4beg[10] , \tile_x10y6_n4beg[9] , \tile_x10y6_n4beg[8] , \tile_x10y6_n4beg[7] , \tile_x10y6_n4beg[6] , \tile_x10y6_n4beg[5] , \tile_x10y6_n4beg[4] , \tile_x10y6_n4beg[3] , \tile_x10y6_n4beg[2] , \tile_x10y6_n4beg[1] , \tile_x10y6_n4beg[0] }),
.nn4beg({ \tile_x10y5_nn4beg[15] , \tile_x10y5_nn4beg[14] , \tile_x10y5_nn4beg[13] , \tile_x10y5_nn4beg[12] , \tile_x10y5_nn4beg[11] , \tile_x10y5_nn4beg[10] , \tile_x10y5_nn4beg[9] , \tile_x10y5_nn4beg[8] , \tile_x10y5_nn4beg[7] , \tile_x10y5_nn4beg[6] , \tile_x10y5_nn4beg[5] , \tile_x10y5_nn4beg[4] , \tile_x10y5_nn4beg[3] , \tile_x10y5_nn4beg[2] , \tile_x10y5_nn4beg[1] , \tile_x10y5_nn4beg[0] }),
.nn4end({ \tile_x10y6_nn4beg[15] , \tile_x10y6_nn4beg[14] , \tile_x10y6_nn4beg[13] , \tile_x10y6_nn4beg[12] , \tile_x10y6_nn4beg[11] , \tile_x10y6_nn4beg[10] , \tile_x10y6_nn4beg[9] , \tile_x10y6_nn4beg[8] , \tile_x10y6_nn4beg[7] , \tile_x10y6_nn4beg[6] , \tile_x10y6_nn4beg[5] , \tile_x10y6_nn4beg[4] , \tile_x10y6_nn4beg[3] , \tile_x10y6_nn4beg[2] , \tile_x10y6_nn4beg[1] , \tile_x10y6_nn4beg[0] }),
.s1beg({ \tile_x10y5_s1beg[3] , \tile_x10y5_s1beg[2] , \tile_x10y5_s1beg[1] , \tile_x10y5_s1beg[0] }),
.s1end({ \tile_x10y4_s1beg[3] , \tile_x10y4_s1beg[2] , \tile_x10y4_s1beg[1] , \tile_x10y4_s1beg[0] }),
.s2beg({ \tile_x10y5_s2beg[7] , \tile_x10y5_s2beg[6] , \tile_x10y5_s2beg[5] , \tile_x10y5_s2beg[4] , \tile_x10y5_s2beg[3] , \tile_x10y5_s2beg[2] , \tile_x10y5_s2beg[1] , \tile_x10y5_s2beg[0] }),
.s2begb({ \tile_x10y5_s2begb[7] , \tile_x10y5_s2begb[6] , \tile_x10y5_s2begb[5] , \tile_x10y5_s2begb[4] , \tile_x10y5_s2begb[3] , \tile_x10y5_s2begb[2] , \tile_x10y5_s2begb[1] , \tile_x10y5_s2begb[0] }),
.s2end({ \tile_x10y4_s2begb[7] , \tile_x10y4_s2begb[6] , \tile_x10y4_s2begb[5] , \tile_x10y4_s2begb[4] , \tile_x10y4_s2begb[3] , \tile_x10y4_s2begb[2] , \tile_x10y4_s2begb[1] , \tile_x10y4_s2begb[0] }),
.s2mid({ \tile_x10y4_s2beg[7] , \tile_x10y4_s2beg[6] , \tile_x10y4_s2beg[5] , \tile_x10y4_s2beg[4] , \tile_x10y4_s2beg[3] , \tile_x10y4_s2beg[2] , \tile_x10y4_s2beg[1] , \tile_x10y4_s2beg[0] }),
.s4beg({ \tile_x10y5_s4beg[15] , \tile_x10y5_s4beg[14] , \tile_x10y5_s4beg[13] , \tile_x10y5_s4beg[12] , \tile_x10y5_s4beg[11] , \tile_x10y5_s4beg[10] , \tile_x10y5_s4beg[9] , \tile_x10y5_s4beg[8] , \tile_x10y5_s4beg[7] , \tile_x10y5_s4beg[6] , \tile_x10y5_s4beg[5] , \tile_x10y5_s4beg[4] , \tile_x10y5_s4beg[3] , \tile_x10y5_s4beg[2] , \tile_x10y5_s4beg[1] , \tile_x10y5_s4beg[0] }),
.s4end({ \tile_x10y4_s4beg[15] , \tile_x10y4_s4beg[14] , \tile_x10y4_s4beg[13] , \tile_x10y4_s4beg[12] , \tile_x10y4_s4beg[11] , \tile_x10y4_s4beg[10] , \tile_x10y4_s4beg[9] , \tile_x10y4_s4beg[8] , \tile_x10y4_s4beg[7] , \tile_x10y4_s4beg[6] , \tile_x10y4_s4beg[5] , \tile_x10y4_s4beg[4] , \tile_x10y4_s4beg[3] , \tile_x10y4_s4beg[2] , \tile_x10y4_s4beg[1] , \tile_x10y4_s4beg[0] }),
.ss4beg({ \tile_x10y5_ss4beg[15] , \tile_x10y5_ss4beg[14] , \tile_x10y5_ss4beg[13] , \tile_x10y5_ss4beg[12] , \tile_x10y5_ss4beg[11] , \tile_x10y5_ss4beg[10] , \tile_x10y5_ss4beg[9] , \tile_x10y5_ss4beg[8] , \tile_x10y5_ss4beg[7] , \tile_x10y5_ss4beg[6] , \tile_x10y5_ss4beg[5] , \tile_x10y5_ss4beg[4] , \tile_x10y5_ss4beg[3] , \tile_x10y5_ss4beg[2] , \tile_x10y5_ss4beg[1] , \tile_x10y5_ss4beg[0] }),
.ss4end({ \tile_x10y4_ss4beg[15] , \tile_x10y4_ss4beg[14] , \tile_x10y4_ss4beg[13] , \tile_x10y4_ss4beg[12] , \tile_x10y4_ss4beg[11] , \tile_x10y4_ss4beg[10] , \tile_x10y4_ss4beg[9] , \tile_x10y4_ss4beg[8] , \tile_x10y4_ss4beg[7] , \tile_x10y4_ss4beg[6] , \tile_x10y4_ss4beg[5] , \tile_x10y4_ss4beg[4] , \tile_x10y4_ss4beg[3] , \tile_x10y4_ss4beg[2] , \tile_x10y4_ss4beg[1] , \tile_x10y4_ss4beg[0] }),
.userclk(tile_x10y6_userclko),
.userclko(tile_x10y5_userclko),
.w1beg({ \tile_x10y5_w1beg[3] , \tile_x10y5_w1beg[2] , \tile_x10y5_w1beg[1] , \tile_x10y5_w1beg[0] }),
.w1end({ \tile_x11y5_w1beg[3] , \tile_x11y5_w1beg[2] , \tile_x11y5_w1beg[1] , \tile_x11y5_w1beg[0] }),
.w2beg({ \tile_x10y5_w2beg[7] , \tile_x10y5_w2beg[6] , \tile_x10y5_w2beg[5] , \tile_x10y5_w2beg[4] , \tile_x10y5_w2beg[3] , \tile_x10y5_w2beg[2] , \tile_x10y5_w2beg[1] , \tile_x10y5_w2beg[0] }),
.w2begb({ \tile_x10y5_w2begb[7] , \tile_x10y5_w2begb[6] , \tile_x10y5_w2begb[5] , \tile_x10y5_w2begb[4] , \tile_x10y5_w2begb[3] , \tile_x10y5_w2begb[2] , \tile_x10y5_w2begb[1] , \tile_x10y5_w2begb[0] }),
.w2end({ \tile_x11y5_w2begb[7] , \tile_x11y5_w2begb[6] , \tile_x11y5_w2begb[5] , \tile_x11y5_w2begb[4] , \tile_x11y5_w2begb[3] , \tile_x11y5_w2begb[2] , \tile_x11y5_w2begb[1] , \tile_x11y5_w2begb[0] }),
.w2mid({ \tile_x11y5_w2beg[7] , \tile_x11y5_w2beg[6] , \tile_x11y5_w2beg[5] , \tile_x11y5_w2beg[4] , \tile_x11y5_w2beg[3] , \tile_x11y5_w2beg[2] , \tile_x11y5_w2beg[1] , \tile_x11y5_w2beg[0] }),
.w6beg({ \tile_x10y5_w6beg[11] , \tile_x10y5_w6beg[10] , \tile_x10y5_w6beg[9] , \tile_x10y5_w6beg[8] , \tile_x10y5_w6beg[7] , \tile_x10y5_w6beg[6] , \tile_x10y5_w6beg[5] , \tile_x10y5_w6beg[4] , \tile_x10y5_w6beg[3] , \tile_x10y5_w6beg[2] , \tile_x10y5_w6beg[1] , \tile_x10y5_w6beg[0] }),
.w6end({ \tile_x11y5_w6beg[11] , \tile_x11y5_w6beg[10] , \tile_x11y5_w6beg[9] , \tile_x11y5_w6beg[8] , \tile_x11y5_w6beg[7] , \tile_x11y5_w6beg[6] , \tile_x11y5_w6beg[5] , \tile_x11y5_w6beg[4] , \tile_x11y5_w6beg[3] , \tile_x11y5_w6beg[2] , \tile_x11y5_w6beg[1] , \tile_x11y5_w6beg[0] }),
.ww4beg({ \tile_x10y5_ww4beg[15] , \tile_x10y5_ww4beg[14] , \tile_x10y5_ww4beg[13] , \tile_x10y5_ww4beg[12] , \tile_x10y5_ww4beg[11] , \tile_x10y5_ww4beg[10] , \tile_x10y5_ww4beg[9] , \tile_x10y5_ww4beg[8] , \tile_x10y5_ww4beg[7] , \tile_x10y5_ww4beg[6] , \tile_x10y5_ww4beg[5] , \tile_x10y5_ww4beg[4] , \tile_x10y5_ww4beg[3] , \tile_x10y5_ww4beg[2] , \tile_x10y5_ww4beg[1] , \tile_x10y5_ww4beg[0] }),
.ww4end({ \tile_x11y5_ww4beg[15] , \tile_x11y5_ww4beg[14] , \tile_x11y5_ww4beg[13] , \tile_x11y5_ww4beg[12] , \tile_x11y5_ww4beg[11] , \tile_x11y5_ww4beg[10] , \tile_x11y5_ww4beg[9] , \tile_x11y5_ww4beg[8] , \tile_x11y5_ww4beg[7] , \tile_x11y5_ww4beg[6] , \tile_x11y5_ww4beg[5] , \tile_x11y5_ww4beg[4] , \tile_x11y5_ww4beg[3] , \tile_x11y5_ww4beg[2] , \tile_x11y5_ww4beg[1] , \tile_x11y5_ww4beg[0] })
);
lut4ab tile_x10y6_lut4ab (
.ci(tile_x10y7_co),
.co(tile_x10y6_co),
.e1beg({ \tile_x10y6_e1beg[3] , \tile_x10y6_e1beg[2] , \tile_x10y6_e1beg[1] , \tile_x10y6_e1beg[0] }),
.e1end({ \tile_x9y6_e1beg[3] , \tile_x9y6_e1beg[2] , \tile_x9y6_e1beg[1] , \tile_x9y6_e1beg[0] }),
.e2beg({ \tile_x10y6_e2beg[7] , \tile_x10y6_e2beg[6] , \tile_x10y6_e2beg[5] , \tile_x10y6_e2beg[4] , \tile_x10y6_e2beg[3] , \tile_x10y6_e2beg[2] , \tile_x10y6_e2beg[1] , \tile_x10y6_e2beg[0] }),
.e2begb({ \tile_x10y6_e2begb[7] , \tile_x10y6_e2begb[6] , \tile_x10y6_e2begb[5] , \tile_x10y6_e2begb[4] , \tile_x10y6_e2begb[3] , \tile_x10y6_e2begb[2] , \tile_x10y6_e2begb[1] , \tile_x10y6_e2begb[0] }),
.e2end({ \tile_x9y6_e2begb[7] , \tile_x9y6_e2begb[6] , \tile_x9y6_e2begb[5] , \tile_x9y6_e2begb[4] , \tile_x9y6_e2begb[3] , \tile_x9y6_e2begb[2] , \tile_x9y6_e2begb[1] , \tile_x9y6_e2begb[0] }),
.e2mid({ \tile_x9y6_e2beg[7] , \tile_x9y6_e2beg[6] , \tile_x9y6_e2beg[5] , \tile_x9y6_e2beg[4] , \tile_x9y6_e2beg[3] , \tile_x9y6_e2beg[2] , \tile_x9y6_e2beg[1] , \tile_x9y6_e2beg[0] }),
.e6beg({ \tile_x10y6_e6beg[11] , \tile_x10y6_e6beg[10] , \tile_x10y6_e6beg[9] , \tile_x10y6_e6beg[8] , \tile_x10y6_e6beg[7] , \tile_x10y6_e6beg[6] , \tile_x10y6_e6beg[5] , \tile_x10y6_e6beg[4] , \tile_x10y6_e6beg[3] , \tile_x10y6_e6beg[2] , \tile_x10y6_e6beg[1] , \tile_x10y6_e6beg[0] }),
.e6end({ \tile_x9y6_e6beg[11] , \tile_x9y6_e6beg[10] , \tile_x9y6_e6beg[9] , \tile_x9y6_e6beg[8] , \tile_x9y6_e6beg[7] , \tile_x9y6_e6beg[6] , \tile_x9y6_e6beg[5] , \tile_x9y6_e6beg[4] , \tile_x9y6_e6beg[3] , \tile_x9y6_e6beg[2] , \tile_x9y6_e6beg[1] , \tile_x9y6_e6beg[0] }),
.ee4beg({ \tile_x10y6_ee4beg[15] , \tile_x10y6_ee4beg[14] , \tile_x10y6_ee4beg[13] , \tile_x10y6_ee4beg[12] , \tile_x10y6_ee4beg[11] , \tile_x10y6_ee4beg[10] , \tile_x10y6_ee4beg[9] , \tile_x10y6_ee4beg[8] , \tile_x10y6_ee4beg[7] , \tile_x10y6_ee4beg[6] , \tile_x10y6_ee4beg[5] , \tile_x10y6_ee4beg[4] , \tile_x10y6_ee4beg[3] , \tile_x10y6_ee4beg[2] , \tile_x10y6_ee4beg[1] , \tile_x10y6_ee4beg[0] }),
.ee4end({ \tile_x9y6_ee4beg[15] , \tile_x9y6_ee4beg[14] , \tile_x9y6_ee4beg[13] , \tile_x9y6_ee4beg[12] , \tile_x9y6_ee4beg[11] , \tile_x9y6_ee4beg[10] , \tile_x9y6_ee4beg[9] , \tile_x9y6_ee4beg[8] , \tile_x9y6_ee4beg[7] , \tile_x9y6_ee4beg[6] , \tile_x9y6_ee4beg[5] , \tile_x9y6_ee4beg[4] , \tile_x9y6_ee4beg[3] , \tile_x9y6_ee4beg[2] , \tile_x9y6_ee4beg[1] , \tile_x9y6_ee4beg[0] }),
.framedata({ \tile_x9y6_framedata_o[31] , \tile_x9y6_framedata_o[30] , \tile_x9y6_framedata_o[29] , \tile_x9y6_framedata_o[28] , \tile_x9y6_framedata_o[27] , \tile_x9y6_framedata_o[26] , \tile_x9y6_framedata_o[25] , \tile_x9y6_framedata_o[24] , \tile_x9y6_framedata_o[23] , \tile_x9y6_framedata_o[22] , \tile_x9y6_framedata_o[21] , \tile_x9y6_framedata_o[20] , \tile_x9y6_framedata_o[19] , \tile_x9y6_framedata_o[18] , \tile_x9y6_framedata_o[17] , \tile_x9y6_framedata_o[16] , \tile_x9y6_framedata_o[15] , \tile_x9y6_framedata_o[14] , \tile_x9y6_framedata_o[13] , \tile_x9y6_framedata_o[12] , \tile_x9y6_framedata_o[11] , \tile_x9y6_framedata_o[10] , \tile_x9y6_framedata_o[9] , \tile_x9y6_framedata_o[8] , \tile_x9y6_framedata_o[7] , \tile_x9y6_framedata_o[6] , \tile_x9y6_framedata_o[5] , \tile_x9y6_framedata_o[4] , \tile_x9y6_framedata_o[3] , \tile_x9y6_framedata_o[2] , \tile_x9y6_framedata_o[1] , \tile_x9y6_framedata_o[0] }),
.framedata_o({ \tile_x10y6_framedata_o[31] , \tile_x10y6_framedata_o[30] , \tile_x10y6_framedata_o[29] , \tile_x10y6_framedata_o[28] , \tile_x10y6_framedata_o[27] , \tile_x10y6_framedata_o[26] , \tile_x10y6_framedata_o[25] , \tile_x10y6_framedata_o[24] , \tile_x10y6_framedata_o[23] , \tile_x10y6_framedata_o[22] , \tile_x10y6_framedata_o[21] , \tile_x10y6_framedata_o[20] , \tile_x10y6_framedata_o[19] , \tile_x10y6_framedata_o[18] , \tile_x10y6_framedata_o[17] , \tile_x10y6_framedata_o[16] , \tile_x10y6_framedata_o[15] , \tile_x10y6_framedata_o[14] , \tile_x10y6_framedata_o[13] , \tile_x10y6_framedata_o[12] , \tile_x10y6_framedata_o[11] , \tile_x10y6_framedata_o[10] , \tile_x10y6_framedata_o[9] , \tile_x10y6_framedata_o[8] , \tile_x10y6_framedata_o[7] , \tile_x10y6_framedata_o[6] , \tile_x10y6_framedata_o[5] , \tile_x10y6_framedata_o[4] , \tile_x10y6_framedata_o[3] , \tile_x10y6_framedata_o[2] , \tile_x10y6_framedata_o[1] , \tile_x10y6_framedata_o[0] }),
.framestrobe({ \tile_x10y7_framestrobe_o[19] , \tile_x10y7_framestrobe_o[18] , \tile_x10y7_framestrobe_o[17] , \tile_x10y7_framestrobe_o[16] , \tile_x10y7_framestrobe_o[15] , \tile_x10y7_framestrobe_o[14] , \tile_x10y7_framestrobe_o[13] , \tile_x10y7_framestrobe_o[12] , \tile_x10y7_framestrobe_o[11] , \tile_x10y7_framestrobe_o[10] , \tile_x10y7_framestrobe_o[9] , \tile_x10y7_framestrobe_o[8] , \tile_x10y7_framestrobe_o[7] , \tile_x10y7_framestrobe_o[6] , \tile_x10y7_framestrobe_o[5] , \tile_x10y7_framestrobe_o[4] , \tile_x10y7_framestrobe_o[3] , \tile_x10y7_framestrobe_o[2] , \tile_x10y7_framestrobe_o[1] , \tile_x10y7_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y6_framestrobe_o[19] , \tile_x10y6_framestrobe_o[18] , \tile_x10y6_framestrobe_o[17] , \tile_x10y6_framestrobe_o[16] , \tile_x10y6_framestrobe_o[15] , \tile_x10y6_framestrobe_o[14] , \tile_x10y6_framestrobe_o[13] , \tile_x10y6_framestrobe_o[12] , \tile_x10y6_framestrobe_o[11] , \tile_x10y6_framestrobe_o[10] , \tile_x10y6_framestrobe_o[9] , \tile_x10y6_framestrobe_o[8] , \tile_x10y6_framestrobe_o[7] , \tile_x10y6_framestrobe_o[6] , \tile_x10y6_framestrobe_o[5] , \tile_x10y6_framestrobe_o[4] , \tile_x10y6_framestrobe_o[3] , \tile_x10y6_framestrobe_o[2] , \tile_x10y6_framestrobe_o[1] , \tile_x10y6_framestrobe_o[0] }),
.n1beg({ \tile_x10y6_n1beg[3] , \tile_x10y6_n1beg[2] , \tile_x10y6_n1beg[1] , \tile_x10y6_n1beg[0] }),
.n1end({ \tile_x10y7_n1beg[3] , \tile_x10y7_n1beg[2] , \tile_x10y7_n1beg[1] , \tile_x10y7_n1beg[0] }),
.n2beg({ \tile_x10y6_n2beg[7] , \tile_x10y6_n2beg[6] , \tile_x10y6_n2beg[5] , \tile_x10y6_n2beg[4] , \tile_x10y6_n2beg[3] , \tile_x10y6_n2beg[2] , \tile_x10y6_n2beg[1] , \tile_x10y6_n2beg[0] }),
.n2begb({ \tile_x10y6_n2begb[7] , \tile_x10y6_n2begb[6] , \tile_x10y6_n2begb[5] , \tile_x10y6_n2begb[4] , \tile_x10y6_n2begb[3] , \tile_x10y6_n2begb[2] , \tile_x10y6_n2begb[1] , \tile_x10y6_n2begb[0] }),
.n2end({ \tile_x10y7_n2begb[7] , \tile_x10y7_n2begb[6] , \tile_x10y7_n2begb[5] , \tile_x10y7_n2begb[4] , \tile_x10y7_n2begb[3] , \tile_x10y7_n2begb[2] , \tile_x10y7_n2begb[1] , \tile_x10y7_n2begb[0] }),
.n2mid({ \tile_x10y7_n2beg[7] , \tile_x10y7_n2beg[6] , \tile_x10y7_n2beg[5] , \tile_x10y7_n2beg[4] , \tile_x10y7_n2beg[3] , \tile_x10y7_n2beg[2] , \tile_x10y7_n2beg[1] , \tile_x10y7_n2beg[0] }),
.n4beg({ \tile_x10y6_n4beg[15] , \tile_x10y6_n4beg[14] , \tile_x10y6_n4beg[13] , \tile_x10y6_n4beg[12] , \tile_x10y6_n4beg[11] , \tile_x10y6_n4beg[10] , \tile_x10y6_n4beg[9] , \tile_x10y6_n4beg[8] , \tile_x10y6_n4beg[7] , \tile_x10y6_n4beg[6] , \tile_x10y6_n4beg[5] , \tile_x10y6_n4beg[4] , \tile_x10y6_n4beg[3] , \tile_x10y6_n4beg[2] , \tile_x10y6_n4beg[1] , \tile_x10y6_n4beg[0] }),
.n4end({ \tile_x10y7_n4beg[15] , \tile_x10y7_n4beg[14] , \tile_x10y7_n4beg[13] , \tile_x10y7_n4beg[12] , \tile_x10y7_n4beg[11] , \tile_x10y7_n4beg[10] , \tile_x10y7_n4beg[9] , \tile_x10y7_n4beg[8] , \tile_x10y7_n4beg[7] , \tile_x10y7_n4beg[6] , \tile_x10y7_n4beg[5] , \tile_x10y7_n4beg[4] , \tile_x10y7_n4beg[3] , \tile_x10y7_n4beg[2] , \tile_x10y7_n4beg[1] , \tile_x10y7_n4beg[0] }),
.nn4beg({ \tile_x10y6_nn4beg[15] , \tile_x10y6_nn4beg[14] , \tile_x10y6_nn4beg[13] , \tile_x10y6_nn4beg[12] , \tile_x10y6_nn4beg[11] , \tile_x10y6_nn4beg[10] , \tile_x10y6_nn4beg[9] , \tile_x10y6_nn4beg[8] , \tile_x10y6_nn4beg[7] , \tile_x10y6_nn4beg[6] , \tile_x10y6_nn4beg[5] , \tile_x10y6_nn4beg[4] , \tile_x10y6_nn4beg[3] , \tile_x10y6_nn4beg[2] , \tile_x10y6_nn4beg[1] , \tile_x10y6_nn4beg[0] }),
.nn4end({ \tile_x10y7_nn4beg[15] , \tile_x10y7_nn4beg[14] , \tile_x10y7_nn4beg[13] , \tile_x10y7_nn4beg[12] , \tile_x10y7_nn4beg[11] , \tile_x10y7_nn4beg[10] , \tile_x10y7_nn4beg[9] , \tile_x10y7_nn4beg[8] , \tile_x10y7_nn4beg[7] , \tile_x10y7_nn4beg[6] , \tile_x10y7_nn4beg[5] , \tile_x10y7_nn4beg[4] , \tile_x10y7_nn4beg[3] , \tile_x10y7_nn4beg[2] , \tile_x10y7_nn4beg[1] , \tile_x10y7_nn4beg[0] }),
.s1beg({ \tile_x10y6_s1beg[3] , \tile_x10y6_s1beg[2] , \tile_x10y6_s1beg[1] , \tile_x10y6_s1beg[0] }),
.s1end({ \tile_x10y5_s1beg[3] , \tile_x10y5_s1beg[2] , \tile_x10y5_s1beg[1] , \tile_x10y5_s1beg[0] }),
.s2beg({ \tile_x10y6_s2beg[7] , \tile_x10y6_s2beg[6] , \tile_x10y6_s2beg[5] , \tile_x10y6_s2beg[4] , \tile_x10y6_s2beg[3] , \tile_x10y6_s2beg[2] , \tile_x10y6_s2beg[1] , \tile_x10y6_s2beg[0] }),
.s2begb({ \tile_x10y6_s2begb[7] , \tile_x10y6_s2begb[6] , \tile_x10y6_s2begb[5] , \tile_x10y6_s2begb[4] , \tile_x10y6_s2begb[3] , \tile_x10y6_s2begb[2] , \tile_x10y6_s2begb[1] , \tile_x10y6_s2begb[0] }),
.s2end({ \tile_x10y5_s2begb[7] , \tile_x10y5_s2begb[6] , \tile_x10y5_s2begb[5] , \tile_x10y5_s2begb[4] , \tile_x10y5_s2begb[3] , \tile_x10y5_s2begb[2] , \tile_x10y5_s2begb[1] , \tile_x10y5_s2begb[0] }),
.s2mid({ \tile_x10y5_s2beg[7] , \tile_x10y5_s2beg[6] , \tile_x10y5_s2beg[5] , \tile_x10y5_s2beg[4] , \tile_x10y5_s2beg[3] , \tile_x10y5_s2beg[2] , \tile_x10y5_s2beg[1] , \tile_x10y5_s2beg[0] }),
.s4beg({ \tile_x10y6_s4beg[15] , \tile_x10y6_s4beg[14] , \tile_x10y6_s4beg[13] , \tile_x10y6_s4beg[12] , \tile_x10y6_s4beg[11] , \tile_x10y6_s4beg[10] , \tile_x10y6_s4beg[9] , \tile_x10y6_s4beg[8] , \tile_x10y6_s4beg[7] , \tile_x10y6_s4beg[6] , \tile_x10y6_s4beg[5] , \tile_x10y6_s4beg[4] , \tile_x10y6_s4beg[3] , \tile_x10y6_s4beg[2] , \tile_x10y6_s4beg[1] , \tile_x10y6_s4beg[0] }),
.s4end({ \tile_x10y5_s4beg[15] , \tile_x10y5_s4beg[14] , \tile_x10y5_s4beg[13] , \tile_x10y5_s4beg[12] , \tile_x10y5_s4beg[11] , \tile_x10y5_s4beg[10] , \tile_x10y5_s4beg[9] , \tile_x10y5_s4beg[8] , \tile_x10y5_s4beg[7] , \tile_x10y5_s4beg[6] , \tile_x10y5_s4beg[5] , \tile_x10y5_s4beg[4] , \tile_x10y5_s4beg[3] , \tile_x10y5_s4beg[2] , \tile_x10y5_s4beg[1] , \tile_x10y5_s4beg[0] }),
.ss4beg({ \tile_x10y6_ss4beg[15] , \tile_x10y6_ss4beg[14] , \tile_x10y6_ss4beg[13] , \tile_x10y6_ss4beg[12] , \tile_x10y6_ss4beg[11] , \tile_x10y6_ss4beg[10] , \tile_x10y6_ss4beg[9] , \tile_x10y6_ss4beg[8] , \tile_x10y6_ss4beg[7] , \tile_x10y6_ss4beg[6] , \tile_x10y6_ss4beg[5] , \tile_x10y6_ss4beg[4] , \tile_x10y6_ss4beg[3] , \tile_x10y6_ss4beg[2] , \tile_x10y6_ss4beg[1] , \tile_x10y6_ss4beg[0] }),
.ss4end({ \tile_x10y5_ss4beg[15] , \tile_x10y5_ss4beg[14] , \tile_x10y5_ss4beg[13] , \tile_x10y5_ss4beg[12] , \tile_x10y5_ss4beg[11] , \tile_x10y5_ss4beg[10] , \tile_x10y5_ss4beg[9] , \tile_x10y5_ss4beg[8] , \tile_x10y5_ss4beg[7] , \tile_x10y5_ss4beg[6] , \tile_x10y5_ss4beg[5] , \tile_x10y5_ss4beg[4] , \tile_x10y5_ss4beg[3] , \tile_x10y5_ss4beg[2] , \tile_x10y5_ss4beg[1] , \tile_x10y5_ss4beg[0] }),
.userclk(tile_x10y7_userclko),
.userclko(tile_x10y6_userclko),
.w1beg({ \tile_x10y6_w1beg[3] , \tile_x10y6_w1beg[2] , \tile_x10y6_w1beg[1] , \tile_x10y6_w1beg[0] }),
.w1end({ \tile_x11y6_w1beg[3] , \tile_x11y6_w1beg[2] , \tile_x11y6_w1beg[1] , \tile_x11y6_w1beg[0] }),
.w2beg({ \tile_x10y6_w2beg[7] , \tile_x10y6_w2beg[6] , \tile_x10y6_w2beg[5] , \tile_x10y6_w2beg[4] , \tile_x10y6_w2beg[3] , \tile_x10y6_w2beg[2] , \tile_x10y6_w2beg[1] , \tile_x10y6_w2beg[0] }),
.w2begb({ \tile_x10y6_w2begb[7] , \tile_x10y6_w2begb[6] , \tile_x10y6_w2begb[5] , \tile_x10y6_w2begb[4] , \tile_x10y6_w2begb[3] , \tile_x10y6_w2begb[2] , \tile_x10y6_w2begb[1] , \tile_x10y6_w2begb[0] }),
.w2end({ \tile_x11y6_w2begb[7] , \tile_x11y6_w2begb[6] , \tile_x11y6_w2begb[5] , \tile_x11y6_w2begb[4] , \tile_x11y6_w2begb[3] , \tile_x11y6_w2begb[2] , \tile_x11y6_w2begb[1] , \tile_x11y6_w2begb[0] }),
.w2mid({ \tile_x11y6_w2beg[7] , \tile_x11y6_w2beg[6] , \tile_x11y6_w2beg[5] , \tile_x11y6_w2beg[4] , \tile_x11y6_w2beg[3] , \tile_x11y6_w2beg[2] , \tile_x11y6_w2beg[1] , \tile_x11y6_w2beg[0] }),
.w6beg({ \tile_x10y6_w6beg[11] , \tile_x10y6_w6beg[10] , \tile_x10y6_w6beg[9] , \tile_x10y6_w6beg[8] , \tile_x10y6_w6beg[7] , \tile_x10y6_w6beg[6] , \tile_x10y6_w6beg[5] , \tile_x10y6_w6beg[4] , \tile_x10y6_w6beg[3] , \tile_x10y6_w6beg[2] , \tile_x10y6_w6beg[1] , \tile_x10y6_w6beg[0] }),
.w6end({ \tile_x11y6_w6beg[11] , \tile_x11y6_w6beg[10] , \tile_x11y6_w6beg[9] , \tile_x11y6_w6beg[8] , \tile_x11y6_w6beg[7] , \tile_x11y6_w6beg[6] , \tile_x11y6_w6beg[5] , \tile_x11y6_w6beg[4] , \tile_x11y6_w6beg[3] , \tile_x11y6_w6beg[2] , \tile_x11y6_w6beg[1] , \tile_x11y6_w6beg[0] }),
.ww4beg({ \tile_x10y6_ww4beg[15] , \tile_x10y6_ww4beg[14] , \tile_x10y6_ww4beg[13] , \tile_x10y6_ww4beg[12] , \tile_x10y6_ww4beg[11] , \tile_x10y6_ww4beg[10] , \tile_x10y6_ww4beg[9] , \tile_x10y6_ww4beg[8] , \tile_x10y6_ww4beg[7] , \tile_x10y6_ww4beg[6] , \tile_x10y6_ww4beg[5] , \tile_x10y6_ww4beg[4] , \tile_x10y6_ww4beg[3] , \tile_x10y6_ww4beg[2] , \tile_x10y6_ww4beg[1] , \tile_x10y6_ww4beg[0] }),
.ww4end({ \tile_x11y6_ww4beg[15] , \tile_x11y6_ww4beg[14] , \tile_x11y6_ww4beg[13] , \tile_x11y6_ww4beg[12] , \tile_x11y6_ww4beg[11] , \tile_x11y6_ww4beg[10] , \tile_x11y6_ww4beg[9] , \tile_x11y6_ww4beg[8] , \tile_x11y6_ww4beg[7] , \tile_x11y6_ww4beg[6] , \tile_x11y6_ww4beg[5] , \tile_x11y6_ww4beg[4] , \tile_x11y6_ww4beg[3] , \tile_x11y6_ww4beg[2] , \tile_x11y6_ww4beg[1] , \tile_x11y6_ww4beg[0] })
);
lut4ab tile_x10y7_lut4ab (
.ci(tile_x10y8_co),
.co(tile_x10y7_co),
.e1beg({ \tile_x10y7_e1beg[3] , \tile_x10y7_e1beg[2] , \tile_x10y7_e1beg[1] , \tile_x10y7_e1beg[0] }),
.e1end({ \tile_x9y7_e1beg[3] , \tile_x9y7_e1beg[2] , \tile_x9y7_e1beg[1] , \tile_x9y7_e1beg[0] }),
.e2beg({ \tile_x10y7_e2beg[7] , \tile_x10y7_e2beg[6] , \tile_x10y7_e2beg[5] , \tile_x10y7_e2beg[4] , \tile_x10y7_e2beg[3] , \tile_x10y7_e2beg[2] , \tile_x10y7_e2beg[1] , \tile_x10y7_e2beg[0] }),
.e2begb({ \tile_x10y7_e2begb[7] , \tile_x10y7_e2begb[6] , \tile_x10y7_e2begb[5] , \tile_x10y7_e2begb[4] , \tile_x10y7_e2begb[3] , \tile_x10y7_e2begb[2] , \tile_x10y7_e2begb[1] , \tile_x10y7_e2begb[0] }),
.e2end({ \tile_x9y7_e2begb[7] , \tile_x9y7_e2begb[6] , \tile_x9y7_e2begb[5] , \tile_x9y7_e2begb[4] , \tile_x9y7_e2begb[3] , \tile_x9y7_e2begb[2] , \tile_x9y7_e2begb[1] , \tile_x9y7_e2begb[0] }),
.e2mid({ \tile_x9y7_e2beg[7] , \tile_x9y7_e2beg[6] , \tile_x9y7_e2beg[5] , \tile_x9y7_e2beg[4] , \tile_x9y7_e2beg[3] , \tile_x9y7_e2beg[2] , \tile_x9y7_e2beg[1] , \tile_x9y7_e2beg[0] }),
.e6beg({ \tile_x10y7_e6beg[11] , \tile_x10y7_e6beg[10] , \tile_x10y7_e6beg[9] , \tile_x10y7_e6beg[8] , \tile_x10y7_e6beg[7] , \tile_x10y7_e6beg[6] , \tile_x10y7_e6beg[5] , \tile_x10y7_e6beg[4] , \tile_x10y7_e6beg[3] , \tile_x10y7_e6beg[2] , \tile_x10y7_e6beg[1] , \tile_x10y7_e6beg[0] }),
.e6end({ \tile_x9y7_e6beg[11] , \tile_x9y7_e6beg[10] , \tile_x9y7_e6beg[9] , \tile_x9y7_e6beg[8] , \tile_x9y7_e6beg[7] , \tile_x9y7_e6beg[6] , \tile_x9y7_e6beg[5] , \tile_x9y7_e6beg[4] , \tile_x9y7_e6beg[3] , \tile_x9y7_e6beg[2] , \tile_x9y7_e6beg[1] , \tile_x9y7_e6beg[0] }),
.ee4beg({ \tile_x10y7_ee4beg[15] , \tile_x10y7_ee4beg[14] , \tile_x10y7_ee4beg[13] , \tile_x10y7_ee4beg[12] , \tile_x10y7_ee4beg[11] , \tile_x10y7_ee4beg[10] , \tile_x10y7_ee4beg[9] , \tile_x10y7_ee4beg[8] , \tile_x10y7_ee4beg[7] , \tile_x10y7_ee4beg[6] , \tile_x10y7_ee4beg[5] , \tile_x10y7_ee4beg[4] , \tile_x10y7_ee4beg[3] , \tile_x10y7_ee4beg[2] , \tile_x10y7_ee4beg[1] , \tile_x10y7_ee4beg[0] }),
.ee4end({ \tile_x9y7_ee4beg[15] , \tile_x9y7_ee4beg[14] , \tile_x9y7_ee4beg[13] , \tile_x9y7_ee4beg[12] , \tile_x9y7_ee4beg[11] , \tile_x9y7_ee4beg[10] , \tile_x9y7_ee4beg[9] , \tile_x9y7_ee4beg[8] , \tile_x9y7_ee4beg[7] , \tile_x9y7_ee4beg[6] , \tile_x9y7_ee4beg[5] , \tile_x9y7_ee4beg[4] , \tile_x9y7_ee4beg[3] , \tile_x9y7_ee4beg[2] , \tile_x9y7_ee4beg[1] , \tile_x9y7_ee4beg[0] }),
.framedata({ \tile_x9y7_framedata_o[31] , \tile_x9y7_framedata_o[30] , \tile_x9y7_framedata_o[29] , \tile_x9y7_framedata_o[28] , \tile_x9y7_framedata_o[27] , \tile_x9y7_framedata_o[26] , \tile_x9y7_framedata_o[25] , \tile_x9y7_framedata_o[24] , \tile_x9y7_framedata_o[23] , \tile_x9y7_framedata_o[22] , \tile_x9y7_framedata_o[21] , \tile_x9y7_framedata_o[20] , \tile_x9y7_framedata_o[19] , \tile_x9y7_framedata_o[18] , \tile_x9y7_framedata_o[17] , \tile_x9y7_framedata_o[16] , \tile_x9y7_framedata_o[15] , \tile_x9y7_framedata_o[14] , \tile_x9y7_framedata_o[13] , \tile_x9y7_framedata_o[12] , \tile_x9y7_framedata_o[11] , \tile_x9y7_framedata_o[10] , \tile_x9y7_framedata_o[9] , \tile_x9y7_framedata_o[8] , \tile_x9y7_framedata_o[7] , \tile_x9y7_framedata_o[6] , \tile_x9y7_framedata_o[5] , \tile_x9y7_framedata_o[4] , \tile_x9y7_framedata_o[3] , \tile_x9y7_framedata_o[2] , \tile_x9y7_framedata_o[1] , \tile_x9y7_framedata_o[0] }),
.framedata_o({ \tile_x10y7_framedata_o[31] , \tile_x10y7_framedata_o[30] , \tile_x10y7_framedata_o[29] , \tile_x10y7_framedata_o[28] , \tile_x10y7_framedata_o[27] , \tile_x10y7_framedata_o[26] , \tile_x10y7_framedata_o[25] , \tile_x10y7_framedata_o[24] , \tile_x10y7_framedata_o[23] , \tile_x10y7_framedata_o[22] , \tile_x10y7_framedata_o[21] , \tile_x10y7_framedata_o[20] , \tile_x10y7_framedata_o[19] , \tile_x10y7_framedata_o[18] , \tile_x10y7_framedata_o[17] , \tile_x10y7_framedata_o[16] , \tile_x10y7_framedata_o[15] , \tile_x10y7_framedata_o[14] , \tile_x10y7_framedata_o[13] , \tile_x10y7_framedata_o[12] , \tile_x10y7_framedata_o[11] , \tile_x10y7_framedata_o[10] , \tile_x10y7_framedata_o[9] , \tile_x10y7_framedata_o[8] , \tile_x10y7_framedata_o[7] , \tile_x10y7_framedata_o[6] , \tile_x10y7_framedata_o[5] , \tile_x10y7_framedata_o[4] , \tile_x10y7_framedata_o[3] , \tile_x10y7_framedata_o[2] , \tile_x10y7_framedata_o[1] , \tile_x10y7_framedata_o[0] }),
.framestrobe({ \tile_x10y8_framestrobe_o[19] , \tile_x10y8_framestrobe_o[18] , \tile_x10y8_framestrobe_o[17] , \tile_x10y8_framestrobe_o[16] , \tile_x10y8_framestrobe_o[15] , \tile_x10y8_framestrobe_o[14] , \tile_x10y8_framestrobe_o[13] , \tile_x10y8_framestrobe_o[12] , \tile_x10y8_framestrobe_o[11] , \tile_x10y8_framestrobe_o[10] , \tile_x10y8_framestrobe_o[9] , \tile_x10y8_framestrobe_o[8] , \tile_x10y8_framestrobe_o[7] , \tile_x10y8_framestrobe_o[6] , \tile_x10y8_framestrobe_o[5] , \tile_x10y8_framestrobe_o[4] , \tile_x10y8_framestrobe_o[3] , \tile_x10y8_framestrobe_o[2] , \tile_x10y8_framestrobe_o[1] , \tile_x10y8_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y7_framestrobe_o[19] , \tile_x10y7_framestrobe_o[18] , \tile_x10y7_framestrobe_o[17] , \tile_x10y7_framestrobe_o[16] , \tile_x10y7_framestrobe_o[15] , \tile_x10y7_framestrobe_o[14] , \tile_x10y7_framestrobe_o[13] , \tile_x10y7_framestrobe_o[12] , \tile_x10y7_framestrobe_o[11] , \tile_x10y7_framestrobe_o[10] , \tile_x10y7_framestrobe_o[9] , \tile_x10y7_framestrobe_o[8] , \tile_x10y7_framestrobe_o[7] , \tile_x10y7_framestrobe_o[6] , \tile_x10y7_framestrobe_o[5] , \tile_x10y7_framestrobe_o[4] , \tile_x10y7_framestrobe_o[3] , \tile_x10y7_framestrobe_o[2] , \tile_x10y7_framestrobe_o[1] , \tile_x10y7_framestrobe_o[0] }),
.n1beg({ \tile_x10y7_n1beg[3] , \tile_x10y7_n1beg[2] , \tile_x10y7_n1beg[1] , \tile_x10y7_n1beg[0] }),
.n1end({ \tile_x10y8_n1beg[3] , \tile_x10y8_n1beg[2] , \tile_x10y8_n1beg[1] , \tile_x10y8_n1beg[0] }),
.n2beg({ \tile_x10y7_n2beg[7] , \tile_x10y7_n2beg[6] , \tile_x10y7_n2beg[5] , \tile_x10y7_n2beg[4] , \tile_x10y7_n2beg[3] , \tile_x10y7_n2beg[2] , \tile_x10y7_n2beg[1] , \tile_x10y7_n2beg[0] }),
.n2begb({ \tile_x10y7_n2begb[7] , \tile_x10y7_n2begb[6] , \tile_x10y7_n2begb[5] , \tile_x10y7_n2begb[4] , \tile_x10y7_n2begb[3] , \tile_x10y7_n2begb[2] , \tile_x10y7_n2begb[1] , \tile_x10y7_n2begb[0] }),
.n2end({ \tile_x10y8_n2begb[7] , \tile_x10y8_n2begb[6] , \tile_x10y8_n2begb[5] , \tile_x10y8_n2begb[4] , \tile_x10y8_n2begb[3] , \tile_x10y8_n2begb[2] , \tile_x10y8_n2begb[1] , \tile_x10y8_n2begb[0] }),
.n2mid({ \tile_x10y8_n2beg[7] , \tile_x10y8_n2beg[6] , \tile_x10y8_n2beg[5] , \tile_x10y8_n2beg[4] , \tile_x10y8_n2beg[3] , \tile_x10y8_n2beg[2] , \tile_x10y8_n2beg[1] , \tile_x10y8_n2beg[0] }),
.n4beg({ \tile_x10y7_n4beg[15] , \tile_x10y7_n4beg[14] , \tile_x10y7_n4beg[13] , \tile_x10y7_n4beg[12] , \tile_x10y7_n4beg[11] , \tile_x10y7_n4beg[10] , \tile_x10y7_n4beg[9] , \tile_x10y7_n4beg[8] , \tile_x10y7_n4beg[7] , \tile_x10y7_n4beg[6] , \tile_x10y7_n4beg[5] , \tile_x10y7_n4beg[4] , \tile_x10y7_n4beg[3] , \tile_x10y7_n4beg[2] , \tile_x10y7_n4beg[1] , \tile_x10y7_n4beg[0] }),
.n4end({ \tile_x10y8_n4beg[15] , \tile_x10y8_n4beg[14] , \tile_x10y8_n4beg[13] , \tile_x10y8_n4beg[12] , \tile_x10y8_n4beg[11] , \tile_x10y8_n4beg[10] , \tile_x10y8_n4beg[9] , \tile_x10y8_n4beg[8] , \tile_x10y8_n4beg[7] , \tile_x10y8_n4beg[6] , \tile_x10y8_n4beg[5] , \tile_x10y8_n4beg[4] , \tile_x10y8_n4beg[3] , \tile_x10y8_n4beg[2] , \tile_x10y8_n4beg[1] , \tile_x10y8_n4beg[0] }),
.nn4beg({ \tile_x10y7_nn4beg[15] , \tile_x10y7_nn4beg[14] , \tile_x10y7_nn4beg[13] , \tile_x10y7_nn4beg[12] , \tile_x10y7_nn4beg[11] , \tile_x10y7_nn4beg[10] , \tile_x10y7_nn4beg[9] , \tile_x10y7_nn4beg[8] , \tile_x10y7_nn4beg[7] , \tile_x10y7_nn4beg[6] , \tile_x10y7_nn4beg[5] , \tile_x10y7_nn4beg[4] , \tile_x10y7_nn4beg[3] , \tile_x10y7_nn4beg[2] , \tile_x10y7_nn4beg[1] , \tile_x10y7_nn4beg[0] }),
.nn4end({ \tile_x10y8_nn4beg[15] , \tile_x10y8_nn4beg[14] , \tile_x10y8_nn4beg[13] , \tile_x10y8_nn4beg[12] , \tile_x10y8_nn4beg[11] , \tile_x10y8_nn4beg[10] , \tile_x10y8_nn4beg[9] , \tile_x10y8_nn4beg[8] , \tile_x10y8_nn4beg[7] , \tile_x10y8_nn4beg[6] , \tile_x10y8_nn4beg[5] , \tile_x10y8_nn4beg[4] , \tile_x10y8_nn4beg[3] , \tile_x10y8_nn4beg[2] , \tile_x10y8_nn4beg[1] , \tile_x10y8_nn4beg[0] }),
.s1beg({ \tile_x10y7_s1beg[3] , \tile_x10y7_s1beg[2] , \tile_x10y7_s1beg[1] , \tile_x10y7_s1beg[0] }),
.s1end({ \tile_x10y6_s1beg[3] , \tile_x10y6_s1beg[2] , \tile_x10y6_s1beg[1] , \tile_x10y6_s1beg[0] }),
.s2beg({ \tile_x10y7_s2beg[7] , \tile_x10y7_s2beg[6] , \tile_x10y7_s2beg[5] , \tile_x10y7_s2beg[4] , \tile_x10y7_s2beg[3] , \tile_x10y7_s2beg[2] , \tile_x10y7_s2beg[1] , \tile_x10y7_s2beg[0] }),
.s2begb({ \tile_x10y7_s2begb[7] , \tile_x10y7_s2begb[6] , \tile_x10y7_s2begb[5] , \tile_x10y7_s2begb[4] , \tile_x10y7_s2begb[3] , \tile_x10y7_s2begb[2] , \tile_x10y7_s2begb[1] , \tile_x10y7_s2begb[0] }),
.s2end({ \tile_x10y6_s2begb[7] , \tile_x10y6_s2begb[6] , \tile_x10y6_s2begb[5] , \tile_x10y6_s2begb[4] , \tile_x10y6_s2begb[3] , \tile_x10y6_s2begb[2] , \tile_x10y6_s2begb[1] , \tile_x10y6_s2begb[0] }),
.s2mid({ \tile_x10y6_s2beg[7] , \tile_x10y6_s2beg[6] , \tile_x10y6_s2beg[5] , \tile_x10y6_s2beg[4] , \tile_x10y6_s2beg[3] , \tile_x10y6_s2beg[2] , \tile_x10y6_s2beg[1] , \tile_x10y6_s2beg[0] }),
.s4beg({ \tile_x10y7_s4beg[15] , \tile_x10y7_s4beg[14] , \tile_x10y7_s4beg[13] , \tile_x10y7_s4beg[12] , \tile_x10y7_s4beg[11] , \tile_x10y7_s4beg[10] , \tile_x10y7_s4beg[9] , \tile_x10y7_s4beg[8] , \tile_x10y7_s4beg[7] , \tile_x10y7_s4beg[6] , \tile_x10y7_s4beg[5] , \tile_x10y7_s4beg[4] , \tile_x10y7_s4beg[3] , \tile_x10y7_s4beg[2] , \tile_x10y7_s4beg[1] , \tile_x10y7_s4beg[0] }),
.s4end({ \tile_x10y6_s4beg[15] , \tile_x10y6_s4beg[14] , \tile_x10y6_s4beg[13] , \tile_x10y6_s4beg[12] , \tile_x10y6_s4beg[11] , \tile_x10y6_s4beg[10] , \tile_x10y6_s4beg[9] , \tile_x10y6_s4beg[8] , \tile_x10y6_s4beg[7] , \tile_x10y6_s4beg[6] , \tile_x10y6_s4beg[5] , \tile_x10y6_s4beg[4] , \tile_x10y6_s4beg[3] , \tile_x10y6_s4beg[2] , \tile_x10y6_s4beg[1] , \tile_x10y6_s4beg[0] }),
.ss4beg({ \tile_x10y7_ss4beg[15] , \tile_x10y7_ss4beg[14] , \tile_x10y7_ss4beg[13] , \tile_x10y7_ss4beg[12] , \tile_x10y7_ss4beg[11] , \tile_x10y7_ss4beg[10] , \tile_x10y7_ss4beg[9] , \tile_x10y7_ss4beg[8] , \tile_x10y7_ss4beg[7] , \tile_x10y7_ss4beg[6] , \tile_x10y7_ss4beg[5] , \tile_x10y7_ss4beg[4] , \tile_x10y7_ss4beg[3] , \tile_x10y7_ss4beg[2] , \tile_x10y7_ss4beg[1] , \tile_x10y7_ss4beg[0] }),
.ss4end({ \tile_x10y6_ss4beg[15] , \tile_x10y6_ss4beg[14] , \tile_x10y6_ss4beg[13] , \tile_x10y6_ss4beg[12] , \tile_x10y6_ss4beg[11] , \tile_x10y6_ss4beg[10] , \tile_x10y6_ss4beg[9] , \tile_x10y6_ss4beg[8] , \tile_x10y6_ss4beg[7] , \tile_x10y6_ss4beg[6] , \tile_x10y6_ss4beg[5] , \tile_x10y6_ss4beg[4] , \tile_x10y6_ss4beg[3] , \tile_x10y6_ss4beg[2] , \tile_x10y6_ss4beg[1] , \tile_x10y6_ss4beg[0] }),
.userclk(tile_x10y8_userclko),
.userclko(tile_x10y7_userclko),
.w1beg({ \tile_x10y7_w1beg[3] , \tile_x10y7_w1beg[2] , \tile_x10y7_w1beg[1] , \tile_x10y7_w1beg[0] }),
.w1end({ \tile_x11y7_w1beg[3] , \tile_x11y7_w1beg[2] , \tile_x11y7_w1beg[1] , \tile_x11y7_w1beg[0] }),
.w2beg({ \tile_x10y7_w2beg[7] , \tile_x10y7_w2beg[6] , \tile_x10y7_w2beg[5] , \tile_x10y7_w2beg[4] , \tile_x10y7_w2beg[3] , \tile_x10y7_w2beg[2] , \tile_x10y7_w2beg[1] , \tile_x10y7_w2beg[0] }),
.w2begb({ \tile_x10y7_w2begb[7] , \tile_x10y7_w2begb[6] , \tile_x10y7_w2begb[5] , \tile_x10y7_w2begb[4] , \tile_x10y7_w2begb[3] , \tile_x10y7_w2begb[2] , \tile_x10y7_w2begb[1] , \tile_x10y7_w2begb[0] }),
.w2end({ \tile_x11y7_w2begb[7] , \tile_x11y7_w2begb[6] , \tile_x11y7_w2begb[5] , \tile_x11y7_w2begb[4] , \tile_x11y7_w2begb[3] , \tile_x11y7_w2begb[2] , \tile_x11y7_w2begb[1] , \tile_x11y7_w2begb[0] }),
.w2mid({ \tile_x11y7_w2beg[7] , \tile_x11y7_w2beg[6] , \tile_x11y7_w2beg[5] , \tile_x11y7_w2beg[4] , \tile_x11y7_w2beg[3] , \tile_x11y7_w2beg[2] , \tile_x11y7_w2beg[1] , \tile_x11y7_w2beg[0] }),
.w6beg({ \tile_x10y7_w6beg[11] , \tile_x10y7_w6beg[10] , \tile_x10y7_w6beg[9] , \tile_x10y7_w6beg[8] , \tile_x10y7_w6beg[7] , \tile_x10y7_w6beg[6] , \tile_x10y7_w6beg[5] , \tile_x10y7_w6beg[4] , \tile_x10y7_w6beg[3] , \tile_x10y7_w6beg[2] , \tile_x10y7_w6beg[1] , \tile_x10y7_w6beg[0] }),
.w6end({ \tile_x11y7_w6beg[11] , \tile_x11y7_w6beg[10] , \tile_x11y7_w6beg[9] , \tile_x11y7_w6beg[8] , \tile_x11y7_w6beg[7] , \tile_x11y7_w6beg[6] , \tile_x11y7_w6beg[5] , \tile_x11y7_w6beg[4] , \tile_x11y7_w6beg[3] , \tile_x11y7_w6beg[2] , \tile_x11y7_w6beg[1] , \tile_x11y7_w6beg[0] }),
.ww4beg({ \tile_x10y7_ww4beg[15] , \tile_x10y7_ww4beg[14] , \tile_x10y7_ww4beg[13] , \tile_x10y7_ww4beg[12] , \tile_x10y7_ww4beg[11] , \tile_x10y7_ww4beg[10] , \tile_x10y7_ww4beg[9] , \tile_x10y7_ww4beg[8] , \tile_x10y7_ww4beg[7] , \tile_x10y7_ww4beg[6] , \tile_x10y7_ww4beg[5] , \tile_x10y7_ww4beg[4] , \tile_x10y7_ww4beg[3] , \tile_x10y7_ww4beg[2] , \tile_x10y7_ww4beg[1] , \tile_x10y7_ww4beg[0] }),
.ww4end({ \tile_x11y7_ww4beg[15] , \tile_x11y7_ww4beg[14] , \tile_x11y7_ww4beg[13] , \tile_x11y7_ww4beg[12] , \tile_x11y7_ww4beg[11] , \tile_x11y7_ww4beg[10] , \tile_x11y7_ww4beg[9] , \tile_x11y7_ww4beg[8] , \tile_x11y7_ww4beg[7] , \tile_x11y7_ww4beg[6] , \tile_x11y7_ww4beg[5] , \tile_x11y7_ww4beg[4] , \tile_x11y7_ww4beg[3] , \tile_x11y7_ww4beg[2] , \tile_x11y7_ww4beg[1] , \tile_x11y7_ww4beg[0] })
);
lut4ab tile_x10y8_lut4ab (
.ci(tile_x10y9_co),
.co(tile_x10y8_co),
.e1beg({ \tile_x10y8_e1beg[3] , \tile_x10y8_e1beg[2] , \tile_x10y8_e1beg[1] , \tile_x10y8_e1beg[0] }),
.e1end({ \tile_x9y8_e1beg[3] , \tile_x9y8_e1beg[2] , \tile_x9y8_e1beg[1] , \tile_x9y8_e1beg[0] }),
.e2beg({ \tile_x10y8_e2beg[7] , \tile_x10y8_e2beg[6] , \tile_x10y8_e2beg[5] , \tile_x10y8_e2beg[4] , \tile_x10y8_e2beg[3] , \tile_x10y8_e2beg[2] , \tile_x10y8_e2beg[1] , \tile_x10y8_e2beg[0] }),
.e2begb({ \tile_x10y8_e2begb[7] , \tile_x10y8_e2begb[6] , \tile_x10y8_e2begb[5] , \tile_x10y8_e2begb[4] , \tile_x10y8_e2begb[3] , \tile_x10y8_e2begb[2] , \tile_x10y8_e2begb[1] , \tile_x10y8_e2begb[0] }),
.e2end({ \tile_x9y8_e2begb[7] , \tile_x9y8_e2begb[6] , \tile_x9y8_e2begb[5] , \tile_x9y8_e2begb[4] , \tile_x9y8_e2begb[3] , \tile_x9y8_e2begb[2] , \tile_x9y8_e2begb[1] , \tile_x9y8_e2begb[0] }),
.e2mid({ \tile_x9y8_e2beg[7] , \tile_x9y8_e2beg[6] , \tile_x9y8_e2beg[5] , \tile_x9y8_e2beg[4] , \tile_x9y8_e2beg[3] , \tile_x9y8_e2beg[2] , \tile_x9y8_e2beg[1] , \tile_x9y8_e2beg[0] }),
.e6beg({ \tile_x10y8_e6beg[11] , \tile_x10y8_e6beg[10] , \tile_x10y8_e6beg[9] , \tile_x10y8_e6beg[8] , \tile_x10y8_e6beg[7] , \tile_x10y8_e6beg[6] , \tile_x10y8_e6beg[5] , \tile_x10y8_e6beg[4] , \tile_x10y8_e6beg[3] , \tile_x10y8_e6beg[2] , \tile_x10y8_e6beg[1] , \tile_x10y8_e6beg[0] }),
.e6end({ \tile_x9y8_e6beg[11] , \tile_x9y8_e6beg[10] , \tile_x9y8_e6beg[9] , \tile_x9y8_e6beg[8] , \tile_x9y8_e6beg[7] , \tile_x9y8_e6beg[6] , \tile_x9y8_e6beg[5] , \tile_x9y8_e6beg[4] , \tile_x9y8_e6beg[3] , \tile_x9y8_e6beg[2] , \tile_x9y8_e6beg[1] , \tile_x9y8_e6beg[0] }),
.ee4beg({ \tile_x10y8_ee4beg[15] , \tile_x10y8_ee4beg[14] , \tile_x10y8_ee4beg[13] , \tile_x10y8_ee4beg[12] , \tile_x10y8_ee4beg[11] , \tile_x10y8_ee4beg[10] , \tile_x10y8_ee4beg[9] , \tile_x10y8_ee4beg[8] , \tile_x10y8_ee4beg[7] , \tile_x10y8_ee4beg[6] , \tile_x10y8_ee4beg[5] , \tile_x10y8_ee4beg[4] , \tile_x10y8_ee4beg[3] , \tile_x10y8_ee4beg[2] , \tile_x10y8_ee4beg[1] , \tile_x10y8_ee4beg[0] }),
.ee4end({ \tile_x9y8_ee4beg[15] , \tile_x9y8_ee4beg[14] , \tile_x9y8_ee4beg[13] , \tile_x9y8_ee4beg[12] , \tile_x9y8_ee4beg[11] , \tile_x9y8_ee4beg[10] , \tile_x9y8_ee4beg[9] , \tile_x9y8_ee4beg[8] , \tile_x9y8_ee4beg[7] , \tile_x9y8_ee4beg[6] , \tile_x9y8_ee4beg[5] , \tile_x9y8_ee4beg[4] , \tile_x9y8_ee4beg[3] , \tile_x9y8_ee4beg[2] , \tile_x9y8_ee4beg[1] , \tile_x9y8_ee4beg[0] }),
.framedata({ \tile_x9y8_framedata_o[31] , \tile_x9y8_framedata_o[30] , \tile_x9y8_framedata_o[29] , \tile_x9y8_framedata_o[28] , \tile_x9y8_framedata_o[27] , \tile_x9y8_framedata_o[26] , \tile_x9y8_framedata_o[25] , \tile_x9y8_framedata_o[24] , \tile_x9y8_framedata_o[23] , \tile_x9y8_framedata_o[22] , \tile_x9y8_framedata_o[21] , \tile_x9y8_framedata_o[20] , \tile_x9y8_framedata_o[19] , \tile_x9y8_framedata_o[18] , \tile_x9y8_framedata_o[17] , \tile_x9y8_framedata_o[16] , \tile_x9y8_framedata_o[15] , \tile_x9y8_framedata_o[14] , \tile_x9y8_framedata_o[13] , \tile_x9y8_framedata_o[12] , \tile_x9y8_framedata_o[11] , \tile_x9y8_framedata_o[10] , \tile_x9y8_framedata_o[9] , \tile_x9y8_framedata_o[8] , \tile_x9y8_framedata_o[7] , \tile_x9y8_framedata_o[6] , \tile_x9y8_framedata_o[5] , \tile_x9y8_framedata_o[4] , \tile_x9y8_framedata_o[3] , \tile_x9y8_framedata_o[2] , \tile_x9y8_framedata_o[1] , \tile_x9y8_framedata_o[0] }),
.framedata_o({ \tile_x10y8_framedata_o[31] , \tile_x10y8_framedata_o[30] , \tile_x10y8_framedata_o[29] , \tile_x10y8_framedata_o[28] , \tile_x10y8_framedata_o[27] , \tile_x10y8_framedata_o[26] , \tile_x10y8_framedata_o[25] , \tile_x10y8_framedata_o[24] , \tile_x10y8_framedata_o[23] , \tile_x10y8_framedata_o[22] , \tile_x10y8_framedata_o[21] , \tile_x10y8_framedata_o[20] , \tile_x10y8_framedata_o[19] , \tile_x10y8_framedata_o[18] , \tile_x10y8_framedata_o[17] , \tile_x10y8_framedata_o[16] , \tile_x10y8_framedata_o[15] , \tile_x10y8_framedata_o[14] , \tile_x10y8_framedata_o[13] , \tile_x10y8_framedata_o[12] , \tile_x10y8_framedata_o[11] , \tile_x10y8_framedata_o[10] , \tile_x10y8_framedata_o[9] , \tile_x10y8_framedata_o[8] , \tile_x10y8_framedata_o[7] , \tile_x10y8_framedata_o[6] , \tile_x10y8_framedata_o[5] , \tile_x10y8_framedata_o[4] , \tile_x10y8_framedata_o[3] , \tile_x10y8_framedata_o[2] , \tile_x10y8_framedata_o[1] , \tile_x10y8_framedata_o[0] }),
.framestrobe({ \tile_x10y9_framestrobe_o[19] , \tile_x10y9_framestrobe_o[18] , \tile_x10y9_framestrobe_o[17] , \tile_x10y9_framestrobe_o[16] , \tile_x10y9_framestrobe_o[15] , \tile_x10y9_framestrobe_o[14] , \tile_x10y9_framestrobe_o[13] , \tile_x10y9_framestrobe_o[12] , \tile_x10y9_framestrobe_o[11] , \tile_x10y9_framestrobe_o[10] , \tile_x10y9_framestrobe_o[9] , \tile_x10y9_framestrobe_o[8] , \tile_x10y9_framestrobe_o[7] , \tile_x10y9_framestrobe_o[6] , \tile_x10y9_framestrobe_o[5] , \tile_x10y9_framestrobe_o[4] , \tile_x10y9_framestrobe_o[3] , \tile_x10y9_framestrobe_o[2] , \tile_x10y9_framestrobe_o[1] , \tile_x10y9_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y8_framestrobe_o[19] , \tile_x10y8_framestrobe_o[18] , \tile_x10y8_framestrobe_o[17] , \tile_x10y8_framestrobe_o[16] , \tile_x10y8_framestrobe_o[15] , \tile_x10y8_framestrobe_o[14] , \tile_x10y8_framestrobe_o[13] , \tile_x10y8_framestrobe_o[12] , \tile_x10y8_framestrobe_o[11] , \tile_x10y8_framestrobe_o[10] , \tile_x10y8_framestrobe_o[9] , \tile_x10y8_framestrobe_o[8] , \tile_x10y8_framestrobe_o[7] , \tile_x10y8_framestrobe_o[6] , \tile_x10y8_framestrobe_o[5] , \tile_x10y8_framestrobe_o[4] , \tile_x10y8_framestrobe_o[3] , \tile_x10y8_framestrobe_o[2] , \tile_x10y8_framestrobe_o[1] , \tile_x10y8_framestrobe_o[0] }),
.n1beg({ \tile_x10y8_n1beg[3] , \tile_x10y8_n1beg[2] , \tile_x10y8_n1beg[1] , \tile_x10y8_n1beg[0] }),
.n1end({ \tile_x10y9_n1beg[3] , \tile_x10y9_n1beg[2] , \tile_x10y9_n1beg[1] , \tile_x10y9_n1beg[0] }),
.n2beg({ \tile_x10y8_n2beg[7] , \tile_x10y8_n2beg[6] , \tile_x10y8_n2beg[5] , \tile_x10y8_n2beg[4] , \tile_x10y8_n2beg[3] , \tile_x10y8_n2beg[2] , \tile_x10y8_n2beg[1] , \tile_x10y8_n2beg[0] }),
.n2begb({ \tile_x10y8_n2begb[7] , \tile_x10y8_n2begb[6] , \tile_x10y8_n2begb[5] , \tile_x10y8_n2begb[4] , \tile_x10y8_n2begb[3] , \tile_x10y8_n2begb[2] , \tile_x10y8_n2begb[1] , \tile_x10y8_n2begb[0] }),
.n2end({ \tile_x10y9_n2begb[7] , \tile_x10y9_n2begb[6] , \tile_x10y9_n2begb[5] , \tile_x10y9_n2begb[4] , \tile_x10y9_n2begb[3] , \tile_x10y9_n2begb[2] , \tile_x10y9_n2begb[1] , \tile_x10y9_n2begb[0] }),
.n2mid({ \tile_x10y9_n2beg[7] , \tile_x10y9_n2beg[6] , \tile_x10y9_n2beg[5] , \tile_x10y9_n2beg[4] , \tile_x10y9_n2beg[3] , \tile_x10y9_n2beg[2] , \tile_x10y9_n2beg[1] , \tile_x10y9_n2beg[0] }),
.n4beg({ \tile_x10y8_n4beg[15] , \tile_x10y8_n4beg[14] , \tile_x10y8_n4beg[13] , \tile_x10y8_n4beg[12] , \tile_x10y8_n4beg[11] , \tile_x10y8_n4beg[10] , \tile_x10y8_n4beg[9] , \tile_x10y8_n4beg[8] , \tile_x10y8_n4beg[7] , \tile_x10y8_n4beg[6] , \tile_x10y8_n4beg[5] , \tile_x10y8_n4beg[4] , \tile_x10y8_n4beg[3] , \tile_x10y8_n4beg[2] , \tile_x10y8_n4beg[1] , \tile_x10y8_n4beg[0] }),
.n4end({ \tile_x10y9_n4beg[15] , \tile_x10y9_n4beg[14] , \tile_x10y9_n4beg[13] , \tile_x10y9_n4beg[12] , \tile_x10y9_n4beg[11] , \tile_x10y9_n4beg[10] , \tile_x10y9_n4beg[9] , \tile_x10y9_n4beg[8] , \tile_x10y9_n4beg[7] , \tile_x10y9_n4beg[6] , \tile_x10y9_n4beg[5] , \tile_x10y9_n4beg[4] , \tile_x10y9_n4beg[3] , \tile_x10y9_n4beg[2] , \tile_x10y9_n4beg[1] , \tile_x10y9_n4beg[0] }),
.nn4beg({ \tile_x10y8_nn4beg[15] , \tile_x10y8_nn4beg[14] , \tile_x10y8_nn4beg[13] , \tile_x10y8_nn4beg[12] , \tile_x10y8_nn4beg[11] , \tile_x10y8_nn4beg[10] , \tile_x10y8_nn4beg[9] , \tile_x10y8_nn4beg[8] , \tile_x10y8_nn4beg[7] , \tile_x10y8_nn4beg[6] , \tile_x10y8_nn4beg[5] , \tile_x10y8_nn4beg[4] , \tile_x10y8_nn4beg[3] , \tile_x10y8_nn4beg[2] , \tile_x10y8_nn4beg[1] , \tile_x10y8_nn4beg[0] }),
.nn4end({ \tile_x10y9_nn4beg[15] , \tile_x10y9_nn4beg[14] , \tile_x10y9_nn4beg[13] , \tile_x10y9_nn4beg[12] , \tile_x10y9_nn4beg[11] , \tile_x10y9_nn4beg[10] , \tile_x10y9_nn4beg[9] , \tile_x10y9_nn4beg[8] , \tile_x10y9_nn4beg[7] , \tile_x10y9_nn4beg[6] , \tile_x10y9_nn4beg[5] , \tile_x10y9_nn4beg[4] , \tile_x10y9_nn4beg[3] , \tile_x10y9_nn4beg[2] , \tile_x10y9_nn4beg[1] , \tile_x10y9_nn4beg[0] }),
.s1beg({ \tile_x10y8_s1beg[3] , \tile_x10y8_s1beg[2] , \tile_x10y8_s1beg[1] , \tile_x10y8_s1beg[0] }),
.s1end({ \tile_x10y7_s1beg[3] , \tile_x10y7_s1beg[2] , \tile_x10y7_s1beg[1] , \tile_x10y7_s1beg[0] }),
.s2beg({ \tile_x10y8_s2beg[7] , \tile_x10y8_s2beg[6] , \tile_x10y8_s2beg[5] , \tile_x10y8_s2beg[4] , \tile_x10y8_s2beg[3] , \tile_x10y8_s2beg[2] , \tile_x10y8_s2beg[1] , \tile_x10y8_s2beg[0] }),
.s2begb({ \tile_x10y8_s2begb[7] , \tile_x10y8_s2begb[6] , \tile_x10y8_s2begb[5] , \tile_x10y8_s2begb[4] , \tile_x10y8_s2begb[3] , \tile_x10y8_s2begb[2] , \tile_x10y8_s2begb[1] , \tile_x10y8_s2begb[0] }),
.s2end({ \tile_x10y7_s2begb[7] , \tile_x10y7_s2begb[6] , \tile_x10y7_s2begb[5] , \tile_x10y7_s2begb[4] , \tile_x10y7_s2begb[3] , \tile_x10y7_s2begb[2] , \tile_x10y7_s2begb[1] , \tile_x10y7_s2begb[0] }),
.s2mid({ \tile_x10y7_s2beg[7] , \tile_x10y7_s2beg[6] , \tile_x10y7_s2beg[5] , \tile_x10y7_s2beg[4] , \tile_x10y7_s2beg[3] , \tile_x10y7_s2beg[2] , \tile_x10y7_s2beg[1] , \tile_x10y7_s2beg[0] }),
.s4beg({ \tile_x10y8_s4beg[15] , \tile_x10y8_s4beg[14] , \tile_x10y8_s4beg[13] , \tile_x10y8_s4beg[12] , \tile_x10y8_s4beg[11] , \tile_x10y8_s4beg[10] , \tile_x10y8_s4beg[9] , \tile_x10y8_s4beg[8] , \tile_x10y8_s4beg[7] , \tile_x10y8_s4beg[6] , \tile_x10y8_s4beg[5] , \tile_x10y8_s4beg[4] , \tile_x10y8_s4beg[3] , \tile_x10y8_s4beg[2] , \tile_x10y8_s4beg[1] , \tile_x10y8_s4beg[0] }),
.s4end({ \tile_x10y7_s4beg[15] , \tile_x10y7_s4beg[14] , \tile_x10y7_s4beg[13] , \tile_x10y7_s4beg[12] , \tile_x10y7_s4beg[11] , \tile_x10y7_s4beg[10] , \tile_x10y7_s4beg[9] , \tile_x10y7_s4beg[8] , \tile_x10y7_s4beg[7] , \tile_x10y7_s4beg[6] , \tile_x10y7_s4beg[5] , \tile_x10y7_s4beg[4] , \tile_x10y7_s4beg[3] , \tile_x10y7_s4beg[2] , \tile_x10y7_s4beg[1] , \tile_x10y7_s4beg[0] }),
.ss4beg({ \tile_x10y8_ss4beg[15] , \tile_x10y8_ss4beg[14] , \tile_x10y8_ss4beg[13] , \tile_x10y8_ss4beg[12] , \tile_x10y8_ss4beg[11] , \tile_x10y8_ss4beg[10] , \tile_x10y8_ss4beg[9] , \tile_x10y8_ss4beg[8] , \tile_x10y8_ss4beg[7] , \tile_x10y8_ss4beg[6] , \tile_x10y8_ss4beg[5] , \tile_x10y8_ss4beg[4] , \tile_x10y8_ss4beg[3] , \tile_x10y8_ss4beg[2] , \tile_x10y8_ss4beg[1] , \tile_x10y8_ss4beg[0] }),
.ss4end({ \tile_x10y7_ss4beg[15] , \tile_x10y7_ss4beg[14] , \tile_x10y7_ss4beg[13] , \tile_x10y7_ss4beg[12] , \tile_x10y7_ss4beg[11] , \tile_x10y7_ss4beg[10] , \tile_x10y7_ss4beg[9] , \tile_x10y7_ss4beg[8] , \tile_x10y7_ss4beg[7] , \tile_x10y7_ss4beg[6] , \tile_x10y7_ss4beg[5] , \tile_x10y7_ss4beg[4] , \tile_x10y7_ss4beg[3] , \tile_x10y7_ss4beg[2] , \tile_x10y7_ss4beg[1] , \tile_x10y7_ss4beg[0] }),
.userclk(tile_x10y9_userclko),
.userclko(tile_x10y8_userclko),
.w1beg({ \tile_x10y8_w1beg[3] , \tile_x10y8_w1beg[2] , \tile_x10y8_w1beg[1] , \tile_x10y8_w1beg[0] }),
.w1end({ \tile_x11y8_w1beg[3] , \tile_x11y8_w1beg[2] , \tile_x11y8_w1beg[1] , \tile_x11y8_w1beg[0] }),
.w2beg({ \tile_x10y8_w2beg[7] , \tile_x10y8_w2beg[6] , \tile_x10y8_w2beg[5] , \tile_x10y8_w2beg[4] , \tile_x10y8_w2beg[3] , \tile_x10y8_w2beg[2] , \tile_x10y8_w2beg[1] , \tile_x10y8_w2beg[0] }),
.w2begb({ \tile_x10y8_w2begb[7] , \tile_x10y8_w2begb[6] , \tile_x10y8_w2begb[5] , \tile_x10y8_w2begb[4] , \tile_x10y8_w2begb[3] , \tile_x10y8_w2begb[2] , \tile_x10y8_w2begb[1] , \tile_x10y8_w2begb[0] }),
.w2end({ \tile_x11y8_w2begb[7] , \tile_x11y8_w2begb[6] , \tile_x11y8_w2begb[5] , \tile_x11y8_w2begb[4] , \tile_x11y8_w2begb[3] , \tile_x11y8_w2begb[2] , \tile_x11y8_w2begb[1] , \tile_x11y8_w2begb[0] }),
.w2mid({ \tile_x11y8_w2beg[7] , \tile_x11y8_w2beg[6] , \tile_x11y8_w2beg[5] , \tile_x11y8_w2beg[4] , \tile_x11y8_w2beg[3] , \tile_x11y8_w2beg[2] , \tile_x11y8_w2beg[1] , \tile_x11y8_w2beg[0] }),
.w6beg({ \tile_x10y8_w6beg[11] , \tile_x10y8_w6beg[10] , \tile_x10y8_w6beg[9] , \tile_x10y8_w6beg[8] , \tile_x10y8_w6beg[7] , \tile_x10y8_w6beg[6] , \tile_x10y8_w6beg[5] , \tile_x10y8_w6beg[4] , \tile_x10y8_w6beg[3] , \tile_x10y8_w6beg[2] , \tile_x10y8_w6beg[1] , \tile_x10y8_w6beg[0] }),
.w6end({ \tile_x11y8_w6beg[11] , \tile_x11y8_w6beg[10] , \tile_x11y8_w6beg[9] , \tile_x11y8_w6beg[8] , \tile_x11y8_w6beg[7] , \tile_x11y8_w6beg[6] , \tile_x11y8_w6beg[5] , \tile_x11y8_w6beg[4] , \tile_x11y8_w6beg[3] , \tile_x11y8_w6beg[2] , \tile_x11y8_w6beg[1] , \tile_x11y8_w6beg[0] }),
.ww4beg({ \tile_x10y8_ww4beg[15] , \tile_x10y8_ww4beg[14] , \tile_x10y8_ww4beg[13] , \tile_x10y8_ww4beg[12] , \tile_x10y8_ww4beg[11] , \tile_x10y8_ww4beg[10] , \tile_x10y8_ww4beg[9] , \tile_x10y8_ww4beg[8] , \tile_x10y8_ww4beg[7] , \tile_x10y8_ww4beg[6] , \tile_x10y8_ww4beg[5] , \tile_x10y8_ww4beg[4] , \tile_x10y8_ww4beg[3] , \tile_x10y8_ww4beg[2] , \tile_x10y8_ww4beg[1] , \tile_x10y8_ww4beg[0] }),
.ww4end({ \tile_x11y8_ww4beg[15] , \tile_x11y8_ww4beg[14] , \tile_x11y8_ww4beg[13] , \tile_x11y8_ww4beg[12] , \tile_x11y8_ww4beg[11] , \tile_x11y8_ww4beg[10] , \tile_x11y8_ww4beg[9] , \tile_x11y8_ww4beg[8] , \tile_x11y8_ww4beg[7] , \tile_x11y8_ww4beg[6] , \tile_x11y8_ww4beg[5] , \tile_x11y8_ww4beg[4] , \tile_x11y8_ww4beg[3] , \tile_x11y8_ww4beg[2] , \tile_x11y8_ww4beg[1] , \tile_x11y8_ww4beg[0] })
);
lut4ab tile_x10y9_lut4ab (
.ci(tile_x10y10_co),
.co(tile_x10y9_co),
.e1beg({ \tile_x10y9_e1beg[3] , \tile_x10y9_e1beg[2] , \tile_x10y9_e1beg[1] , \tile_x10y9_e1beg[0] }),
.e1end({ \tile_x9y9_e1beg[3] , \tile_x9y9_e1beg[2] , \tile_x9y9_e1beg[1] , \tile_x9y9_e1beg[0] }),
.e2beg({ \tile_x10y9_e2beg[7] , \tile_x10y9_e2beg[6] , \tile_x10y9_e2beg[5] , \tile_x10y9_e2beg[4] , \tile_x10y9_e2beg[3] , \tile_x10y9_e2beg[2] , \tile_x10y9_e2beg[1] , \tile_x10y9_e2beg[0] }),
.e2begb({ \tile_x10y9_e2begb[7] , \tile_x10y9_e2begb[6] , \tile_x10y9_e2begb[5] , \tile_x10y9_e2begb[4] , \tile_x10y9_e2begb[3] , \tile_x10y9_e2begb[2] , \tile_x10y9_e2begb[1] , \tile_x10y9_e2begb[0] }),
.e2end({ \tile_x9y9_e2begb[7] , \tile_x9y9_e2begb[6] , \tile_x9y9_e2begb[5] , \tile_x9y9_e2begb[4] , \tile_x9y9_e2begb[3] , \tile_x9y9_e2begb[2] , \tile_x9y9_e2begb[1] , \tile_x9y9_e2begb[0] }),
.e2mid({ \tile_x9y9_e2beg[7] , \tile_x9y9_e2beg[6] , \tile_x9y9_e2beg[5] , \tile_x9y9_e2beg[4] , \tile_x9y9_e2beg[3] , \tile_x9y9_e2beg[2] , \tile_x9y9_e2beg[1] , \tile_x9y9_e2beg[0] }),
.e6beg({ \tile_x10y9_e6beg[11] , \tile_x10y9_e6beg[10] , \tile_x10y9_e6beg[9] , \tile_x10y9_e6beg[8] , \tile_x10y9_e6beg[7] , \tile_x10y9_e6beg[6] , \tile_x10y9_e6beg[5] , \tile_x10y9_e6beg[4] , \tile_x10y9_e6beg[3] , \tile_x10y9_e6beg[2] , \tile_x10y9_e6beg[1] , \tile_x10y9_e6beg[0] }),
.e6end({ \tile_x9y9_e6beg[11] , \tile_x9y9_e6beg[10] , \tile_x9y9_e6beg[9] , \tile_x9y9_e6beg[8] , \tile_x9y9_e6beg[7] , \tile_x9y9_e6beg[6] , \tile_x9y9_e6beg[5] , \tile_x9y9_e6beg[4] , \tile_x9y9_e6beg[3] , \tile_x9y9_e6beg[2] , \tile_x9y9_e6beg[1] , \tile_x9y9_e6beg[0] }),
.ee4beg({ \tile_x10y9_ee4beg[15] , \tile_x10y9_ee4beg[14] , \tile_x10y9_ee4beg[13] , \tile_x10y9_ee4beg[12] , \tile_x10y9_ee4beg[11] , \tile_x10y9_ee4beg[10] , \tile_x10y9_ee4beg[9] , \tile_x10y9_ee4beg[8] , \tile_x10y9_ee4beg[7] , \tile_x10y9_ee4beg[6] , \tile_x10y9_ee4beg[5] , \tile_x10y9_ee4beg[4] , \tile_x10y9_ee4beg[3] , \tile_x10y9_ee4beg[2] , \tile_x10y9_ee4beg[1] , \tile_x10y9_ee4beg[0] }),
.ee4end({ \tile_x9y9_ee4beg[15] , \tile_x9y9_ee4beg[14] , \tile_x9y9_ee4beg[13] , \tile_x9y9_ee4beg[12] , \tile_x9y9_ee4beg[11] , \tile_x9y9_ee4beg[10] , \tile_x9y9_ee4beg[9] , \tile_x9y9_ee4beg[8] , \tile_x9y9_ee4beg[7] , \tile_x9y9_ee4beg[6] , \tile_x9y9_ee4beg[5] , \tile_x9y9_ee4beg[4] , \tile_x9y9_ee4beg[3] , \tile_x9y9_ee4beg[2] , \tile_x9y9_ee4beg[1] , \tile_x9y9_ee4beg[0] }),
.framedata({ \tile_x9y9_framedata_o[31] , \tile_x9y9_framedata_o[30] , \tile_x9y9_framedata_o[29] , \tile_x9y9_framedata_o[28] , \tile_x9y9_framedata_o[27] , \tile_x9y9_framedata_o[26] , \tile_x9y9_framedata_o[25] , \tile_x9y9_framedata_o[24] , \tile_x9y9_framedata_o[23] , \tile_x9y9_framedata_o[22] , \tile_x9y9_framedata_o[21] , \tile_x9y9_framedata_o[20] , \tile_x9y9_framedata_o[19] , \tile_x9y9_framedata_o[18] , \tile_x9y9_framedata_o[17] , \tile_x9y9_framedata_o[16] , \tile_x9y9_framedata_o[15] , \tile_x9y9_framedata_o[14] , \tile_x9y9_framedata_o[13] , \tile_x9y9_framedata_o[12] , \tile_x9y9_framedata_o[11] , \tile_x9y9_framedata_o[10] , \tile_x9y9_framedata_o[9] , \tile_x9y9_framedata_o[8] , \tile_x9y9_framedata_o[7] , \tile_x9y9_framedata_o[6] , \tile_x9y9_framedata_o[5] , \tile_x9y9_framedata_o[4] , \tile_x9y9_framedata_o[3] , \tile_x9y9_framedata_o[2] , \tile_x9y9_framedata_o[1] , \tile_x9y9_framedata_o[0] }),
.framedata_o({ \tile_x10y9_framedata_o[31] , \tile_x10y9_framedata_o[30] , \tile_x10y9_framedata_o[29] , \tile_x10y9_framedata_o[28] , \tile_x10y9_framedata_o[27] , \tile_x10y9_framedata_o[26] , \tile_x10y9_framedata_o[25] , \tile_x10y9_framedata_o[24] , \tile_x10y9_framedata_o[23] , \tile_x10y9_framedata_o[22] , \tile_x10y9_framedata_o[21] , \tile_x10y9_framedata_o[20] , \tile_x10y9_framedata_o[19] , \tile_x10y9_framedata_o[18] , \tile_x10y9_framedata_o[17] , \tile_x10y9_framedata_o[16] , \tile_x10y9_framedata_o[15] , \tile_x10y9_framedata_o[14] , \tile_x10y9_framedata_o[13] , \tile_x10y9_framedata_o[12] , \tile_x10y9_framedata_o[11] , \tile_x10y9_framedata_o[10] , \tile_x10y9_framedata_o[9] , \tile_x10y9_framedata_o[8] , \tile_x10y9_framedata_o[7] , \tile_x10y9_framedata_o[6] , \tile_x10y9_framedata_o[5] , \tile_x10y9_framedata_o[4] , \tile_x10y9_framedata_o[3] , \tile_x10y9_framedata_o[2] , \tile_x10y9_framedata_o[1] , \tile_x10y9_framedata_o[0] }),
.framestrobe({ \tile_x10y10_framestrobe_o[19] , \tile_x10y10_framestrobe_o[18] , \tile_x10y10_framestrobe_o[17] , \tile_x10y10_framestrobe_o[16] , \tile_x10y10_framestrobe_o[15] , \tile_x10y10_framestrobe_o[14] , \tile_x10y10_framestrobe_o[13] , \tile_x10y10_framestrobe_o[12] , \tile_x10y10_framestrobe_o[11] , \tile_x10y10_framestrobe_o[10] , \tile_x10y10_framestrobe_o[9] , \tile_x10y10_framestrobe_o[8] , \tile_x10y10_framestrobe_o[7] , \tile_x10y10_framestrobe_o[6] , \tile_x10y10_framestrobe_o[5] , \tile_x10y10_framestrobe_o[4] , \tile_x10y10_framestrobe_o[3] , \tile_x10y10_framestrobe_o[2] , \tile_x10y10_framestrobe_o[1] , \tile_x10y10_framestrobe_o[0] }),
.framestrobe_o({ \tile_x10y9_framestrobe_o[19] , \tile_x10y9_framestrobe_o[18] , \tile_x10y9_framestrobe_o[17] , \tile_x10y9_framestrobe_o[16] , \tile_x10y9_framestrobe_o[15] , \tile_x10y9_framestrobe_o[14] , \tile_x10y9_framestrobe_o[13] , \tile_x10y9_framestrobe_o[12] , \tile_x10y9_framestrobe_o[11] , \tile_x10y9_framestrobe_o[10] , \tile_x10y9_framestrobe_o[9] , \tile_x10y9_framestrobe_o[8] , \tile_x10y9_framestrobe_o[7] , \tile_x10y9_framestrobe_o[6] , \tile_x10y9_framestrobe_o[5] , \tile_x10y9_framestrobe_o[4] , \tile_x10y9_framestrobe_o[3] , \tile_x10y9_framestrobe_o[2] , \tile_x10y9_framestrobe_o[1] , \tile_x10y9_framestrobe_o[0] }),
.n1beg({ \tile_x10y9_n1beg[3] , \tile_x10y9_n1beg[2] , \tile_x10y9_n1beg[1] , \tile_x10y9_n1beg[0] }),
.n1end({ \tile_x10y10_n1beg[3] , \tile_x10y10_n1beg[2] , \tile_x10y10_n1beg[1] , \tile_x10y10_n1beg[0] }),
.n2beg({ \tile_x10y9_n2beg[7] , \tile_x10y9_n2beg[6] , \tile_x10y9_n2beg[5] , \tile_x10y9_n2beg[4] , \tile_x10y9_n2beg[3] , \tile_x10y9_n2beg[2] , \tile_x10y9_n2beg[1] , \tile_x10y9_n2beg[0] }),
.n2begb({ \tile_x10y9_n2begb[7] , \tile_x10y9_n2begb[6] , \tile_x10y9_n2begb[5] , \tile_x10y9_n2begb[4] , \tile_x10y9_n2begb[3] , \tile_x10y9_n2begb[2] , \tile_x10y9_n2begb[1] , \tile_x10y9_n2begb[0] }),
.n2end({ \tile_x10y10_n2begb[7] , \tile_x10y10_n2begb[6] , \tile_x10y10_n2begb[5] , \tile_x10y10_n2begb[4] , \tile_x10y10_n2begb[3] , \tile_x10y10_n2begb[2] , \tile_x10y10_n2begb[1] , \tile_x10y10_n2begb[0] }),
.n2mid({ \tile_x10y10_n2beg[7] , \tile_x10y10_n2beg[6] , \tile_x10y10_n2beg[5] , \tile_x10y10_n2beg[4] , \tile_x10y10_n2beg[3] , \tile_x10y10_n2beg[2] , \tile_x10y10_n2beg[1] , \tile_x10y10_n2beg[0] }),
.n4beg({ \tile_x10y9_n4beg[15] , \tile_x10y9_n4beg[14] , \tile_x10y9_n4beg[13] , \tile_x10y9_n4beg[12] , \tile_x10y9_n4beg[11] , \tile_x10y9_n4beg[10] , \tile_x10y9_n4beg[9] , \tile_x10y9_n4beg[8] , \tile_x10y9_n4beg[7] , \tile_x10y9_n4beg[6] , \tile_x10y9_n4beg[5] , \tile_x10y9_n4beg[4] , \tile_x10y9_n4beg[3] , \tile_x10y9_n4beg[2] , \tile_x10y9_n4beg[1] , \tile_x10y9_n4beg[0] }),
.n4end({ \tile_x10y10_n4beg[15] , \tile_x10y10_n4beg[14] , \tile_x10y10_n4beg[13] , \tile_x10y10_n4beg[12] , \tile_x10y10_n4beg[11] , \tile_x10y10_n4beg[10] , \tile_x10y10_n4beg[9] , \tile_x10y10_n4beg[8] , \tile_x10y10_n4beg[7] , \tile_x10y10_n4beg[6] , \tile_x10y10_n4beg[5] , \tile_x10y10_n4beg[4] , \tile_x10y10_n4beg[3] , \tile_x10y10_n4beg[2] , \tile_x10y10_n4beg[1] , \tile_x10y10_n4beg[0] }),
.nn4beg({ \tile_x10y9_nn4beg[15] , \tile_x10y9_nn4beg[14] , \tile_x10y9_nn4beg[13] , \tile_x10y9_nn4beg[12] , \tile_x10y9_nn4beg[11] , \tile_x10y9_nn4beg[10] , \tile_x10y9_nn4beg[9] , \tile_x10y9_nn4beg[8] , \tile_x10y9_nn4beg[7] , \tile_x10y9_nn4beg[6] , \tile_x10y9_nn4beg[5] , \tile_x10y9_nn4beg[4] , \tile_x10y9_nn4beg[3] , \tile_x10y9_nn4beg[2] , \tile_x10y9_nn4beg[1] , \tile_x10y9_nn4beg[0] }),
.nn4end({ \tile_x10y10_nn4beg[15] , \tile_x10y10_nn4beg[14] , \tile_x10y10_nn4beg[13] , \tile_x10y10_nn4beg[12] , \tile_x10y10_nn4beg[11] , \tile_x10y10_nn4beg[10] , \tile_x10y10_nn4beg[9] , \tile_x10y10_nn4beg[8] , \tile_x10y10_nn4beg[7] , \tile_x10y10_nn4beg[6] , \tile_x10y10_nn4beg[5] , \tile_x10y10_nn4beg[4] , \tile_x10y10_nn4beg[3] , \tile_x10y10_nn4beg[2] , \tile_x10y10_nn4beg[1] , \tile_x10y10_nn4beg[0] }),
.s1beg({ \tile_x10y9_s1beg[3] , \tile_x10y9_s1beg[2] , \tile_x10y9_s1beg[1] , \tile_x10y9_s1beg[0] }),
.s1end({ \tile_x10y8_s1beg[3] , \tile_x10y8_s1beg[2] , \tile_x10y8_s1beg[1] , \tile_x10y8_s1beg[0] }),
.s2beg({ \tile_x10y9_s2beg[7] , \tile_x10y9_s2beg[6] , \tile_x10y9_s2beg[5] , \tile_x10y9_s2beg[4] , \tile_x10y9_s2beg[3] , \tile_x10y9_s2beg[2] , \tile_x10y9_s2beg[1] , \tile_x10y9_s2beg[0] }),
.s2begb({ \tile_x10y9_s2begb[7] , \tile_x10y9_s2begb[6] , \tile_x10y9_s2begb[5] , \tile_x10y9_s2begb[4] , \tile_x10y9_s2begb[3] , \tile_x10y9_s2begb[2] , \tile_x10y9_s2begb[1] , \tile_x10y9_s2begb[0] }),
.s2end({ \tile_x10y8_s2begb[7] , \tile_x10y8_s2begb[6] , \tile_x10y8_s2begb[5] , \tile_x10y8_s2begb[4] , \tile_x10y8_s2begb[3] , \tile_x10y8_s2begb[2] , \tile_x10y8_s2begb[1] , \tile_x10y8_s2begb[0] }),
.s2mid({ \tile_x10y8_s2beg[7] , \tile_x10y8_s2beg[6] , \tile_x10y8_s2beg[5] , \tile_x10y8_s2beg[4] , \tile_x10y8_s2beg[3] , \tile_x10y8_s2beg[2] , \tile_x10y8_s2beg[1] , \tile_x10y8_s2beg[0] }),
.s4beg({ \tile_x10y9_s4beg[15] , \tile_x10y9_s4beg[14] , \tile_x10y9_s4beg[13] , \tile_x10y9_s4beg[12] , \tile_x10y9_s4beg[11] , \tile_x10y9_s4beg[10] , \tile_x10y9_s4beg[9] , \tile_x10y9_s4beg[8] , \tile_x10y9_s4beg[7] , \tile_x10y9_s4beg[6] , \tile_x10y9_s4beg[5] , \tile_x10y9_s4beg[4] , \tile_x10y9_s4beg[3] , \tile_x10y9_s4beg[2] , \tile_x10y9_s4beg[1] , \tile_x10y9_s4beg[0] }),
.s4end({ \tile_x10y8_s4beg[15] , \tile_x10y8_s4beg[14] , \tile_x10y8_s4beg[13] , \tile_x10y8_s4beg[12] , \tile_x10y8_s4beg[11] , \tile_x10y8_s4beg[10] , \tile_x10y8_s4beg[9] , \tile_x10y8_s4beg[8] , \tile_x10y8_s4beg[7] , \tile_x10y8_s4beg[6] , \tile_x10y8_s4beg[5] , \tile_x10y8_s4beg[4] , \tile_x10y8_s4beg[3] , \tile_x10y8_s4beg[2] , \tile_x10y8_s4beg[1] , \tile_x10y8_s4beg[0] }),
.ss4beg({ \tile_x10y9_ss4beg[15] , \tile_x10y9_ss4beg[14] , \tile_x10y9_ss4beg[13] , \tile_x10y9_ss4beg[12] , \tile_x10y9_ss4beg[11] , \tile_x10y9_ss4beg[10] , \tile_x10y9_ss4beg[9] , \tile_x10y9_ss4beg[8] , \tile_x10y9_ss4beg[7] , \tile_x10y9_ss4beg[6] , \tile_x10y9_ss4beg[5] , \tile_x10y9_ss4beg[4] , \tile_x10y9_ss4beg[3] , \tile_x10y9_ss4beg[2] , \tile_x10y9_ss4beg[1] , \tile_x10y9_ss4beg[0] }),
.ss4end({ \tile_x10y8_ss4beg[15] , \tile_x10y8_ss4beg[14] , \tile_x10y8_ss4beg[13] , \tile_x10y8_ss4beg[12] , \tile_x10y8_ss4beg[11] , \tile_x10y8_ss4beg[10] , \tile_x10y8_ss4beg[9] , \tile_x10y8_ss4beg[8] , \tile_x10y8_ss4beg[7] , \tile_x10y8_ss4beg[6] , \tile_x10y8_ss4beg[5] , \tile_x10y8_ss4beg[4] , \tile_x10y8_ss4beg[3] , \tile_x10y8_ss4beg[2] , \tile_x10y8_ss4beg[1] , \tile_x10y8_ss4beg[0] }),
.userclk(tile_x10y10_userclko),
.userclko(tile_x10y9_userclko),
.w1beg({ \tile_x10y9_w1beg[3] , \tile_x10y9_w1beg[2] , \tile_x10y9_w1beg[1] , \tile_x10y9_w1beg[0] }),
.w1end({ \tile_x11y9_w1beg[3] , \tile_x11y9_w1beg[2] , \tile_x11y9_w1beg[1] , \tile_x11y9_w1beg[0] }),
.w2beg({ \tile_x10y9_w2beg[7] , \tile_x10y9_w2beg[6] , \tile_x10y9_w2beg[5] , \tile_x10y9_w2beg[4] , \tile_x10y9_w2beg[3] , \tile_x10y9_w2beg[2] , \tile_x10y9_w2beg[1] , \tile_x10y9_w2beg[0] }),
.w2begb({ \tile_x10y9_w2begb[7] , \tile_x10y9_w2begb[6] , \tile_x10y9_w2begb[5] , \tile_x10y9_w2begb[4] , \tile_x10y9_w2begb[3] , \tile_x10y9_w2begb[2] , \tile_x10y9_w2begb[1] , \tile_x10y9_w2begb[0] }),
.w2end({ \tile_x11y9_w2begb[7] , \tile_x11y9_w2begb[6] , \tile_x11y9_w2begb[5] , \tile_x11y9_w2begb[4] , \tile_x11y9_w2begb[3] , \tile_x11y9_w2begb[2] , \tile_x11y9_w2begb[1] , \tile_x11y9_w2begb[0] }),
.w2mid({ \tile_x11y9_w2beg[7] , \tile_x11y9_w2beg[6] , \tile_x11y9_w2beg[5] , \tile_x11y9_w2beg[4] , \tile_x11y9_w2beg[3] , \tile_x11y9_w2beg[2] , \tile_x11y9_w2beg[1] , \tile_x11y9_w2beg[0] }),
.w6beg({ \tile_x10y9_w6beg[11] , \tile_x10y9_w6beg[10] , \tile_x10y9_w6beg[9] , \tile_x10y9_w6beg[8] , \tile_x10y9_w6beg[7] , \tile_x10y9_w6beg[6] , \tile_x10y9_w6beg[5] , \tile_x10y9_w6beg[4] , \tile_x10y9_w6beg[3] , \tile_x10y9_w6beg[2] , \tile_x10y9_w6beg[1] , \tile_x10y9_w6beg[0] }),
.w6end({ \tile_x11y9_w6beg[11] , \tile_x11y9_w6beg[10] , \tile_x11y9_w6beg[9] , \tile_x11y9_w6beg[8] , \tile_x11y9_w6beg[7] , \tile_x11y9_w6beg[6] , \tile_x11y9_w6beg[5] , \tile_x11y9_w6beg[4] , \tile_x11y9_w6beg[3] , \tile_x11y9_w6beg[2] , \tile_x11y9_w6beg[1] , \tile_x11y9_w6beg[0] }),
.ww4beg({ \tile_x10y9_ww4beg[15] , \tile_x10y9_ww4beg[14] , \tile_x10y9_ww4beg[13] , \tile_x10y9_ww4beg[12] , \tile_x10y9_ww4beg[11] , \tile_x10y9_ww4beg[10] , \tile_x10y9_ww4beg[9] , \tile_x10y9_ww4beg[8] , \tile_x10y9_ww4beg[7] , \tile_x10y9_ww4beg[6] , \tile_x10y9_ww4beg[5] , \tile_x10y9_ww4beg[4] , \tile_x10y9_ww4beg[3] , \tile_x10y9_ww4beg[2] , \tile_x10y9_ww4beg[1] , \tile_x10y9_ww4beg[0] }),
.ww4end({ \tile_x11y9_ww4beg[15] , \tile_x11y9_ww4beg[14] , \tile_x11y9_ww4beg[13] , \tile_x11y9_ww4beg[12] , \tile_x11y9_ww4beg[11] , \tile_x11y9_ww4beg[10] , \tile_x11y9_ww4beg[9] , \tile_x11y9_ww4beg[8] , \tile_x11y9_ww4beg[7] , \tile_x11y9_ww4beg[6] , \tile_x11y9_ww4beg[5] , \tile_x11y9_ww4beg[4] , \tile_x11y9_ww4beg[3] , \tile_x11y9_ww4beg[2] , \tile_x11y9_ww4beg[1] , \tile_x11y9_ww4beg[0] })
);
lut4ab tile_x11y10_lut4ab (
.ci(tile_x11y11_co),
.co(tile_x11y10_co),
.e1beg({ \tile_x11y10_e1beg[3] , \tile_x11y10_e1beg[2] , \tile_x11y10_e1beg[1] , \tile_x11y10_e1beg[0] }),
.e1end({ \tile_x10y10_e1beg[3] , \tile_x10y10_e1beg[2] , \tile_x10y10_e1beg[1] , \tile_x10y10_e1beg[0] }),
.e2beg({ \tile_x11y10_e2beg[7] , \tile_x11y10_e2beg[6] , \tile_x11y10_e2beg[5] , \tile_x11y10_e2beg[4] , \tile_x11y10_e2beg[3] , \tile_x11y10_e2beg[2] , \tile_x11y10_e2beg[1] , \tile_x11y10_e2beg[0] }),
.e2begb({ \tile_x11y10_e2begb[7] , \tile_x11y10_e2begb[6] , \tile_x11y10_e2begb[5] , \tile_x11y10_e2begb[4] , \tile_x11y10_e2begb[3] , \tile_x11y10_e2begb[2] , \tile_x11y10_e2begb[1] , \tile_x11y10_e2begb[0] }),
.e2end({ \tile_x10y10_e2begb[7] , \tile_x10y10_e2begb[6] , \tile_x10y10_e2begb[5] , \tile_x10y10_e2begb[4] , \tile_x10y10_e2begb[3] , \tile_x10y10_e2begb[2] , \tile_x10y10_e2begb[1] , \tile_x10y10_e2begb[0] }),
.e2mid({ \tile_x10y10_e2beg[7] , \tile_x10y10_e2beg[6] , \tile_x10y10_e2beg[5] , \tile_x10y10_e2beg[4] , \tile_x10y10_e2beg[3] , \tile_x10y10_e2beg[2] , \tile_x10y10_e2beg[1] , \tile_x10y10_e2beg[0] }),
.e6beg({ \tile_x11y10_e6beg[11] , \tile_x11y10_e6beg[10] , \tile_x11y10_e6beg[9] , \tile_x11y10_e6beg[8] , \tile_x11y10_e6beg[7] , \tile_x11y10_e6beg[6] , \tile_x11y10_e6beg[5] , \tile_x11y10_e6beg[4] , \tile_x11y10_e6beg[3] , \tile_x11y10_e6beg[2] , \tile_x11y10_e6beg[1] , \tile_x11y10_e6beg[0] }),
.e6end({ \tile_x10y10_e6beg[11] , \tile_x10y10_e6beg[10] , \tile_x10y10_e6beg[9] , \tile_x10y10_e6beg[8] , \tile_x10y10_e6beg[7] , \tile_x10y10_e6beg[6] , \tile_x10y10_e6beg[5] , \tile_x10y10_e6beg[4] , \tile_x10y10_e6beg[3] , \tile_x10y10_e6beg[2] , \tile_x10y10_e6beg[1] , \tile_x10y10_e6beg[0] }),
.ee4beg({ \tile_x11y10_ee4beg[15] , \tile_x11y10_ee4beg[14] , \tile_x11y10_ee4beg[13] , \tile_x11y10_ee4beg[12] , \tile_x11y10_ee4beg[11] , \tile_x11y10_ee4beg[10] , \tile_x11y10_ee4beg[9] , \tile_x11y10_ee4beg[8] , \tile_x11y10_ee4beg[7] , \tile_x11y10_ee4beg[6] , \tile_x11y10_ee4beg[5] , \tile_x11y10_ee4beg[4] , \tile_x11y10_ee4beg[3] , \tile_x11y10_ee4beg[2] , \tile_x11y10_ee4beg[1] , \tile_x11y10_ee4beg[0] }),
.ee4end({ \tile_x10y10_ee4beg[15] , \tile_x10y10_ee4beg[14] , \tile_x10y10_ee4beg[13] , \tile_x10y10_ee4beg[12] , \tile_x10y10_ee4beg[11] , \tile_x10y10_ee4beg[10] , \tile_x10y10_ee4beg[9] , \tile_x10y10_ee4beg[8] , \tile_x10y10_ee4beg[7] , \tile_x10y10_ee4beg[6] , \tile_x10y10_ee4beg[5] , \tile_x10y10_ee4beg[4] , \tile_x10y10_ee4beg[3] , \tile_x10y10_ee4beg[2] , \tile_x10y10_ee4beg[1] , \tile_x10y10_ee4beg[0] }),
.framedata({ \tile_x10y10_framedata_o[31] , \tile_x10y10_framedata_o[30] , \tile_x10y10_framedata_o[29] , \tile_x10y10_framedata_o[28] , \tile_x10y10_framedata_o[27] , \tile_x10y10_framedata_o[26] , \tile_x10y10_framedata_o[25] , \tile_x10y10_framedata_o[24] , \tile_x10y10_framedata_o[23] , \tile_x10y10_framedata_o[22] , \tile_x10y10_framedata_o[21] , \tile_x10y10_framedata_o[20] , \tile_x10y10_framedata_o[19] , \tile_x10y10_framedata_o[18] , \tile_x10y10_framedata_o[17] , \tile_x10y10_framedata_o[16] , \tile_x10y10_framedata_o[15] , \tile_x10y10_framedata_o[14] , \tile_x10y10_framedata_o[13] , \tile_x10y10_framedata_o[12] , \tile_x10y10_framedata_o[11] , \tile_x10y10_framedata_o[10] , \tile_x10y10_framedata_o[9] , \tile_x10y10_framedata_o[8] , \tile_x10y10_framedata_o[7] , \tile_x10y10_framedata_o[6] , \tile_x10y10_framedata_o[5] , \tile_x10y10_framedata_o[4] , \tile_x10y10_framedata_o[3] , \tile_x10y10_framedata_o[2] , \tile_x10y10_framedata_o[1] , \tile_x10y10_framedata_o[0] }),
.framedata_o({ \tile_x11y10_framedata_o[31] , \tile_x11y10_framedata_o[30] , \tile_x11y10_framedata_o[29] , \tile_x11y10_framedata_o[28] , \tile_x11y10_framedata_o[27] , \tile_x11y10_framedata_o[26] , \tile_x11y10_framedata_o[25] , \tile_x11y10_framedata_o[24] , \tile_x11y10_framedata_o[23] , \tile_x11y10_framedata_o[22] , \tile_x11y10_framedata_o[21] , \tile_x11y10_framedata_o[20] , \tile_x11y10_framedata_o[19] , \tile_x11y10_framedata_o[18] , \tile_x11y10_framedata_o[17] , \tile_x11y10_framedata_o[16] , \tile_x11y10_framedata_o[15] , \tile_x11y10_framedata_o[14] , \tile_x11y10_framedata_o[13] , \tile_x11y10_framedata_o[12] , \tile_x11y10_framedata_o[11] , \tile_x11y10_framedata_o[10] , \tile_x11y10_framedata_o[9] , \tile_x11y10_framedata_o[8] , \tile_x11y10_framedata_o[7] , \tile_x11y10_framedata_o[6] , \tile_x11y10_framedata_o[5] , \tile_x11y10_framedata_o[4] , \tile_x11y10_framedata_o[3] , \tile_x11y10_framedata_o[2] , \tile_x11y10_framedata_o[1] , \tile_x11y10_framedata_o[0] }),
.framestrobe({ \tile_x11y11_framestrobe_o[19] , \tile_x11y11_framestrobe_o[18] , \tile_x11y11_framestrobe_o[17] , \tile_x11y11_framestrobe_o[16] , \tile_x11y11_framestrobe_o[15] , \tile_x11y11_framestrobe_o[14] , \tile_x11y11_framestrobe_o[13] , \tile_x11y11_framestrobe_o[12] , \tile_x11y11_framestrobe_o[11] , \tile_x11y11_framestrobe_o[10] , \tile_x11y11_framestrobe_o[9] , \tile_x11y11_framestrobe_o[8] , \tile_x11y11_framestrobe_o[7] , \tile_x11y11_framestrobe_o[6] , \tile_x11y11_framestrobe_o[5] , \tile_x11y11_framestrobe_o[4] , \tile_x11y11_framestrobe_o[3] , \tile_x11y11_framestrobe_o[2] , \tile_x11y11_framestrobe_o[1] , \tile_x11y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y10_framestrobe_o[19] , \tile_x11y10_framestrobe_o[18] , \tile_x11y10_framestrobe_o[17] , \tile_x11y10_framestrobe_o[16] , \tile_x11y10_framestrobe_o[15] , \tile_x11y10_framestrobe_o[14] , \tile_x11y10_framestrobe_o[13] , \tile_x11y10_framestrobe_o[12] , \tile_x11y10_framestrobe_o[11] , \tile_x11y10_framestrobe_o[10] , \tile_x11y10_framestrobe_o[9] , \tile_x11y10_framestrobe_o[8] , \tile_x11y10_framestrobe_o[7] , \tile_x11y10_framestrobe_o[6] , \tile_x11y10_framestrobe_o[5] , \tile_x11y10_framestrobe_o[4] , \tile_x11y10_framestrobe_o[3] , \tile_x11y10_framestrobe_o[2] , \tile_x11y10_framestrobe_o[1] , \tile_x11y10_framestrobe_o[0] }),
.n1beg({ \tile_x11y10_n1beg[3] , \tile_x11y10_n1beg[2] , \tile_x11y10_n1beg[1] , \tile_x11y10_n1beg[0] }),
.n1end({ \tile_x11y11_n1beg[3] , \tile_x11y11_n1beg[2] , \tile_x11y11_n1beg[1] , \tile_x11y11_n1beg[0] }),
.n2beg({ \tile_x11y10_n2beg[7] , \tile_x11y10_n2beg[6] , \tile_x11y10_n2beg[5] , \tile_x11y10_n2beg[4] , \tile_x11y10_n2beg[3] , \tile_x11y10_n2beg[2] , \tile_x11y10_n2beg[1] , \tile_x11y10_n2beg[0] }),
.n2begb({ \tile_x11y10_n2begb[7] , \tile_x11y10_n2begb[6] , \tile_x11y10_n2begb[5] , \tile_x11y10_n2begb[4] , \tile_x11y10_n2begb[3] , \tile_x11y10_n2begb[2] , \tile_x11y10_n2begb[1] , \tile_x11y10_n2begb[0] }),
.n2end({ \tile_x11y11_n2begb[7] , \tile_x11y11_n2begb[6] , \tile_x11y11_n2begb[5] , \tile_x11y11_n2begb[4] , \tile_x11y11_n2begb[3] , \tile_x11y11_n2begb[2] , \tile_x11y11_n2begb[1] , \tile_x11y11_n2begb[0] }),
.n2mid({ \tile_x11y11_n2beg[7] , \tile_x11y11_n2beg[6] , \tile_x11y11_n2beg[5] , \tile_x11y11_n2beg[4] , \tile_x11y11_n2beg[3] , \tile_x11y11_n2beg[2] , \tile_x11y11_n2beg[1] , \tile_x11y11_n2beg[0] }),
.n4beg({ \tile_x11y10_n4beg[15] , \tile_x11y10_n4beg[14] , \tile_x11y10_n4beg[13] , \tile_x11y10_n4beg[12] , \tile_x11y10_n4beg[11] , \tile_x11y10_n4beg[10] , \tile_x11y10_n4beg[9] , \tile_x11y10_n4beg[8] , \tile_x11y10_n4beg[7] , \tile_x11y10_n4beg[6] , \tile_x11y10_n4beg[5] , \tile_x11y10_n4beg[4] , \tile_x11y10_n4beg[3] , \tile_x11y10_n4beg[2] , \tile_x11y10_n4beg[1] , \tile_x11y10_n4beg[0] }),
.n4end({ \tile_x11y11_n4beg[15] , \tile_x11y11_n4beg[14] , \tile_x11y11_n4beg[13] , \tile_x11y11_n4beg[12] , \tile_x11y11_n4beg[11] , \tile_x11y11_n4beg[10] , \tile_x11y11_n4beg[9] , \tile_x11y11_n4beg[8] , \tile_x11y11_n4beg[7] , \tile_x11y11_n4beg[6] , \tile_x11y11_n4beg[5] , \tile_x11y11_n4beg[4] , \tile_x11y11_n4beg[3] , \tile_x11y11_n4beg[2] , \tile_x11y11_n4beg[1] , \tile_x11y11_n4beg[0] }),
.nn4beg({ \tile_x11y10_nn4beg[15] , \tile_x11y10_nn4beg[14] , \tile_x11y10_nn4beg[13] , \tile_x11y10_nn4beg[12] , \tile_x11y10_nn4beg[11] , \tile_x11y10_nn4beg[10] , \tile_x11y10_nn4beg[9] , \tile_x11y10_nn4beg[8] , \tile_x11y10_nn4beg[7] , \tile_x11y10_nn4beg[6] , \tile_x11y10_nn4beg[5] , \tile_x11y10_nn4beg[4] , \tile_x11y10_nn4beg[3] , \tile_x11y10_nn4beg[2] , \tile_x11y10_nn4beg[1] , \tile_x11y10_nn4beg[0] }),
.nn4end({ \tile_x11y11_nn4beg[15] , \tile_x11y11_nn4beg[14] , \tile_x11y11_nn4beg[13] , \tile_x11y11_nn4beg[12] , \tile_x11y11_nn4beg[11] , \tile_x11y11_nn4beg[10] , \tile_x11y11_nn4beg[9] , \tile_x11y11_nn4beg[8] , \tile_x11y11_nn4beg[7] , \tile_x11y11_nn4beg[6] , \tile_x11y11_nn4beg[5] , \tile_x11y11_nn4beg[4] , \tile_x11y11_nn4beg[3] , \tile_x11y11_nn4beg[2] , \tile_x11y11_nn4beg[1] , \tile_x11y11_nn4beg[0] }),
.s1beg({ \tile_x11y10_s1beg[3] , \tile_x11y10_s1beg[2] , \tile_x11y10_s1beg[1] , \tile_x11y10_s1beg[0] }),
.s1end({ \tile_x11y9_s1beg[3] , \tile_x11y9_s1beg[2] , \tile_x11y9_s1beg[1] , \tile_x11y9_s1beg[0] }),
.s2beg({ \tile_x11y10_s2beg[7] , \tile_x11y10_s2beg[6] , \tile_x11y10_s2beg[5] , \tile_x11y10_s2beg[4] , \tile_x11y10_s2beg[3] , \tile_x11y10_s2beg[2] , \tile_x11y10_s2beg[1] , \tile_x11y10_s2beg[0] }),
.s2begb({ \tile_x11y10_s2begb[7] , \tile_x11y10_s2begb[6] , \tile_x11y10_s2begb[5] , \tile_x11y10_s2begb[4] , \tile_x11y10_s2begb[3] , \tile_x11y10_s2begb[2] , \tile_x11y10_s2begb[1] , \tile_x11y10_s2begb[0] }),
.s2end({ \tile_x11y9_s2begb[7] , \tile_x11y9_s2begb[6] , \tile_x11y9_s2begb[5] , \tile_x11y9_s2begb[4] , \tile_x11y9_s2begb[3] , \tile_x11y9_s2begb[2] , \tile_x11y9_s2begb[1] , \tile_x11y9_s2begb[0] }),
.s2mid({ \tile_x11y9_s2beg[7] , \tile_x11y9_s2beg[6] , \tile_x11y9_s2beg[5] , \tile_x11y9_s2beg[4] , \tile_x11y9_s2beg[3] , \tile_x11y9_s2beg[2] , \tile_x11y9_s2beg[1] , \tile_x11y9_s2beg[0] }),
.s4beg({ \tile_x11y10_s4beg[15] , \tile_x11y10_s4beg[14] , \tile_x11y10_s4beg[13] , \tile_x11y10_s4beg[12] , \tile_x11y10_s4beg[11] , \tile_x11y10_s4beg[10] , \tile_x11y10_s4beg[9] , \tile_x11y10_s4beg[8] , \tile_x11y10_s4beg[7] , \tile_x11y10_s4beg[6] , \tile_x11y10_s4beg[5] , \tile_x11y10_s4beg[4] , \tile_x11y10_s4beg[3] , \tile_x11y10_s4beg[2] , \tile_x11y10_s4beg[1] , \tile_x11y10_s4beg[0] }),
.s4end({ \tile_x11y9_s4beg[15] , \tile_x11y9_s4beg[14] , \tile_x11y9_s4beg[13] , \tile_x11y9_s4beg[12] , \tile_x11y9_s4beg[11] , \tile_x11y9_s4beg[10] , \tile_x11y9_s4beg[9] , \tile_x11y9_s4beg[8] , \tile_x11y9_s4beg[7] , \tile_x11y9_s4beg[6] , \tile_x11y9_s4beg[5] , \tile_x11y9_s4beg[4] , \tile_x11y9_s4beg[3] , \tile_x11y9_s4beg[2] , \tile_x11y9_s4beg[1] , \tile_x11y9_s4beg[0] }),
.ss4beg({ \tile_x11y10_ss4beg[15] , \tile_x11y10_ss4beg[14] , \tile_x11y10_ss4beg[13] , \tile_x11y10_ss4beg[12] , \tile_x11y10_ss4beg[11] , \tile_x11y10_ss4beg[10] , \tile_x11y10_ss4beg[9] , \tile_x11y10_ss4beg[8] , \tile_x11y10_ss4beg[7] , \tile_x11y10_ss4beg[6] , \tile_x11y10_ss4beg[5] , \tile_x11y10_ss4beg[4] , \tile_x11y10_ss4beg[3] , \tile_x11y10_ss4beg[2] , \tile_x11y10_ss4beg[1] , \tile_x11y10_ss4beg[0] }),
.ss4end({ \tile_x11y9_ss4beg[15] , \tile_x11y9_ss4beg[14] , \tile_x11y9_ss4beg[13] , \tile_x11y9_ss4beg[12] , \tile_x11y9_ss4beg[11] , \tile_x11y9_ss4beg[10] , \tile_x11y9_ss4beg[9] , \tile_x11y9_ss4beg[8] , \tile_x11y9_ss4beg[7] , \tile_x11y9_ss4beg[6] , \tile_x11y9_ss4beg[5] , \tile_x11y9_ss4beg[4] , \tile_x11y9_ss4beg[3] , \tile_x11y9_ss4beg[2] , \tile_x11y9_ss4beg[1] , \tile_x11y9_ss4beg[0] }),
.userclk(tile_x11y11_userclko),
.userclko(tile_x11y10_userclko),
.w1beg({ \tile_x11y10_w1beg[3] , \tile_x11y10_w1beg[2] , \tile_x11y10_w1beg[1] , \tile_x11y10_w1beg[0] }),
.w1end({ \tile_x12y10_w1beg[3] , \tile_x12y10_w1beg[2] , \tile_x12y10_w1beg[1] , \tile_x12y10_w1beg[0] }),
.w2beg({ \tile_x11y10_w2beg[7] , \tile_x11y10_w2beg[6] , \tile_x11y10_w2beg[5] , \tile_x11y10_w2beg[4] , \tile_x11y10_w2beg[3] , \tile_x11y10_w2beg[2] , \tile_x11y10_w2beg[1] , \tile_x11y10_w2beg[0] }),
.w2begb({ \tile_x11y10_w2begb[7] , \tile_x11y10_w2begb[6] , \tile_x11y10_w2begb[5] , \tile_x11y10_w2begb[4] , \tile_x11y10_w2begb[3] , \tile_x11y10_w2begb[2] , \tile_x11y10_w2begb[1] , \tile_x11y10_w2begb[0] }),
.w2end({ \tile_x12y10_w2begb[7] , \tile_x12y10_w2begb[6] , \tile_x12y10_w2begb[5] , \tile_x12y10_w2begb[4] , \tile_x12y10_w2begb[3] , \tile_x12y10_w2begb[2] , \tile_x12y10_w2begb[1] , \tile_x12y10_w2begb[0] }),
.w2mid({ \tile_x12y10_w2beg[7] , \tile_x12y10_w2beg[6] , \tile_x12y10_w2beg[5] , \tile_x12y10_w2beg[4] , \tile_x12y10_w2beg[3] , \tile_x12y10_w2beg[2] , \tile_x12y10_w2beg[1] , \tile_x12y10_w2beg[0] }),
.w6beg({ \tile_x11y10_w6beg[11] , \tile_x11y10_w6beg[10] , \tile_x11y10_w6beg[9] , \tile_x11y10_w6beg[8] , \tile_x11y10_w6beg[7] , \tile_x11y10_w6beg[6] , \tile_x11y10_w6beg[5] , \tile_x11y10_w6beg[4] , \tile_x11y10_w6beg[3] , \tile_x11y10_w6beg[2] , \tile_x11y10_w6beg[1] , \tile_x11y10_w6beg[0] }),
.w6end({ \tile_x12y10_w6beg[11] , \tile_x12y10_w6beg[10] , \tile_x12y10_w6beg[9] , \tile_x12y10_w6beg[8] , \tile_x12y10_w6beg[7] , \tile_x12y10_w6beg[6] , \tile_x12y10_w6beg[5] , \tile_x12y10_w6beg[4] , \tile_x12y10_w6beg[3] , \tile_x12y10_w6beg[2] , \tile_x12y10_w6beg[1] , \tile_x12y10_w6beg[0] }),
.ww4beg({ \tile_x11y10_ww4beg[15] , \tile_x11y10_ww4beg[14] , \tile_x11y10_ww4beg[13] , \tile_x11y10_ww4beg[12] , \tile_x11y10_ww4beg[11] , \tile_x11y10_ww4beg[10] , \tile_x11y10_ww4beg[9] , \tile_x11y10_ww4beg[8] , \tile_x11y10_ww4beg[7] , \tile_x11y10_ww4beg[6] , \tile_x11y10_ww4beg[5] , \tile_x11y10_ww4beg[4] , \tile_x11y10_ww4beg[3] , \tile_x11y10_ww4beg[2] , \tile_x11y10_ww4beg[1] , \tile_x11y10_ww4beg[0] }),
.ww4end({ \tile_x12y10_ww4beg[15] , \tile_x12y10_ww4beg[14] , \tile_x12y10_ww4beg[13] , \tile_x12y10_ww4beg[12] , \tile_x12y10_ww4beg[11] , \tile_x12y10_ww4beg[10] , \tile_x12y10_ww4beg[9] , \tile_x12y10_ww4beg[8] , \tile_x12y10_ww4beg[7] , \tile_x12y10_ww4beg[6] , \tile_x12y10_ww4beg[5] , \tile_x12y10_ww4beg[4] , \tile_x12y10_ww4beg[3] , \tile_x12y10_ww4beg[2] , \tile_x12y10_ww4beg[1] , \tile_x12y10_ww4beg[0] })
);
lut4ab tile_x11y11_lut4ab (
.ci(tile_x11y12_co),
.co(tile_x11y11_co),
.e1beg({ \tile_x11y11_e1beg[3] , \tile_x11y11_e1beg[2] , \tile_x11y11_e1beg[1] , \tile_x11y11_e1beg[0] }),
.e1end({ \tile_x10y11_e1beg[3] , \tile_x10y11_e1beg[2] , \tile_x10y11_e1beg[1] , \tile_x10y11_e1beg[0] }),
.e2beg({ \tile_x11y11_e2beg[7] , \tile_x11y11_e2beg[6] , \tile_x11y11_e2beg[5] , \tile_x11y11_e2beg[4] , \tile_x11y11_e2beg[3] , \tile_x11y11_e2beg[2] , \tile_x11y11_e2beg[1] , \tile_x11y11_e2beg[0] }),
.e2begb({ \tile_x11y11_e2begb[7] , \tile_x11y11_e2begb[6] , \tile_x11y11_e2begb[5] , \tile_x11y11_e2begb[4] , \tile_x11y11_e2begb[3] , \tile_x11y11_e2begb[2] , \tile_x11y11_e2begb[1] , \tile_x11y11_e2begb[0] }),
.e2end({ \tile_x10y11_e2begb[7] , \tile_x10y11_e2begb[6] , \tile_x10y11_e2begb[5] , \tile_x10y11_e2begb[4] , \tile_x10y11_e2begb[3] , \tile_x10y11_e2begb[2] , \tile_x10y11_e2begb[1] , \tile_x10y11_e2begb[0] }),
.e2mid({ \tile_x10y11_e2beg[7] , \tile_x10y11_e2beg[6] , \tile_x10y11_e2beg[5] , \tile_x10y11_e2beg[4] , \tile_x10y11_e2beg[3] , \tile_x10y11_e2beg[2] , \tile_x10y11_e2beg[1] , \tile_x10y11_e2beg[0] }),
.e6beg({ \tile_x11y11_e6beg[11] , \tile_x11y11_e6beg[10] , \tile_x11y11_e6beg[9] , \tile_x11y11_e6beg[8] , \tile_x11y11_e6beg[7] , \tile_x11y11_e6beg[6] , \tile_x11y11_e6beg[5] , \tile_x11y11_e6beg[4] , \tile_x11y11_e6beg[3] , \tile_x11y11_e6beg[2] , \tile_x11y11_e6beg[1] , \tile_x11y11_e6beg[0] }),
.e6end({ \tile_x10y11_e6beg[11] , \tile_x10y11_e6beg[10] , \tile_x10y11_e6beg[9] , \tile_x10y11_e6beg[8] , \tile_x10y11_e6beg[7] , \tile_x10y11_e6beg[6] , \tile_x10y11_e6beg[5] , \tile_x10y11_e6beg[4] , \tile_x10y11_e6beg[3] , \tile_x10y11_e6beg[2] , \tile_x10y11_e6beg[1] , \tile_x10y11_e6beg[0] }),
.ee4beg({ \tile_x11y11_ee4beg[15] , \tile_x11y11_ee4beg[14] , \tile_x11y11_ee4beg[13] , \tile_x11y11_ee4beg[12] , \tile_x11y11_ee4beg[11] , \tile_x11y11_ee4beg[10] , \tile_x11y11_ee4beg[9] , \tile_x11y11_ee4beg[8] , \tile_x11y11_ee4beg[7] , \tile_x11y11_ee4beg[6] , \tile_x11y11_ee4beg[5] , \tile_x11y11_ee4beg[4] , \tile_x11y11_ee4beg[3] , \tile_x11y11_ee4beg[2] , \tile_x11y11_ee4beg[1] , \tile_x11y11_ee4beg[0] }),
.ee4end({ \tile_x10y11_ee4beg[15] , \tile_x10y11_ee4beg[14] , \tile_x10y11_ee4beg[13] , \tile_x10y11_ee4beg[12] , \tile_x10y11_ee4beg[11] , \tile_x10y11_ee4beg[10] , \tile_x10y11_ee4beg[9] , \tile_x10y11_ee4beg[8] , \tile_x10y11_ee4beg[7] , \tile_x10y11_ee4beg[6] , \tile_x10y11_ee4beg[5] , \tile_x10y11_ee4beg[4] , \tile_x10y11_ee4beg[3] , \tile_x10y11_ee4beg[2] , \tile_x10y11_ee4beg[1] , \tile_x10y11_ee4beg[0] }),
.framedata({ \tile_x10y11_framedata_o[31] , \tile_x10y11_framedata_o[30] , \tile_x10y11_framedata_o[29] , \tile_x10y11_framedata_o[28] , \tile_x10y11_framedata_o[27] , \tile_x10y11_framedata_o[26] , \tile_x10y11_framedata_o[25] , \tile_x10y11_framedata_o[24] , \tile_x10y11_framedata_o[23] , \tile_x10y11_framedata_o[22] , \tile_x10y11_framedata_o[21] , \tile_x10y11_framedata_o[20] , \tile_x10y11_framedata_o[19] , \tile_x10y11_framedata_o[18] , \tile_x10y11_framedata_o[17] , \tile_x10y11_framedata_o[16] , \tile_x10y11_framedata_o[15] , \tile_x10y11_framedata_o[14] , \tile_x10y11_framedata_o[13] , \tile_x10y11_framedata_o[12] , \tile_x10y11_framedata_o[11] , \tile_x10y11_framedata_o[10] , \tile_x10y11_framedata_o[9] , \tile_x10y11_framedata_o[8] , \tile_x10y11_framedata_o[7] , \tile_x10y11_framedata_o[6] , \tile_x10y11_framedata_o[5] , \tile_x10y11_framedata_o[4] , \tile_x10y11_framedata_o[3] , \tile_x10y11_framedata_o[2] , \tile_x10y11_framedata_o[1] , \tile_x10y11_framedata_o[0] }),
.framedata_o({ \tile_x11y11_framedata_o[31] , \tile_x11y11_framedata_o[30] , \tile_x11y11_framedata_o[29] , \tile_x11y11_framedata_o[28] , \tile_x11y11_framedata_o[27] , \tile_x11y11_framedata_o[26] , \tile_x11y11_framedata_o[25] , \tile_x11y11_framedata_o[24] , \tile_x11y11_framedata_o[23] , \tile_x11y11_framedata_o[22] , \tile_x11y11_framedata_o[21] , \tile_x11y11_framedata_o[20] , \tile_x11y11_framedata_o[19] , \tile_x11y11_framedata_o[18] , \tile_x11y11_framedata_o[17] , \tile_x11y11_framedata_o[16] , \tile_x11y11_framedata_o[15] , \tile_x11y11_framedata_o[14] , \tile_x11y11_framedata_o[13] , \tile_x11y11_framedata_o[12] , \tile_x11y11_framedata_o[11] , \tile_x11y11_framedata_o[10] , \tile_x11y11_framedata_o[9] , \tile_x11y11_framedata_o[8] , \tile_x11y11_framedata_o[7] , \tile_x11y11_framedata_o[6] , \tile_x11y11_framedata_o[5] , \tile_x11y11_framedata_o[4] , \tile_x11y11_framedata_o[3] , \tile_x11y11_framedata_o[2] , \tile_x11y11_framedata_o[1] , \tile_x11y11_framedata_o[0] }),
.framestrobe({ \tile_x11y12_framestrobe_o[19] , \tile_x11y12_framestrobe_o[18] , \tile_x11y12_framestrobe_o[17] , \tile_x11y12_framestrobe_o[16] , \tile_x11y12_framestrobe_o[15] , \tile_x11y12_framestrobe_o[14] , \tile_x11y12_framestrobe_o[13] , \tile_x11y12_framestrobe_o[12] , \tile_x11y12_framestrobe_o[11] , \tile_x11y12_framestrobe_o[10] , \tile_x11y12_framestrobe_o[9] , \tile_x11y12_framestrobe_o[8] , \tile_x11y12_framestrobe_o[7] , \tile_x11y12_framestrobe_o[6] , \tile_x11y12_framestrobe_o[5] , \tile_x11y12_framestrobe_o[4] , \tile_x11y12_framestrobe_o[3] , \tile_x11y12_framestrobe_o[2] , \tile_x11y12_framestrobe_o[1] , \tile_x11y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y11_framestrobe_o[19] , \tile_x11y11_framestrobe_o[18] , \tile_x11y11_framestrobe_o[17] , \tile_x11y11_framestrobe_o[16] , \tile_x11y11_framestrobe_o[15] , \tile_x11y11_framestrobe_o[14] , \tile_x11y11_framestrobe_o[13] , \tile_x11y11_framestrobe_o[12] , \tile_x11y11_framestrobe_o[11] , \tile_x11y11_framestrobe_o[10] , \tile_x11y11_framestrobe_o[9] , \tile_x11y11_framestrobe_o[8] , \tile_x11y11_framestrobe_o[7] , \tile_x11y11_framestrobe_o[6] , \tile_x11y11_framestrobe_o[5] , \tile_x11y11_framestrobe_o[4] , \tile_x11y11_framestrobe_o[3] , \tile_x11y11_framestrobe_o[2] , \tile_x11y11_framestrobe_o[1] , \tile_x11y11_framestrobe_o[0] }),
.n1beg({ \tile_x11y11_n1beg[3] , \tile_x11y11_n1beg[2] , \tile_x11y11_n1beg[1] , \tile_x11y11_n1beg[0] }),
.n1end({ \tile_x11y12_n1beg[3] , \tile_x11y12_n1beg[2] , \tile_x11y12_n1beg[1] , \tile_x11y12_n1beg[0] }),
.n2beg({ \tile_x11y11_n2beg[7] , \tile_x11y11_n2beg[6] , \tile_x11y11_n2beg[5] , \tile_x11y11_n2beg[4] , \tile_x11y11_n2beg[3] , \tile_x11y11_n2beg[2] , \tile_x11y11_n2beg[1] , \tile_x11y11_n2beg[0] }),
.n2begb({ \tile_x11y11_n2begb[7] , \tile_x11y11_n2begb[6] , \tile_x11y11_n2begb[5] , \tile_x11y11_n2begb[4] , \tile_x11y11_n2begb[3] , \tile_x11y11_n2begb[2] , \tile_x11y11_n2begb[1] , \tile_x11y11_n2begb[0] }),
.n2end({ \tile_x11y12_n2begb[7] , \tile_x11y12_n2begb[6] , \tile_x11y12_n2begb[5] , \tile_x11y12_n2begb[4] , \tile_x11y12_n2begb[3] , \tile_x11y12_n2begb[2] , \tile_x11y12_n2begb[1] , \tile_x11y12_n2begb[0] }),
.n2mid({ \tile_x11y12_n2beg[7] , \tile_x11y12_n2beg[6] , \tile_x11y12_n2beg[5] , \tile_x11y12_n2beg[4] , \tile_x11y12_n2beg[3] , \tile_x11y12_n2beg[2] , \tile_x11y12_n2beg[1] , \tile_x11y12_n2beg[0] }),
.n4beg({ \tile_x11y11_n4beg[15] , \tile_x11y11_n4beg[14] , \tile_x11y11_n4beg[13] , \tile_x11y11_n4beg[12] , \tile_x11y11_n4beg[11] , \tile_x11y11_n4beg[10] , \tile_x11y11_n4beg[9] , \tile_x11y11_n4beg[8] , \tile_x11y11_n4beg[7] , \tile_x11y11_n4beg[6] , \tile_x11y11_n4beg[5] , \tile_x11y11_n4beg[4] , \tile_x11y11_n4beg[3] , \tile_x11y11_n4beg[2] , \tile_x11y11_n4beg[1] , \tile_x11y11_n4beg[0] }),
.n4end({ \tile_x11y12_n4beg[15] , \tile_x11y12_n4beg[14] , \tile_x11y12_n4beg[13] , \tile_x11y12_n4beg[12] , \tile_x11y12_n4beg[11] , \tile_x11y12_n4beg[10] , \tile_x11y12_n4beg[9] , \tile_x11y12_n4beg[8] , \tile_x11y12_n4beg[7] , \tile_x11y12_n4beg[6] , \tile_x11y12_n4beg[5] , \tile_x11y12_n4beg[4] , \tile_x11y12_n4beg[3] , \tile_x11y12_n4beg[2] , \tile_x11y12_n4beg[1] , \tile_x11y12_n4beg[0] }),
.nn4beg({ \tile_x11y11_nn4beg[15] , \tile_x11y11_nn4beg[14] , \tile_x11y11_nn4beg[13] , \tile_x11y11_nn4beg[12] , \tile_x11y11_nn4beg[11] , \tile_x11y11_nn4beg[10] , \tile_x11y11_nn4beg[9] , \tile_x11y11_nn4beg[8] , \tile_x11y11_nn4beg[7] , \tile_x11y11_nn4beg[6] , \tile_x11y11_nn4beg[5] , \tile_x11y11_nn4beg[4] , \tile_x11y11_nn4beg[3] , \tile_x11y11_nn4beg[2] , \tile_x11y11_nn4beg[1] , \tile_x11y11_nn4beg[0] }),
.nn4end({ \tile_x11y12_nn4beg[15] , \tile_x11y12_nn4beg[14] , \tile_x11y12_nn4beg[13] , \tile_x11y12_nn4beg[12] , \tile_x11y12_nn4beg[11] , \tile_x11y12_nn4beg[10] , \tile_x11y12_nn4beg[9] , \tile_x11y12_nn4beg[8] , \tile_x11y12_nn4beg[7] , \tile_x11y12_nn4beg[6] , \tile_x11y12_nn4beg[5] , \tile_x11y12_nn4beg[4] , \tile_x11y12_nn4beg[3] , \tile_x11y12_nn4beg[2] , \tile_x11y12_nn4beg[1] , \tile_x11y12_nn4beg[0] }),
.s1beg({ \tile_x11y11_s1beg[3] , \tile_x11y11_s1beg[2] , \tile_x11y11_s1beg[1] , \tile_x11y11_s1beg[0] }),
.s1end({ \tile_x11y10_s1beg[3] , \tile_x11y10_s1beg[2] , \tile_x11y10_s1beg[1] , \tile_x11y10_s1beg[0] }),
.s2beg({ \tile_x11y11_s2beg[7] , \tile_x11y11_s2beg[6] , \tile_x11y11_s2beg[5] , \tile_x11y11_s2beg[4] , \tile_x11y11_s2beg[3] , \tile_x11y11_s2beg[2] , \tile_x11y11_s2beg[1] , \tile_x11y11_s2beg[0] }),
.s2begb({ \tile_x11y11_s2begb[7] , \tile_x11y11_s2begb[6] , \tile_x11y11_s2begb[5] , \tile_x11y11_s2begb[4] , \tile_x11y11_s2begb[3] , \tile_x11y11_s2begb[2] , \tile_x11y11_s2begb[1] , \tile_x11y11_s2begb[0] }),
.s2end({ \tile_x11y10_s2begb[7] , \tile_x11y10_s2begb[6] , \tile_x11y10_s2begb[5] , \tile_x11y10_s2begb[4] , \tile_x11y10_s2begb[3] , \tile_x11y10_s2begb[2] , \tile_x11y10_s2begb[1] , \tile_x11y10_s2begb[0] }),
.s2mid({ \tile_x11y10_s2beg[7] , \tile_x11y10_s2beg[6] , \tile_x11y10_s2beg[5] , \tile_x11y10_s2beg[4] , \tile_x11y10_s2beg[3] , \tile_x11y10_s2beg[2] , \tile_x11y10_s2beg[1] , \tile_x11y10_s2beg[0] }),
.s4beg({ \tile_x11y11_s4beg[15] , \tile_x11y11_s4beg[14] , \tile_x11y11_s4beg[13] , \tile_x11y11_s4beg[12] , \tile_x11y11_s4beg[11] , \tile_x11y11_s4beg[10] , \tile_x11y11_s4beg[9] , \tile_x11y11_s4beg[8] , \tile_x11y11_s4beg[7] , \tile_x11y11_s4beg[6] , \tile_x11y11_s4beg[5] , \tile_x11y11_s4beg[4] , \tile_x11y11_s4beg[3] , \tile_x11y11_s4beg[2] , \tile_x11y11_s4beg[1] , \tile_x11y11_s4beg[0] }),
.s4end({ \tile_x11y10_s4beg[15] , \tile_x11y10_s4beg[14] , \tile_x11y10_s4beg[13] , \tile_x11y10_s4beg[12] , \tile_x11y10_s4beg[11] , \tile_x11y10_s4beg[10] , \tile_x11y10_s4beg[9] , \tile_x11y10_s4beg[8] , \tile_x11y10_s4beg[7] , \tile_x11y10_s4beg[6] , \tile_x11y10_s4beg[5] , \tile_x11y10_s4beg[4] , \tile_x11y10_s4beg[3] , \tile_x11y10_s4beg[2] , \tile_x11y10_s4beg[1] , \tile_x11y10_s4beg[0] }),
.ss4beg({ \tile_x11y11_ss4beg[15] , \tile_x11y11_ss4beg[14] , \tile_x11y11_ss4beg[13] , \tile_x11y11_ss4beg[12] , \tile_x11y11_ss4beg[11] , \tile_x11y11_ss4beg[10] , \tile_x11y11_ss4beg[9] , \tile_x11y11_ss4beg[8] , \tile_x11y11_ss4beg[7] , \tile_x11y11_ss4beg[6] , \tile_x11y11_ss4beg[5] , \tile_x11y11_ss4beg[4] , \tile_x11y11_ss4beg[3] , \tile_x11y11_ss4beg[2] , \tile_x11y11_ss4beg[1] , \tile_x11y11_ss4beg[0] }),
.ss4end({ \tile_x11y10_ss4beg[15] , \tile_x11y10_ss4beg[14] , \tile_x11y10_ss4beg[13] , \tile_x11y10_ss4beg[12] , \tile_x11y10_ss4beg[11] , \tile_x11y10_ss4beg[10] , \tile_x11y10_ss4beg[9] , \tile_x11y10_ss4beg[8] , \tile_x11y10_ss4beg[7] , \tile_x11y10_ss4beg[6] , \tile_x11y10_ss4beg[5] , \tile_x11y10_ss4beg[4] , \tile_x11y10_ss4beg[3] , \tile_x11y10_ss4beg[2] , \tile_x11y10_ss4beg[1] , \tile_x11y10_ss4beg[0] }),
.userclk(tile_x11y12_userclko),
.userclko(tile_x11y11_userclko),
.w1beg({ \tile_x11y11_w1beg[3] , \tile_x11y11_w1beg[2] , \tile_x11y11_w1beg[1] , \tile_x11y11_w1beg[0] }),
.w1end({ \tile_x12y11_w1beg[3] , \tile_x12y11_w1beg[2] , \tile_x12y11_w1beg[1] , \tile_x12y11_w1beg[0] }),
.w2beg({ \tile_x11y11_w2beg[7] , \tile_x11y11_w2beg[6] , \tile_x11y11_w2beg[5] , \tile_x11y11_w2beg[4] , \tile_x11y11_w2beg[3] , \tile_x11y11_w2beg[2] , \tile_x11y11_w2beg[1] , \tile_x11y11_w2beg[0] }),
.w2begb({ \tile_x11y11_w2begb[7] , \tile_x11y11_w2begb[6] , \tile_x11y11_w2begb[5] , \tile_x11y11_w2begb[4] , \tile_x11y11_w2begb[3] , \tile_x11y11_w2begb[2] , \tile_x11y11_w2begb[1] , \tile_x11y11_w2begb[0] }),
.w2end({ \tile_x12y11_w2begb[7] , \tile_x12y11_w2begb[6] , \tile_x12y11_w2begb[5] , \tile_x12y11_w2begb[4] , \tile_x12y11_w2begb[3] , \tile_x12y11_w2begb[2] , \tile_x12y11_w2begb[1] , \tile_x12y11_w2begb[0] }),
.w2mid({ \tile_x12y11_w2beg[7] , \tile_x12y11_w2beg[6] , \tile_x12y11_w2beg[5] , \tile_x12y11_w2beg[4] , \tile_x12y11_w2beg[3] , \tile_x12y11_w2beg[2] , \tile_x12y11_w2beg[1] , \tile_x12y11_w2beg[0] }),
.w6beg({ \tile_x11y11_w6beg[11] , \tile_x11y11_w6beg[10] , \tile_x11y11_w6beg[9] , \tile_x11y11_w6beg[8] , \tile_x11y11_w6beg[7] , \tile_x11y11_w6beg[6] , \tile_x11y11_w6beg[5] , \tile_x11y11_w6beg[4] , \tile_x11y11_w6beg[3] , \tile_x11y11_w6beg[2] , \tile_x11y11_w6beg[1] , \tile_x11y11_w6beg[0] }),
.w6end({ \tile_x12y11_w6beg[11] , \tile_x12y11_w6beg[10] , \tile_x12y11_w6beg[9] , \tile_x12y11_w6beg[8] , \tile_x12y11_w6beg[7] , \tile_x12y11_w6beg[6] , \tile_x12y11_w6beg[5] , \tile_x12y11_w6beg[4] , \tile_x12y11_w6beg[3] , \tile_x12y11_w6beg[2] , \tile_x12y11_w6beg[1] , \tile_x12y11_w6beg[0] }),
.ww4beg({ \tile_x11y11_ww4beg[15] , \tile_x11y11_ww4beg[14] , \tile_x11y11_ww4beg[13] , \tile_x11y11_ww4beg[12] , \tile_x11y11_ww4beg[11] , \tile_x11y11_ww4beg[10] , \tile_x11y11_ww4beg[9] , \tile_x11y11_ww4beg[8] , \tile_x11y11_ww4beg[7] , \tile_x11y11_ww4beg[6] , \tile_x11y11_ww4beg[5] , \tile_x11y11_ww4beg[4] , \tile_x11y11_ww4beg[3] , \tile_x11y11_ww4beg[2] , \tile_x11y11_ww4beg[1] , \tile_x11y11_ww4beg[0] }),
.ww4end({ \tile_x12y11_ww4beg[15] , \tile_x12y11_ww4beg[14] , \tile_x12y11_ww4beg[13] , \tile_x12y11_ww4beg[12] , \tile_x12y11_ww4beg[11] , \tile_x12y11_ww4beg[10] , \tile_x12y11_ww4beg[9] , \tile_x12y11_ww4beg[8] , \tile_x12y11_ww4beg[7] , \tile_x12y11_ww4beg[6] , \tile_x12y11_ww4beg[5] , \tile_x12y11_ww4beg[4] , \tile_x12y11_ww4beg[3] , \tile_x12y11_ww4beg[2] , \tile_x12y11_ww4beg[1] , \tile_x12y11_ww4beg[0] })
);
lut4ab tile_x11y12_lut4ab (
.ci(tile_x11y13_co),
.co(tile_x11y12_co),
.e1beg({ \tile_x11y12_e1beg[3] , \tile_x11y12_e1beg[2] , \tile_x11y12_e1beg[1] , \tile_x11y12_e1beg[0] }),
.e1end({ \tile_x10y12_e1beg[3] , \tile_x10y12_e1beg[2] , \tile_x10y12_e1beg[1] , \tile_x10y12_e1beg[0] }),
.e2beg({ \tile_x11y12_e2beg[7] , \tile_x11y12_e2beg[6] , \tile_x11y12_e2beg[5] , \tile_x11y12_e2beg[4] , \tile_x11y12_e2beg[3] , \tile_x11y12_e2beg[2] , \tile_x11y12_e2beg[1] , \tile_x11y12_e2beg[0] }),
.e2begb({ \tile_x11y12_e2begb[7] , \tile_x11y12_e2begb[6] , \tile_x11y12_e2begb[5] , \tile_x11y12_e2begb[4] , \tile_x11y12_e2begb[3] , \tile_x11y12_e2begb[2] , \tile_x11y12_e2begb[1] , \tile_x11y12_e2begb[0] }),
.e2end({ \tile_x10y12_e2begb[7] , \tile_x10y12_e2begb[6] , \tile_x10y12_e2begb[5] , \tile_x10y12_e2begb[4] , \tile_x10y12_e2begb[3] , \tile_x10y12_e2begb[2] , \tile_x10y12_e2begb[1] , \tile_x10y12_e2begb[0] }),
.e2mid({ \tile_x10y12_e2beg[7] , \tile_x10y12_e2beg[6] , \tile_x10y12_e2beg[5] , \tile_x10y12_e2beg[4] , \tile_x10y12_e2beg[3] , \tile_x10y12_e2beg[2] , \tile_x10y12_e2beg[1] , \tile_x10y12_e2beg[0] }),
.e6beg({ \tile_x11y12_e6beg[11] , \tile_x11y12_e6beg[10] , \tile_x11y12_e6beg[9] , \tile_x11y12_e6beg[8] , \tile_x11y12_e6beg[7] , \tile_x11y12_e6beg[6] , \tile_x11y12_e6beg[5] , \tile_x11y12_e6beg[4] , \tile_x11y12_e6beg[3] , \tile_x11y12_e6beg[2] , \tile_x11y12_e6beg[1] , \tile_x11y12_e6beg[0] }),
.e6end({ \tile_x10y12_e6beg[11] , \tile_x10y12_e6beg[10] , \tile_x10y12_e6beg[9] , \tile_x10y12_e6beg[8] , \tile_x10y12_e6beg[7] , \tile_x10y12_e6beg[6] , \tile_x10y12_e6beg[5] , \tile_x10y12_e6beg[4] , \tile_x10y12_e6beg[3] , \tile_x10y12_e6beg[2] , \tile_x10y12_e6beg[1] , \tile_x10y12_e6beg[0] }),
.ee4beg({ \tile_x11y12_ee4beg[15] , \tile_x11y12_ee4beg[14] , \tile_x11y12_ee4beg[13] , \tile_x11y12_ee4beg[12] , \tile_x11y12_ee4beg[11] , \tile_x11y12_ee4beg[10] , \tile_x11y12_ee4beg[9] , \tile_x11y12_ee4beg[8] , \tile_x11y12_ee4beg[7] , \tile_x11y12_ee4beg[6] , \tile_x11y12_ee4beg[5] , \tile_x11y12_ee4beg[4] , \tile_x11y12_ee4beg[3] , \tile_x11y12_ee4beg[2] , \tile_x11y12_ee4beg[1] , \tile_x11y12_ee4beg[0] }),
.ee4end({ \tile_x10y12_ee4beg[15] , \tile_x10y12_ee4beg[14] , \tile_x10y12_ee4beg[13] , \tile_x10y12_ee4beg[12] , \tile_x10y12_ee4beg[11] , \tile_x10y12_ee4beg[10] , \tile_x10y12_ee4beg[9] , \tile_x10y12_ee4beg[8] , \tile_x10y12_ee4beg[7] , \tile_x10y12_ee4beg[6] , \tile_x10y12_ee4beg[5] , \tile_x10y12_ee4beg[4] , \tile_x10y12_ee4beg[3] , \tile_x10y12_ee4beg[2] , \tile_x10y12_ee4beg[1] , \tile_x10y12_ee4beg[0] }),
.framedata({ \tile_x10y12_framedata_o[31] , \tile_x10y12_framedata_o[30] , \tile_x10y12_framedata_o[29] , \tile_x10y12_framedata_o[28] , \tile_x10y12_framedata_o[27] , \tile_x10y12_framedata_o[26] , \tile_x10y12_framedata_o[25] , \tile_x10y12_framedata_o[24] , \tile_x10y12_framedata_o[23] , \tile_x10y12_framedata_o[22] , \tile_x10y12_framedata_o[21] , \tile_x10y12_framedata_o[20] , \tile_x10y12_framedata_o[19] , \tile_x10y12_framedata_o[18] , \tile_x10y12_framedata_o[17] , \tile_x10y12_framedata_o[16] , \tile_x10y12_framedata_o[15] , \tile_x10y12_framedata_o[14] , \tile_x10y12_framedata_o[13] , \tile_x10y12_framedata_o[12] , \tile_x10y12_framedata_o[11] , \tile_x10y12_framedata_o[10] , \tile_x10y12_framedata_o[9] , \tile_x10y12_framedata_o[8] , \tile_x10y12_framedata_o[7] , \tile_x10y12_framedata_o[6] , \tile_x10y12_framedata_o[5] , \tile_x10y12_framedata_o[4] , \tile_x10y12_framedata_o[3] , \tile_x10y12_framedata_o[2] , \tile_x10y12_framedata_o[1] , \tile_x10y12_framedata_o[0] }),
.framedata_o({ \tile_x11y12_framedata_o[31] , \tile_x11y12_framedata_o[30] , \tile_x11y12_framedata_o[29] , \tile_x11y12_framedata_o[28] , \tile_x11y12_framedata_o[27] , \tile_x11y12_framedata_o[26] , \tile_x11y12_framedata_o[25] , \tile_x11y12_framedata_o[24] , \tile_x11y12_framedata_o[23] , \tile_x11y12_framedata_o[22] , \tile_x11y12_framedata_o[21] , \tile_x11y12_framedata_o[20] , \tile_x11y12_framedata_o[19] , \tile_x11y12_framedata_o[18] , \tile_x11y12_framedata_o[17] , \tile_x11y12_framedata_o[16] , \tile_x11y12_framedata_o[15] , \tile_x11y12_framedata_o[14] , \tile_x11y12_framedata_o[13] , \tile_x11y12_framedata_o[12] , \tile_x11y12_framedata_o[11] , \tile_x11y12_framedata_o[10] , \tile_x11y12_framedata_o[9] , \tile_x11y12_framedata_o[8] , \tile_x11y12_framedata_o[7] , \tile_x11y12_framedata_o[6] , \tile_x11y12_framedata_o[5] , \tile_x11y12_framedata_o[4] , \tile_x11y12_framedata_o[3] , \tile_x11y12_framedata_o[2] , \tile_x11y12_framedata_o[1] , \tile_x11y12_framedata_o[0] }),
.framestrobe({ \tile_x11y13_framestrobe_o[19] , \tile_x11y13_framestrobe_o[18] , \tile_x11y13_framestrobe_o[17] , \tile_x11y13_framestrobe_o[16] , \tile_x11y13_framestrobe_o[15] , \tile_x11y13_framestrobe_o[14] , \tile_x11y13_framestrobe_o[13] , \tile_x11y13_framestrobe_o[12] , \tile_x11y13_framestrobe_o[11] , \tile_x11y13_framestrobe_o[10] , \tile_x11y13_framestrobe_o[9] , \tile_x11y13_framestrobe_o[8] , \tile_x11y13_framestrobe_o[7] , \tile_x11y13_framestrobe_o[6] , \tile_x11y13_framestrobe_o[5] , \tile_x11y13_framestrobe_o[4] , \tile_x11y13_framestrobe_o[3] , \tile_x11y13_framestrobe_o[2] , \tile_x11y13_framestrobe_o[1] , \tile_x11y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y12_framestrobe_o[19] , \tile_x11y12_framestrobe_o[18] , \tile_x11y12_framestrobe_o[17] , \tile_x11y12_framestrobe_o[16] , \tile_x11y12_framestrobe_o[15] , \tile_x11y12_framestrobe_o[14] , \tile_x11y12_framestrobe_o[13] , \tile_x11y12_framestrobe_o[12] , \tile_x11y12_framestrobe_o[11] , \tile_x11y12_framestrobe_o[10] , \tile_x11y12_framestrobe_o[9] , \tile_x11y12_framestrobe_o[8] , \tile_x11y12_framestrobe_o[7] , \tile_x11y12_framestrobe_o[6] , \tile_x11y12_framestrobe_o[5] , \tile_x11y12_framestrobe_o[4] , \tile_x11y12_framestrobe_o[3] , \tile_x11y12_framestrobe_o[2] , \tile_x11y12_framestrobe_o[1] , \tile_x11y12_framestrobe_o[0] }),
.n1beg({ \tile_x11y12_n1beg[3] , \tile_x11y12_n1beg[2] , \tile_x11y12_n1beg[1] , \tile_x11y12_n1beg[0] }),
.n1end({ \tile_x11y13_n1beg[3] , \tile_x11y13_n1beg[2] , \tile_x11y13_n1beg[1] , \tile_x11y13_n1beg[0] }),
.n2beg({ \tile_x11y12_n2beg[7] , \tile_x11y12_n2beg[6] , \tile_x11y12_n2beg[5] , \tile_x11y12_n2beg[4] , \tile_x11y12_n2beg[3] , \tile_x11y12_n2beg[2] , \tile_x11y12_n2beg[1] , \tile_x11y12_n2beg[0] }),
.n2begb({ \tile_x11y12_n2begb[7] , \tile_x11y12_n2begb[6] , \tile_x11y12_n2begb[5] , \tile_x11y12_n2begb[4] , \tile_x11y12_n2begb[3] , \tile_x11y12_n2begb[2] , \tile_x11y12_n2begb[1] , \tile_x11y12_n2begb[0] }),
.n2end({ \tile_x11y13_n2begb[7] , \tile_x11y13_n2begb[6] , \tile_x11y13_n2begb[5] , \tile_x11y13_n2begb[4] , \tile_x11y13_n2begb[3] , \tile_x11y13_n2begb[2] , \tile_x11y13_n2begb[1] , \tile_x11y13_n2begb[0] }),
.n2mid({ \tile_x11y13_n2beg[7] , \tile_x11y13_n2beg[6] , \tile_x11y13_n2beg[5] , \tile_x11y13_n2beg[4] , \tile_x11y13_n2beg[3] , \tile_x11y13_n2beg[2] , \tile_x11y13_n2beg[1] , \tile_x11y13_n2beg[0] }),
.n4beg({ \tile_x11y12_n4beg[15] , \tile_x11y12_n4beg[14] , \tile_x11y12_n4beg[13] , \tile_x11y12_n4beg[12] , \tile_x11y12_n4beg[11] , \tile_x11y12_n4beg[10] , \tile_x11y12_n4beg[9] , \tile_x11y12_n4beg[8] , \tile_x11y12_n4beg[7] , \tile_x11y12_n4beg[6] , \tile_x11y12_n4beg[5] , \tile_x11y12_n4beg[4] , \tile_x11y12_n4beg[3] , \tile_x11y12_n4beg[2] , \tile_x11y12_n4beg[1] , \tile_x11y12_n4beg[0] }),
.n4end({ \tile_x11y13_n4beg[15] , \tile_x11y13_n4beg[14] , \tile_x11y13_n4beg[13] , \tile_x11y13_n4beg[12] , \tile_x11y13_n4beg[11] , \tile_x11y13_n4beg[10] , \tile_x11y13_n4beg[9] , \tile_x11y13_n4beg[8] , \tile_x11y13_n4beg[7] , \tile_x11y13_n4beg[6] , \tile_x11y13_n4beg[5] , \tile_x11y13_n4beg[4] , \tile_x11y13_n4beg[3] , \tile_x11y13_n4beg[2] , \tile_x11y13_n4beg[1] , \tile_x11y13_n4beg[0] }),
.nn4beg({ \tile_x11y12_nn4beg[15] , \tile_x11y12_nn4beg[14] , \tile_x11y12_nn4beg[13] , \tile_x11y12_nn4beg[12] , \tile_x11y12_nn4beg[11] , \tile_x11y12_nn4beg[10] , \tile_x11y12_nn4beg[9] , \tile_x11y12_nn4beg[8] , \tile_x11y12_nn4beg[7] , \tile_x11y12_nn4beg[6] , \tile_x11y12_nn4beg[5] , \tile_x11y12_nn4beg[4] , \tile_x11y12_nn4beg[3] , \tile_x11y12_nn4beg[2] , \tile_x11y12_nn4beg[1] , \tile_x11y12_nn4beg[0] }),
.nn4end({ \tile_x11y13_nn4beg[15] , \tile_x11y13_nn4beg[14] , \tile_x11y13_nn4beg[13] , \tile_x11y13_nn4beg[12] , \tile_x11y13_nn4beg[11] , \tile_x11y13_nn4beg[10] , \tile_x11y13_nn4beg[9] , \tile_x11y13_nn4beg[8] , \tile_x11y13_nn4beg[7] , \tile_x11y13_nn4beg[6] , \tile_x11y13_nn4beg[5] , \tile_x11y13_nn4beg[4] , \tile_x11y13_nn4beg[3] , \tile_x11y13_nn4beg[2] , \tile_x11y13_nn4beg[1] , \tile_x11y13_nn4beg[0] }),
.s1beg({ \tile_x11y12_s1beg[3] , \tile_x11y12_s1beg[2] , \tile_x11y12_s1beg[1] , \tile_x11y12_s1beg[0] }),
.s1end({ \tile_x11y11_s1beg[3] , \tile_x11y11_s1beg[2] , \tile_x11y11_s1beg[1] , \tile_x11y11_s1beg[0] }),
.s2beg({ \tile_x11y12_s2beg[7] , \tile_x11y12_s2beg[6] , \tile_x11y12_s2beg[5] , \tile_x11y12_s2beg[4] , \tile_x11y12_s2beg[3] , \tile_x11y12_s2beg[2] , \tile_x11y12_s2beg[1] , \tile_x11y12_s2beg[0] }),
.s2begb({ \tile_x11y12_s2begb[7] , \tile_x11y12_s2begb[6] , \tile_x11y12_s2begb[5] , \tile_x11y12_s2begb[4] , \tile_x11y12_s2begb[3] , \tile_x11y12_s2begb[2] , \tile_x11y12_s2begb[1] , \tile_x11y12_s2begb[0] }),
.s2end({ \tile_x11y11_s2begb[7] , \tile_x11y11_s2begb[6] , \tile_x11y11_s2begb[5] , \tile_x11y11_s2begb[4] , \tile_x11y11_s2begb[3] , \tile_x11y11_s2begb[2] , \tile_x11y11_s2begb[1] , \tile_x11y11_s2begb[0] }),
.s2mid({ \tile_x11y11_s2beg[7] , \tile_x11y11_s2beg[6] , \tile_x11y11_s2beg[5] , \tile_x11y11_s2beg[4] , \tile_x11y11_s2beg[3] , \tile_x11y11_s2beg[2] , \tile_x11y11_s2beg[1] , \tile_x11y11_s2beg[0] }),
.s4beg({ \tile_x11y12_s4beg[15] , \tile_x11y12_s4beg[14] , \tile_x11y12_s4beg[13] , \tile_x11y12_s4beg[12] , \tile_x11y12_s4beg[11] , \tile_x11y12_s4beg[10] , \tile_x11y12_s4beg[9] , \tile_x11y12_s4beg[8] , \tile_x11y12_s4beg[7] , \tile_x11y12_s4beg[6] , \tile_x11y12_s4beg[5] , \tile_x11y12_s4beg[4] , \tile_x11y12_s4beg[3] , \tile_x11y12_s4beg[2] , \tile_x11y12_s4beg[1] , \tile_x11y12_s4beg[0] }),
.s4end({ \tile_x11y11_s4beg[15] , \tile_x11y11_s4beg[14] , \tile_x11y11_s4beg[13] , \tile_x11y11_s4beg[12] , \tile_x11y11_s4beg[11] , \tile_x11y11_s4beg[10] , \tile_x11y11_s4beg[9] , \tile_x11y11_s4beg[8] , \tile_x11y11_s4beg[7] , \tile_x11y11_s4beg[6] , \tile_x11y11_s4beg[5] , \tile_x11y11_s4beg[4] , \tile_x11y11_s4beg[3] , \tile_x11y11_s4beg[2] , \tile_x11y11_s4beg[1] , \tile_x11y11_s4beg[0] }),
.ss4beg({ \tile_x11y12_ss4beg[15] , \tile_x11y12_ss4beg[14] , \tile_x11y12_ss4beg[13] , \tile_x11y12_ss4beg[12] , \tile_x11y12_ss4beg[11] , \tile_x11y12_ss4beg[10] , \tile_x11y12_ss4beg[9] , \tile_x11y12_ss4beg[8] , \tile_x11y12_ss4beg[7] , \tile_x11y12_ss4beg[6] , \tile_x11y12_ss4beg[5] , \tile_x11y12_ss4beg[4] , \tile_x11y12_ss4beg[3] , \tile_x11y12_ss4beg[2] , \tile_x11y12_ss4beg[1] , \tile_x11y12_ss4beg[0] }),
.ss4end({ \tile_x11y11_ss4beg[15] , \tile_x11y11_ss4beg[14] , \tile_x11y11_ss4beg[13] , \tile_x11y11_ss4beg[12] , \tile_x11y11_ss4beg[11] , \tile_x11y11_ss4beg[10] , \tile_x11y11_ss4beg[9] , \tile_x11y11_ss4beg[8] , \tile_x11y11_ss4beg[7] , \tile_x11y11_ss4beg[6] , \tile_x11y11_ss4beg[5] , \tile_x11y11_ss4beg[4] , \tile_x11y11_ss4beg[3] , \tile_x11y11_ss4beg[2] , \tile_x11y11_ss4beg[1] , \tile_x11y11_ss4beg[0] }),
.userclk(tile_x11y13_userclko),
.userclko(tile_x11y12_userclko),
.w1beg({ \tile_x11y12_w1beg[3] , \tile_x11y12_w1beg[2] , \tile_x11y12_w1beg[1] , \tile_x11y12_w1beg[0] }),
.w1end({ \tile_x12y12_w1beg[3] , \tile_x12y12_w1beg[2] , \tile_x12y12_w1beg[1] , \tile_x12y12_w1beg[0] }),
.w2beg({ \tile_x11y12_w2beg[7] , \tile_x11y12_w2beg[6] , \tile_x11y12_w2beg[5] , \tile_x11y12_w2beg[4] , \tile_x11y12_w2beg[3] , \tile_x11y12_w2beg[2] , \tile_x11y12_w2beg[1] , \tile_x11y12_w2beg[0] }),
.w2begb({ \tile_x11y12_w2begb[7] , \tile_x11y12_w2begb[6] , \tile_x11y12_w2begb[5] , \tile_x11y12_w2begb[4] , \tile_x11y12_w2begb[3] , \tile_x11y12_w2begb[2] , \tile_x11y12_w2begb[1] , \tile_x11y12_w2begb[0] }),
.w2end({ \tile_x12y12_w2begb[7] , \tile_x12y12_w2begb[6] , \tile_x12y12_w2begb[5] , \tile_x12y12_w2begb[4] , \tile_x12y12_w2begb[3] , \tile_x12y12_w2begb[2] , \tile_x12y12_w2begb[1] , \tile_x12y12_w2begb[0] }),
.w2mid({ \tile_x12y12_w2beg[7] , \tile_x12y12_w2beg[6] , \tile_x12y12_w2beg[5] , \tile_x12y12_w2beg[4] , \tile_x12y12_w2beg[3] , \tile_x12y12_w2beg[2] , \tile_x12y12_w2beg[1] , \tile_x12y12_w2beg[0] }),
.w6beg({ \tile_x11y12_w6beg[11] , \tile_x11y12_w6beg[10] , \tile_x11y12_w6beg[9] , \tile_x11y12_w6beg[8] , \tile_x11y12_w6beg[7] , \tile_x11y12_w6beg[6] , \tile_x11y12_w6beg[5] , \tile_x11y12_w6beg[4] , \tile_x11y12_w6beg[3] , \tile_x11y12_w6beg[2] , \tile_x11y12_w6beg[1] , \tile_x11y12_w6beg[0] }),
.w6end({ \tile_x12y12_w6beg[11] , \tile_x12y12_w6beg[10] , \tile_x12y12_w6beg[9] , \tile_x12y12_w6beg[8] , \tile_x12y12_w6beg[7] , \tile_x12y12_w6beg[6] , \tile_x12y12_w6beg[5] , \tile_x12y12_w6beg[4] , \tile_x12y12_w6beg[3] , \tile_x12y12_w6beg[2] , \tile_x12y12_w6beg[1] , \tile_x12y12_w6beg[0] }),
.ww4beg({ \tile_x11y12_ww4beg[15] , \tile_x11y12_ww4beg[14] , \tile_x11y12_ww4beg[13] , \tile_x11y12_ww4beg[12] , \tile_x11y12_ww4beg[11] , \tile_x11y12_ww4beg[10] , \tile_x11y12_ww4beg[9] , \tile_x11y12_ww4beg[8] , \tile_x11y12_ww4beg[7] , \tile_x11y12_ww4beg[6] , \tile_x11y12_ww4beg[5] , \tile_x11y12_ww4beg[4] , \tile_x11y12_ww4beg[3] , \tile_x11y12_ww4beg[2] , \tile_x11y12_ww4beg[1] , \tile_x11y12_ww4beg[0] }),
.ww4end({ \tile_x12y12_ww4beg[15] , \tile_x12y12_ww4beg[14] , \tile_x12y12_ww4beg[13] , \tile_x12y12_ww4beg[12] , \tile_x12y12_ww4beg[11] , \tile_x12y12_ww4beg[10] , \tile_x12y12_ww4beg[9] , \tile_x12y12_ww4beg[8] , \tile_x12y12_ww4beg[7] , \tile_x12y12_ww4beg[6] , \tile_x12y12_ww4beg[5] , \tile_x12y12_ww4beg[4] , \tile_x12y12_ww4beg[3] , \tile_x12y12_ww4beg[2] , \tile_x12y12_ww4beg[1] , \tile_x12y12_ww4beg[0] })
);
lut4ab tile_x11y13_lut4ab (
.ci(tile_x11y14_co),
.co(tile_x11y13_co),
.e1beg({ \tile_x11y13_e1beg[3] , \tile_x11y13_e1beg[2] , \tile_x11y13_e1beg[1] , \tile_x11y13_e1beg[0] }),
.e1end({ \tile_x10y13_e1beg[3] , \tile_x10y13_e1beg[2] , \tile_x10y13_e1beg[1] , \tile_x10y13_e1beg[0] }),
.e2beg({ \tile_x11y13_e2beg[7] , \tile_x11y13_e2beg[6] , \tile_x11y13_e2beg[5] , \tile_x11y13_e2beg[4] , \tile_x11y13_e2beg[3] , \tile_x11y13_e2beg[2] , \tile_x11y13_e2beg[1] , \tile_x11y13_e2beg[0] }),
.e2begb({ \tile_x11y13_e2begb[7] , \tile_x11y13_e2begb[6] , \tile_x11y13_e2begb[5] , \tile_x11y13_e2begb[4] , \tile_x11y13_e2begb[3] , \tile_x11y13_e2begb[2] , \tile_x11y13_e2begb[1] , \tile_x11y13_e2begb[0] }),
.e2end({ \tile_x10y13_e2begb[7] , \tile_x10y13_e2begb[6] , \tile_x10y13_e2begb[5] , \tile_x10y13_e2begb[4] , \tile_x10y13_e2begb[3] , \tile_x10y13_e2begb[2] , \tile_x10y13_e2begb[1] , \tile_x10y13_e2begb[0] }),
.e2mid({ \tile_x10y13_e2beg[7] , \tile_x10y13_e2beg[6] , \tile_x10y13_e2beg[5] , \tile_x10y13_e2beg[4] , \tile_x10y13_e2beg[3] , \tile_x10y13_e2beg[2] , \tile_x10y13_e2beg[1] , \tile_x10y13_e2beg[0] }),
.e6beg({ \tile_x11y13_e6beg[11] , \tile_x11y13_e6beg[10] , \tile_x11y13_e6beg[9] , \tile_x11y13_e6beg[8] , \tile_x11y13_e6beg[7] , \tile_x11y13_e6beg[6] , \tile_x11y13_e6beg[5] , \tile_x11y13_e6beg[4] , \tile_x11y13_e6beg[3] , \tile_x11y13_e6beg[2] , \tile_x11y13_e6beg[1] , \tile_x11y13_e6beg[0] }),
.e6end({ \tile_x10y13_e6beg[11] , \tile_x10y13_e6beg[10] , \tile_x10y13_e6beg[9] , \tile_x10y13_e6beg[8] , \tile_x10y13_e6beg[7] , \tile_x10y13_e6beg[6] , \tile_x10y13_e6beg[5] , \tile_x10y13_e6beg[4] , \tile_x10y13_e6beg[3] , \tile_x10y13_e6beg[2] , \tile_x10y13_e6beg[1] , \tile_x10y13_e6beg[0] }),
.ee4beg({ \tile_x11y13_ee4beg[15] , \tile_x11y13_ee4beg[14] , \tile_x11y13_ee4beg[13] , \tile_x11y13_ee4beg[12] , \tile_x11y13_ee4beg[11] , \tile_x11y13_ee4beg[10] , \tile_x11y13_ee4beg[9] , \tile_x11y13_ee4beg[8] , \tile_x11y13_ee4beg[7] , \tile_x11y13_ee4beg[6] , \tile_x11y13_ee4beg[5] , \tile_x11y13_ee4beg[4] , \tile_x11y13_ee4beg[3] , \tile_x11y13_ee4beg[2] , \tile_x11y13_ee4beg[1] , \tile_x11y13_ee4beg[0] }),
.ee4end({ \tile_x10y13_ee4beg[15] , \tile_x10y13_ee4beg[14] , \tile_x10y13_ee4beg[13] , \tile_x10y13_ee4beg[12] , \tile_x10y13_ee4beg[11] , \tile_x10y13_ee4beg[10] , \tile_x10y13_ee4beg[9] , \tile_x10y13_ee4beg[8] , \tile_x10y13_ee4beg[7] , \tile_x10y13_ee4beg[6] , \tile_x10y13_ee4beg[5] , \tile_x10y13_ee4beg[4] , \tile_x10y13_ee4beg[3] , \tile_x10y13_ee4beg[2] , \tile_x10y13_ee4beg[1] , \tile_x10y13_ee4beg[0] }),
.framedata({ \tile_x10y13_framedata_o[31] , \tile_x10y13_framedata_o[30] , \tile_x10y13_framedata_o[29] , \tile_x10y13_framedata_o[28] , \tile_x10y13_framedata_o[27] , \tile_x10y13_framedata_o[26] , \tile_x10y13_framedata_o[25] , \tile_x10y13_framedata_o[24] , \tile_x10y13_framedata_o[23] , \tile_x10y13_framedata_o[22] , \tile_x10y13_framedata_o[21] , \tile_x10y13_framedata_o[20] , \tile_x10y13_framedata_o[19] , \tile_x10y13_framedata_o[18] , \tile_x10y13_framedata_o[17] , \tile_x10y13_framedata_o[16] , \tile_x10y13_framedata_o[15] , \tile_x10y13_framedata_o[14] , \tile_x10y13_framedata_o[13] , \tile_x10y13_framedata_o[12] , \tile_x10y13_framedata_o[11] , \tile_x10y13_framedata_o[10] , \tile_x10y13_framedata_o[9] , \tile_x10y13_framedata_o[8] , \tile_x10y13_framedata_o[7] , \tile_x10y13_framedata_o[6] , \tile_x10y13_framedata_o[5] , \tile_x10y13_framedata_o[4] , \tile_x10y13_framedata_o[3] , \tile_x10y13_framedata_o[2] , \tile_x10y13_framedata_o[1] , \tile_x10y13_framedata_o[0] }),
.framedata_o({ \tile_x11y13_framedata_o[31] , \tile_x11y13_framedata_o[30] , \tile_x11y13_framedata_o[29] , \tile_x11y13_framedata_o[28] , \tile_x11y13_framedata_o[27] , \tile_x11y13_framedata_o[26] , \tile_x11y13_framedata_o[25] , \tile_x11y13_framedata_o[24] , \tile_x11y13_framedata_o[23] , \tile_x11y13_framedata_o[22] , \tile_x11y13_framedata_o[21] , \tile_x11y13_framedata_o[20] , \tile_x11y13_framedata_o[19] , \tile_x11y13_framedata_o[18] , \tile_x11y13_framedata_o[17] , \tile_x11y13_framedata_o[16] , \tile_x11y13_framedata_o[15] , \tile_x11y13_framedata_o[14] , \tile_x11y13_framedata_o[13] , \tile_x11y13_framedata_o[12] , \tile_x11y13_framedata_o[11] , \tile_x11y13_framedata_o[10] , \tile_x11y13_framedata_o[9] , \tile_x11y13_framedata_o[8] , \tile_x11y13_framedata_o[7] , \tile_x11y13_framedata_o[6] , \tile_x11y13_framedata_o[5] , \tile_x11y13_framedata_o[4] , \tile_x11y13_framedata_o[3] , \tile_x11y13_framedata_o[2] , \tile_x11y13_framedata_o[1] , \tile_x11y13_framedata_o[0] }),
.framestrobe({ \tile_x11y14_framestrobe_o[19] , \tile_x11y14_framestrobe_o[18] , \tile_x11y14_framestrobe_o[17] , \tile_x11y14_framestrobe_o[16] , \tile_x11y14_framestrobe_o[15] , \tile_x11y14_framestrobe_o[14] , \tile_x11y14_framestrobe_o[13] , \tile_x11y14_framestrobe_o[12] , \tile_x11y14_framestrobe_o[11] , \tile_x11y14_framestrobe_o[10] , \tile_x11y14_framestrobe_o[9] , \tile_x11y14_framestrobe_o[8] , \tile_x11y14_framestrobe_o[7] , \tile_x11y14_framestrobe_o[6] , \tile_x11y14_framestrobe_o[5] , \tile_x11y14_framestrobe_o[4] , \tile_x11y14_framestrobe_o[3] , \tile_x11y14_framestrobe_o[2] , \tile_x11y14_framestrobe_o[1] , \tile_x11y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y13_framestrobe_o[19] , \tile_x11y13_framestrobe_o[18] , \tile_x11y13_framestrobe_o[17] , \tile_x11y13_framestrobe_o[16] , \tile_x11y13_framestrobe_o[15] , \tile_x11y13_framestrobe_o[14] , \tile_x11y13_framestrobe_o[13] , \tile_x11y13_framestrobe_o[12] , \tile_x11y13_framestrobe_o[11] , \tile_x11y13_framestrobe_o[10] , \tile_x11y13_framestrobe_o[9] , \tile_x11y13_framestrobe_o[8] , \tile_x11y13_framestrobe_o[7] , \tile_x11y13_framestrobe_o[6] , \tile_x11y13_framestrobe_o[5] , \tile_x11y13_framestrobe_o[4] , \tile_x11y13_framestrobe_o[3] , \tile_x11y13_framestrobe_o[2] , \tile_x11y13_framestrobe_o[1] , \tile_x11y13_framestrobe_o[0] }),
.n1beg({ \tile_x11y13_n1beg[3] , \tile_x11y13_n1beg[2] , \tile_x11y13_n1beg[1] , \tile_x11y13_n1beg[0] }),
.n1end({ \tile_x11y14_n1beg[3] , \tile_x11y14_n1beg[2] , \tile_x11y14_n1beg[1] , \tile_x11y14_n1beg[0] }),
.n2beg({ \tile_x11y13_n2beg[7] , \tile_x11y13_n2beg[6] , \tile_x11y13_n2beg[5] , \tile_x11y13_n2beg[4] , \tile_x11y13_n2beg[3] , \tile_x11y13_n2beg[2] , \tile_x11y13_n2beg[1] , \tile_x11y13_n2beg[0] }),
.n2begb({ \tile_x11y13_n2begb[7] , \tile_x11y13_n2begb[6] , \tile_x11y13_n2begb[5] , \tile_x11y13_n2begb[4] , \tile_x11y13_n2begb[3] , \tile_x11y13_n2begb[2] , \tile_x11y13_n2begb[1] , \tile_x11y13_n2begb[0] }),
.n2end({ \tile_x11y14_n2begb[7] , \tile_x11y14_n2begb[6] , \tile_x11y14_n2begb[5] , \tile_x11y14_n2begb[4] , \tile_x11y14_n2begb[3] , \tile_x11y14_n2begb[2] , \tile_x11y14_n2begb[1] , \tile_x11y14_n2begb[0] }),
.n2mid({ \tile_x11y14_n2beg[7] , \tile_x11y14_n2beg[6] , \tile_x11y14_n2beg[5] , \tile_x11y14_n2beg[4] , \tile_x11y14_n2beg[3] , \tile_x11y14_n2beg[2] , \tile_x11y14_n2beg[1] , \tile_x11y14_n2beg[0] }),
.n4beg({ \tile_x11y13_n4beg[15] , \tile_x11y13_n4beg[14] , \tile_x11y13_n4beg[13] , \tile_x11y13_n4beg[12] , \tile_x11y13_n4beg[11] , \tile_x11y13_n4beg[10] , \tile_x11y13_n4beg[9] , \tile_x11y13_n4beg[8] , \tile_x11y13_n4beg[7] , \tile_x11y13_n4beg[6] , \tile_x11y13_n4beg[5] , \tile_x11y13_n4beg[4] , \tile_x11y13_n4beg[3] , \tile_x11y13_n4beg[2] , \tile_x11y13_n4beg[1] , \tile_x11y13_n4beg[0] }),
.n4end({ \tile_x11y14_n4beg[15] , \tile_x11y14_n4beg[14] , \tile_x11y14_n4beg[13] , \tile_x11y14_n4beg[12] , \tile_x11y14_n4beg[11] , \tile_x11y14_n4beg[10] , \tile_x11y14_n4beg[9] , \tile_x11y14_n4beg[8] , \tile_x11y14_n4beg[7] , \tile_x11y14_n4beg[6] , \tile_x11y14_n4beg[5] , \tile_x11y14_n4beg[4] , \tile_x11y14_n4beg[3] , \tile_x11y14_n4beg[2] , \tile_x11y14_n4beg[1] , \tile_x11y14_n4beg[0] }),
.nn4beg({ \tile_x11y13_nn4beg[15] , \tile_x11y13_nn4beg[14] , \tile_x11y13_nn4beg[13] , \tile_x11y13_nn4beg[12] , \tile_x11y13_nn4beg[11] , \tile_x11y13_nn4beg[10] , \tile_x11y13_nn4beg[9] , \tile_x11y13_nn4beg[8] , \tile_x11y13_nn4beg[7] , \tile_x11y13_nn4beg[6] , \tile_x11y13_nn4beg[5] , \tile_x11y13_nn4beg[4] , \tile_x11y13_nn4beg[3] , \tile_x11y13_nn4beg[2] , \tile_x11y13_nn4beg[1] , \tile_x11y13_nn4beg[0] }),
.nn4end({ \tile_x11y14_nn4beg[15] , \tile_x11y14_nn4beg[14] , \tile_x11y14_nn4beg[13] , \tile_x11y14_nn4beg[12] , \tile_x11y14_nn4beg[11] , \tile_x11y14_nn4beg[10] , \tile_x11y14_nn4beg[9] , \tile_x11y14_nn4beg[8] , \tile_x11y14_nn4beg[7] , \tile_x11y14_nn4beg[6] , \tile_x11y14_nn4beg[5] , \tile_x11y14_nn4beg[4] , \tile_x11y14_nn4beg[3] , \tile_x11y14_nn4beg[2] , \tile_x11y14_nn4beg[1] , \tile_x11y14_nn4beg[0] }),
.s1beg({ \tile_x11y13_s1beg[3] , \tile_x11y13_s1beg[2] , \tile_x11y13_s1beg[1] , \tile_x11y13_s1beg[0] }),
.s1end({ \tile_x11y12_s1beg[3] , \tile_x11y12_s1beg[2] , \tile_x11y12_s1beg[1] , \tile_x11y12_s1beg[0] }),
.s2beg({ \tile_x11y13_s2beg[7] , \tile_x11y13_s2beg[6] , \tile_x11y13_s2beg[5] , \tile_x11y13_s2beg[4] , \tile_x11y13_s2beg[3] , \tile_x11y13_s2beg[2] , \tile_x11y13_s2beg[1] , \tile_x11y13_s2beg[0] }),
.s2begb({ \tile_x11y13_s2begb[7] , \tile_x11y13_s2begb[6] , \tile_x11y13_s2begb[5] , \tile_x11y13_s2begb[4] , \tile_x11y13_s2begb[3] , \tile_x11y13_s2begb[2] , \tile_x11y13_s2begb[1] , \tile_x11y13_s2begb[0] }),
.s2end({ \tile_x11y12_s2begb[7] , \tile_x11y12_s2begb[6] , \tile_x11y12_s2begb[5] , \tile_x11y12_s2begb[4] , \tile_x11y12_s2begb[3] , \tile_x11y12_s2begb[2] , \tile_x11y12_s2begb[1] , \tile_x11y12_s2begb[0] }),
.s2mid({ \tile_x11y12_s2beg[7] , \tile_x11y12_s2beg[6] , \tile_x11y12_s2beg[5] , \tile_x11y12_s2beg[4] , \tile_x11y12_s2beg[3] , \tile_x11y12_s2beg[2] , \tile_x11y12_s2beg[1] , \tile_x11y12_s2beg[0] }),
.s4beg({ \tile_x11y13_s4beg[15] , \tile_x11y13_s4beg[14] , \tile_x11y13_s4beg[13] , \tile_x11y13_s4beg[12] , \tile_x11y13_s4beg[11] , \tile_x11y13_s4beg[10] , \tile_x11y13_s4beg[9] , \tile_x11y13_s4beg[8] , \tile_x11y13_s4beg[7] , \tile_x11y13_s4beg[6] , \tile_x11y13_s4beg[5] , \tile_x11y13_s4beg[4] , \tile_x11y13_s4beg[3] , \tile_x11y13_s4beg[2] , \tile_x11y13_s4beg[1] , \tile_x11y13_s4beg[0] }),
.s4end({ \tile_x11y12_s4beg[15] , \tile_x11y12_s4beg[14] , \tile_x11y12_s4beg[13] , \tile_x11y12_s4beg[12] , \tile_x11y12_s4beg[11] , \tile_x11y12_s4beg[10] , \tile_x11y12_s4beg[9] , \tile_x11y12_s4beg[8] , \tile_x11y12_s4beg[7] , \tile_x11y12_s4beg[6] , \tile_x11y12_s4beg[5] , \tile_x11y12_s4beg[4] , \tile_x11y12_s4beg[3] , \tile_x11y12_s4beg[2] , \tile_x11y12_s4beg[1] , \tile_x11y12_s4beg[0] }),
.ss4beg({ \tile_x11y13_ss4beg[15] , \tile_x11y13_ss4beg[14] , \tile_x11y13_ss4beg[13] , \tile_x11y13_ss4beg[12] , \tile_x11y13_ss4beg[11] , \tile_x11y13_ss4beg[10] , \tile_x11y13_ss4beg[9] , \tile_x11y13_ss4beg[8] , \tile_x11y13_ss4beg[7] , \tile_x11y13_ss4beg[6] , \tile_x11y13_ss4beg[5] , \tile_x11y13_ss4beg[4] , \tile_x11y13_ss4beg[3] , \tile_x11y13_ss4beg[2] , \tile_x11y13_ss4beg[1] , \tile_x11y13_ss4beg[0] }),
.ss4end({ \tile_x11y12_ss4beg[15] , \tile_x11y12_ss4beg[14] , \tile_x11y12_ss4beg[13] , \tile_x11y12_ss4beg[12] , \tile_x11y12_ss4beg[11] , \tile_x11y12_ss4beg[10] , \tile_x11y12_ss4beg[9] , \tile_x11y12_ss4beg[8] , \tile_x11y12_ss4beg[7] , \tile_x11y12_ss4beg[6] , \tile_x11y12_ss4beg[5] , \tile_x11y12_ss4beg[4] , \tile_x11y12_ss4beg[3] , \tile_x11y12_ss4beg[2] , \tile_x11y12_ss4beg[1] , \tile_x11y12_ss4beg[0] }),
.userclk(tile_x11y14_userclko),
.userclko(tile_x11y13_userclko),
.w1beg({ \tile_x11y13_w1beg[3] , \tile_x11y13_w1beg[2] , \tile_x11y13_w1beg[1] , \tile_x11y13_w1beg[0] }),
.w1end({ \tile_x12y13_w1beg[3] , \tile_x12y13_w1beg[2] , \tile_x12y13_w1beg[1] , \tile_x12y13_w1beg[0] }),
.w2beg({ \tile_x11y13_w2beg[7] , \tile_x11y13_w2beg[6] , \tile_x11y13_w2beg[5] , \tile_x11y13_w2beg[4] , \tile_x11y13_w2beg[3] , \tile_x11y13_w2beg[2] , \tile_x11y13_w2beg[1] , \tile_x11y13_w2beg[0] }),
.w2begb({ \tile_x11y13_w2begb[7] , \tile_x11y13_w2begb[6] , \tile_x11y13_w2begb[5] , \tile_x11y13_w2begb[4] , \tile_x11y13_w2begb[3] , \tile_x11y13_w2begb[2] , \tile_x11y13_w2begb[1] , \tile_x11y13_w2begb[0] }),
.w2end({ \tile_x12y13_w2begb[7] , \tile_x12y13_w2begb[6] , \tile_x12y13_w2begb[5] , \tile_x12y13_w2begb[4] , \tile_x12y13_w2begb[3] , \tile_x12y13_w2begb[2] , \tile_x12y13_w2begb[1] , \tile_x12y13_w2begb[0] }),
.w2mid({ \tile_x12y13_w2beg[7] , \tile_x12y13_w2beg[6] , \tile_x12y13_w2beg[5] , \tile_x12y13_w2beg[4] , \tile_x12y13_w2beg[3] , \tile_x12y13_w2beg[2] , \tile_x12y13_w2beg[1] , \tile_x12y13_w2beg[0] }),
.w6beg({ \tile_x11y13_w6beg[11] , \tile_x11y13_w6beg[10] , \tile_x11y13_w6beg[9] , \tile_x11y13_w6beg[8] , \tile_x11y13_w6beg[7] , \tile_x11y13_w6beg[6] , \tile_x11y13_w6beg[5] , \tile_x11y13_w6beg[4] , \tile_x11y13_w6beg[3] , \tile_x11y13_w6beg[2] , \tile_x11y13_w6beg[1] , \tile_x11y13_w6beg[0] }),
.w6end({ \tile_x12y13_w6beg[11] , \tile_x12y13_w6beg[10] , \tile_x12y13_w6beg[9] , \tile_x12y13_w6beg[8] , \tile_x12y13_w6beg[7] , \tile_x12y13_w6beg[6] , \tile_x12y13_w6beg[5] , \tile_x12y13_w6beg[4] , \tile_x12y13_w6beg[3] , \tile_x12y13_w6beg[2] , \tile_x12y13_w6beg[1] , \tile_x12y13_w6beg[0] }),
.ww4beg({ \tile_x11y13_ww4beg[15] , \tile_x11y13_ww4beg[14] , \tile_x11y13_ww4beg[13] , \tile_x11y13_ww4beg[12] , \tile_x11y13_ww4beg[11] , \tile_x11y13_ww4beg[10] , \tile_x11y13_ww4beg[9] , \tile_x11y13_ww4beg[8] , \tile_x11y13_ww4beg[7] , \tile_x11y13_ww4beg[6] , \tile_x11y13_ww4beg[5] , \tile_x11y13_ww4beg[4] , \tile_x11y13_ww4beg[3] , \tile_x11y13_ww4beg[2] , \tile_x11y13_ww4beg[1] , \tile_x11y13_ww4beg[0] }),
.ww4end({ \tile_x12y13_ww4beg[15] , \tile_x12y13_ww4beg[14] , \tile_x12y13_ww4beg[13] , \tile_x12y13_ww4beg[12] , \tile_x12y13_ww4beg[11] , \tile_x12y13_ww4beg[10] , \tile_x12y13_ww4beg[9] , \tile_x12y13_ww4beg[8] , \tile_x12y13_ww4beg[7] , \tile_x12y13_ww4beg[6] , \tile_x12y13_ww4beg[5] , \tile_x12y13_ww4beg[4] , \tile_x12y13_ww4beg[3] , \tile_x12y13_ww4beg[2] , \tile_x12y13_ww4beg[1] , \tile_x12y13_ww4beg[0] })
);
lut4ab tile_x11y14_lut4ab (
.ci(tile_x11y15_co),
.co(tile_x11y14_co),
.e1beg({ \tile_x11y14_e1beg[3] , \tile_x11y14_e1beg[2] , \tile_x11y14_e1beg[1] , \tile_x11y14_e1beg[0] }),
.e1end({ \tile_x10y14_e1beg[3] , \tile_x10y14_e1beg[2] , \tile_x10y14_e1beg[1] , \tile_x10y14_e1beg[0] }),
.e2beg({ \tile_x11y14_e2beg[7] , \tile_x11y14_e2beg[6] , \tile_x11y14_e2beg[5] , \tile_x11y14_e2beg[4] , \tile_x11y14_e2beg[3] , \tile_x11y14_e2beg[2] , \tile_x11y14_e2beg[1] , \tile_x11y14_e2beg[0] }),
.e2begb({ \tile_x11y14_e2begb[7] , \tile_x11y14_e2begb[6] , \tile_x11y14_e2begb[5] , \tile_x11y14_e2begb[4] , \tile_x11y14_e2begb[3] , \tile_x11y14_e2begb[2] , \tile_x11y14_e2begb[1] , \tile_x11y14_e2begb[0] }),
.e2end({ \tile_x10y14_e2begb[7] , \tile_x10y14_e2begb[6] , \tile_x10y14_e2begb[5] , \tile_x10y14_e2begb[4] , \tile_x10y14_e2begb[3] , \tile_x10y14_e2begb[2] , \tile_x10y14_e2begb[1] , \tile_x10y14_e2begb[0] }),
.e2mid({ \tile_x10y14_e2beg[7] , \tile_x10y14_e2beg[6] , \tile_x10y14_e2beg[5] , \tile_x10y14_e2beg[4] , \tile_x10y14_e2beg[3] , \tile_x10y14_e2beg[2] , \tile_x10y14_e2beg[1] , \tile_x10y14_e2beg[0] }),
.e6beg({ \tile_x11y14_e6beg[11] , \tile_x11y14_e6beg[10] , \tile_x11y14_e6beg[9] , \tile_x11y14_e6beg[8] , \tile_x11y14_e6beg[7] , \tile_x11y14_e6beg[6] , \tile_x11y14_e6beg[5] , \tile_x11y14_e6beg[4] , \tile_x11y14_e6beg[3] , \tile_x11y14_e6beg[2] , \tile_x11y14_e6beg[1] , \tile_x11y14_e6beg[0] }),
.e6end({ \tile_x10y14_e6beg[11] , \tile_x10y14_e6beg[10] , \tile_x10y14_e6beg[9] , \tile_x10y14_e6beg[8] , \tile_x10y14_e6beg[7] , \tile_x10y14_e6beg[6] , \tile_x10y14_e6beg[5] , \tile_x10y14_e6beg[4] , \tile_x10y14_e6beg[3] , \tile_x10y14_e6beg[2] , \tile_x10y14_e6beg[1] , \tile_x10y14_e6beg[0] }),
.ee4beg({ \tile_x11y14_ee4beg[15] , \tile_x11y14_ee4beg[14] , \tile_x11y14_ee4beg[13] , \tile_x11y14_ee4beg[12] , \tile_x11y14_ee4beg[11] , \tile_x11y14_ee4beg[10] , \tile_x11y14_ee4beg[9] , \tile_x11y14_ee4beg[8] , \tile_x11y14_ee4beg[7] , \tile_x11y14_ee4beg[6] , \tile_x11y14_ee4beg[5] , \tile_x11y14_ee4beg[4] , \tile_x11y14_ee4beg[3] , \tile_x11y14_ee4beg[2] , \tile_x11y14_ee4beg[1] , \tile_x11y14_ee4beg[0] }),
.ee4end({ \tile_x10y14_ee4beg[15] , \tile_x10y14_ee4beg[14] , \tile_x10y14_ee4beg[13] , \tile_x10y14_ee4beg[12] , \tile_x10y14_ee4beg[11] , \tile_x10y14_ee4beg[10] , \tile_x10y14_ee4beg[9] , \tile_x10y14_ee4beg[8] , \tile_x10y14_ee4beg[7] , \tile_x10y14_ee4beg[6] , \tile_x10y14_ee4beg[5] , \tile_x10y14_ee4beg[4] , \tile_x10y14_ee4beg[3] , \tile_x10y14_ee4beg[2] , \tile_x10y14_ee4beg[1] , \tile_x10y14_ee4beg[0] }),
.framedata({ \tile_x10y14_framedata_o[31] , \tile_x10y14_framedata_o[30] , \tile_x10y14_framedata_o[29] , \tile_x10y14_framedata_o[28] , \tile_x10y14_framedata_o[27] , \tile_x10y14_framedata_o[26] , \tile_x10y14_framedata_o[25] , \tile_x10y14_framedata_o[24] , \tile_x10y14_framedata_o[23] , \tile_x10y14_framedata_o[22] , \tile_x10y14_framedata_o[21] , \tile_x10y14_framedata_o[20] , \tile_x10y14_framedata_o[19] , \tile_x10y14_framedata_o[18] , \tile_x10y14_framedata_o[17] , \tile_x10y14_framedata_o[16] , \tile_x10y14_framedata_o[15] , \tile_x10y14_framedata_o[14] , \tile_x10y14_framedata_o[13] , \tile_x10y14_framedata_o[12] , \tile_x10y14_framedata_o[11] , \tile_x10y14_framedata_o[10] , \tile_x10y14_framedata_o[9] , \tile_x10y14_framedata_o[8] , \tile_x10y14_framedata_o[7] , \tile_x10y14_framedata_o[6] , \tile_x10y14_framedata_o[5] , \tile_x10y14_framedata_o[4] , \tile_x10y14_framedata_o[3] , \tile_x10y14_framedata_o[2] , \tile_x10y14_framedata_o[1] , \tile_x10y14_framedata_o[0] }),
.framedata_o({ \tile_x11y14_framedata_o[31] , \tile_x11y14_framedata_o[30] , \tile_x11y14_framedata_o[29] , \tile_x11y14_framedata_o[28] , \tile_x11y14_framedata_o[27] , \tile_x11y14_framedata_o[26] , \tile_x11y14_framedata_o[25] , \tile_x11y14_framedata_o[24] , \tile_x11y14_framedata_o[23] , \tile_x11y14_framedata_o[22] , \tile_x11y14_framedata_o[21] , \tile_x11y14_framedata_o[20] , \tile_x11y14_framedata_o[19] , \tile_x11y14_framedata_o[18] , \tile_x11y14_framedata_o[17] , \tile_x11y14_framedata_o[16] , \tile_x11y14_framedata_o[15] , \tile_x11y14_framedata_o[14] , \tile_x11y14_framedata_o[13] , \tile_x11y14_framedata_o[12] , \tile_x11y14_framedata_o[11] , \tile_x11y14_framedata_o[10] , \tile_x11y14_framedata_o[9] , \tile_x11y14_framedata_o[8] , \tile_x11y14_framedata_o[7] , \tile_x11y14_framedata_o[6] , \tile_x11y14_framedata_o[5] , \tile_x11y14_framedata_o[4] , \tile_x11y14_framedata_o[3] , \tile_x11y14_framedata_o[2] , \tile_x11y14_framedata_o[1] , \tile_x11y14_framedata_o[0] }),
.framestrobe({ \tile_x11y15_framestrobe_o[19] , \tile_x11y15_framestrobe_o[18] , \tile_x11y15_framestrobe_o[17] , \tile_x11y15_framestrobe_o[16] , \tile_x11y15_framestrobe_o[15] , \tile_x11y15_framestrobe_o[14] , \tile_x11y15_framestrobe_o[13] , \tile_x11y15_framestrobe_o[12] , \tile_x11y15_framestrobe_o[11] , \tile_x11y15_framestrobe_o[10] , \tile_x11y15_framestrobe_o[9] , \tile_x11y15_framestrobe_o[8] , \tile_x11y15_framestrobe_o[7] , \tile_x11y15_framestrobe_o[6] , \tile_x11y15_framestrobe_o[5] , \tile_x11y15_framestrobe_o[4] , \tile_x11y15_framestrobe_o[3] , \tile_x11y15_framestrobe_o[2] , \tile_x11y15_framestrobe_o[1] , \tile_x11y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y14_framestrobe_o[19] , \tile_x11y14_framestrobe_o[18] , \tile_x11y14_framestrobe_o[17] , \tile_x11y14_framestrobe_o[16] , \tile_x11y14_framestrobe_o[15] , \tile_x11y14_framestrobe_o[14] , \tile_x11y14_framestrobe_o[13] , \tile_x11y14_framestrobe_o[12] , \tile_x11y14_framestrobe_o[11] , \tile_x11y14_framestrobe_o[10] , \tile_x11y14_framestrobe_o[9] , \tile_x11y14_framestrobe_o[8] , \tile_x11y14_framestrobe_o[7] , \tile_x11y14_framestrobe_o[6] , \tile_x11y14_framestrobe_o[5] , \tile_x11y14_framestrobe_o[4] , \tile_x11y14_framestrobe_o[3] , \tile_x11y14_framestrobe_o[2] , \tile_x11y14_framestrobe_o[1] , \tile_x11y14_framestrobe_o[0] }),
.n1beg({ \tile_x11y14_n1beg[3] , \tile_x11y14_n1beg[2] , \tile_x11y14_n1beg[1] , \tile_x11y14_n1beg[0] }),
.n1end({ \tile_x11y15_n1beg[3] , \tile_x11y15_n1beg[2] , \tile_x11y15_n1beg[1] , \tile_x11y15_n1beg[0] }),
.n2beg({ \tile_x11y14_n2beg[7] , \tile_x11y14_n2beg[6] , \tile_x11y14_n2beg[5] , \tile_x11y14_n2beg[4] , \tile_x11y14_n2beg[3] , \tile_x11y14_n2beg[2] , \tile_x11y14_n2beg[1] , \tile_x11y14_n2beg[0] }),
.n2begb({ \tile_x11y14_n2begb[7] , \tile_x11y14_n2begb[6] , \tile_x11y14_n2begb[5] , \tile_x11y14_n2begb[4] , \tile_x11y14_n2begb[3] , \tile_x11y14_n2begb[2] , \tile_x11y14_n2begb[1] , \tile_x11y14_n2begb[0] }),
.n2end({ \tile_x11y15_n2begb[7] , \tile_x11y15_n2begb[6] , \tile_x11y15_n2begb[5] , \tile_x11y15_n2begb[4] , \tile_x11y15_n2begb[3] , \tile_x11y15_n2begb[2] , \tile_x11y15_n2begb[1] , \tile_x11y15_n2begb[0] }),
.n2mid({ \tile_x11y15_n2beg[7] , \tile_x11y15_n2beg[6] , \tile_x11y15_n2beg[5] , \tile_x11y15_n2beg[4] , \tile_x11y15_n2beg[3] , \tile_x11y15_n2beg[2] , \tile_x11y15_n2beg[1] , \tile_x11y15_n2beg[0] }),
.n4beg({ \tile_x11y14_n4beg[15] , \tile_x11y14_n4beg[14] , \tile_x11y14_n4beg[13] , \tile_x11y14_n4beg[12] , \tile_x11y14_n4beg[11] , \tile_x11y14_n4beg[10] , \tile_x11y14_n4beg[9] , \tile_x11y14_n4beg[8] , \tile_x11y14_n4beg[7] , \tile_x11y14_n4beg[6] , \tile_x11y14_n4beg[5] , \tile_x11y14_n4beg[4] , \tile_x11y14_n4beg[3] , \tile_x11y14_n4beg[2] , \tile_x11y14_n4beg[1] , \tile_x11y14_n4beg[0] }),
.n4end({ \tile_x11y15_n4beg[15] , \tile_x11y15_n4beg[14] , \tile_x11y15_n4beg[13] , \tile_x11y15_n4beg[12] , \tile_x11y15_n4beg[11] , \tile_x11y15_n4beg[10] , \tile_x11y15_n4beg[9] , \tile_x11y15_n4beg[8] , \tile_x11y15_n4beg[7] , \tile_x11y15_n4beg[6] , \tile_x11y15_n4beg[5] , \tile_x11y15_n4beg[4] , \tile_x11y15_n4beg[3] , \tile_x11y15_n4beg[2] , \tile_x11y15_n4beg[1] , \tile_x11y15_n4beg[0] }),
.nn4beg({ \tile_x11y14_nn4beg[15] , \tile_x11y14_nn4beg[14] , \tile_x11y14_nn4beg[13] , \tile_x11y14_nn4beg[12] , \tile_x11y14_nn4beg[11] , \tile_x11y14_nn4beg[10] , \tile_x11y14_nn4beg[9] , \tile_x11y14_nn4beg[8] , \tile_x11y14_nn4beg[7] , \tile_x11y14_nn4beg[6] , \tile_x11y14_nn4beg[5] , \tile_x11y14_nn4beg[4] , \tile_x11y14_nn4beg[3] , \tile_x11y14_nn4beg[2] , \tile_x11y14_nn4beg[1] , \tile_x11y14_nn4beg[0] }),
.nn4end({ \tile_x11y15_nn4beg[15] , \tile_x11y15_nn4beg[14] , \tile_x11y15_nn4beg[13] , \tile_x11y15_nn4beg[12] , \tile_x11y15_nn4beg[11] , \tile_x11y15_nn4beg[10] , \tile_x11y15_nn4beg[9] , \tile_x11y15_nn4beg[8] , \tile_x11y15_nn4beg[7] , \tile_x11y15_nn4beg[6] , \tile_x11y15_nn4beg[5] , \tile_x11y15_nn4beg[4] , \tile_x11y15_nn4beg[3] , \tile_x11y15_nn4beg[2] , \tile_x11y15_nn4beg[1] , \tile_x11y15_nn4beg[0] }),
.s1beg({ \tile_x11y14_s1beg[3] , \tile_x11y14_s1beg[2] , \tile_x11y14_s1beg[1] , \tile_x11y14_s1beg[0] }),
.s1end({ \tile_x11y13_s1beg[3] , \tile_x11y13_s1beg[2] , \tile_x11y13_s1beg[1] , \tile_x11y13_s1beg[0] }),
.s2beg({ \tile_x11y14_s2beg[7] , \tile_x11y14_s2beg[6] , \tile_x11y14_s2beg[5] , \tile_x11y14_s2beg[4] , \tile_x11y14_s2beg[3] , \tile_x11y14_s2beg[2] , \tile_x11y14_s2beg[1] , \tile_x11y14_s2beg[0] }),
.s2begb({ \tile_x11y14_s2begb[7] , \tile_x11y14_s2begb[6] , \tile_x11y14_s2begb[5] , \tile_x11y14_s2begb[4] , \tile_x11y14_s2begb[3] , \tile_x11y14_s2begb[2] , \tile_x11y14_s2begb[1] , \tile_x11y14_s2begb[0] }),
.s2end({ \tile_x11y13_s2begb[7] , \tile_x11y13_s2begb[6] , \tile_x11y13_s2begb[5] , \tile_x11y13_s2begb[4] , \tile_x11y13_s2begb[3] , \tile_x11y13_s2begb[2] , \tile_x11y13_s2begb[1] , \tile_x11y13_s2begb[0] }),
.s2mid({ \tile_x11y13_s2beg[7] , \tile_x11y13_s2beg[6] , \tile_x11y13_s2beg[5] , \tile_x11y13_s2beg[4] , \tile_x11y13_s2beg[3] , \tile_x11y13_s2beg[2] , \tile_x11y13_s2beg[1] , \tile_x11y13_s2beg[0] }),
.s4beg({ \tile_x11y14_s4beg[15] , \tile_x11y14_s4beg[14] , \tile_x11y14_s4beg[13] , \tile_x11y14_s4beg[12] , \tile_x11y14_s4beg[11] , \tile_x11y14_s4beg[10] , \tile_x11y14_s4beg[9] , \tile_x11y14_s4beg[8] , \tile_x11y14_s4beg[7] , \tile_x11y14_s4beg[6] , \tile_x11y14_s4beg[5] , \tile_x11y14_s4beg[4] , \tile_x11y14_s4beg[3] , \tile_x11y14_s4beg[2] , \tile_x11y14_s4beg[1] , \tile_x11y14_s4beg[0] }),
.s4end({ \tile_x11y13_s4beg[15] , \tile_x11y13_s4beg[14] , \tile_x11y13_s4beg[13] , \tile_x11y13_s4beg[12] , \tile_x11y13_s4beg[11] , \tile_x11y13_s4beg[10] , \tile_x11y13_s4beg[9] , \tile_x11y13_s4beg[8] , \tile_x11y13_s4beg[7] , \tile_x11y13_s4beg[6] , \tile_x11y13_s4beg[5] , \tile_x11y13_s4beg[4] , \tile_x11y13_s4beg[3] , \tile_x11y13_s4beg[2] , \tile_x11y13_s4beg[1] , \tile_x11y13_s4beg[0] }),
.ss4beg({ \tile_x11y14_ss4beg[15] , \tile_x11y14_ss4beg[14] , \tile_x11y14_ss4beg[13] , \tile_x11y14_ss4beg[12] , \tile_x11y14_ss4beg[11] , \tile_x11y14_ss4beg[10] , \tile_x11y14_ss4beg[9] , \tile_x11y14_ss4beg[8] , \tile_x11y14_ss4beg[7] , \tile_x11y14_ss4beg[6] , \tile_x11y14_ss4beg[5] , \tile_x11y14_ss4beg[4] , \tile_x11y14_ss4beg[3] , \tile_x11y14_ss4beg[2] , \tile_x11y14_ss4beg[1] , \tile_x11y14_ss4beg[0] }),
.ss4end({ \tile_x11y13_ss4beg[15] , \tile_x11y13_ss4beg[14] , \tile_x11y13_ss4beg[13] , \tile_x11y13_ss4beg[12] , \tile_x11y13_ss4beg[11] , \tile_x11y13_ss4beg[10] , \tile_x11y13_ss4beg[9] , \tile_x11y13_ss4beg[8] , \tile_x11y13_ss4beg[7] , \tile_x11y13_ss4beg[6] , \tile_x11y13_ss4beg[5] , \tile_x11y13_ss4beg[4] , \tile_x11y13_ss4beg[3] , \tile_x11y13_ss4beg[2] , \tile_x11y13_ss4beg[1] , \tile_x11y13_ss4beg[0] }),
.userclk(tile_x11y15_userclko),
.userclko(tile_x11y14_userclko),
.w1beg({ \tile_x11y14_w1beg[3] , \tile_x11y14_w1beg[2] , \tile_x11y14_w1beg[1] , \tile_x11y14_w1beg[0] }),
.w1end({ \tile_x12y14_w1beg[3] , \tile_x12y14_w1beg[2] , \tile_x12y14_w1beg[1] , \tile_x12y14_w1beg[0] }),
.w2beg({ \tile_x11y14_w2beg[7] , \tile_x11y14_w2beg[6] , \tile_x11y14_w2beg[5] , \tile_x11y14_w2beg[4] , \tile_x11y14_w2beg[3] , \tile_x11y14_w2beg[2] , \tile_x11y14_w2beg[1] , \tile_x11y14_w2beg[0] }),
.w2begb({ \tile_x11y14_w2begb[7] , \tile_x11y14_w2begb[6] , \tile_x11y14_w2begb[5] , \tile_x11y14_w2begb[4] , \tile_x11y14_w2begb[3] , \tile_x11y14_w2begb[2] , \tile_x11y14_w2begb[1] , \tile_x11y14_w2begb[0] }),
.w2end({ \tile_x12y14_w2begb[7] , \tile_x12y14_w2begb[6] , \tile_x12y14_w2begb[5] , \tile_x12y14_w2begb[4] , \tile_x12y14_w2begb[3] , \tile_x12y14_w2begb[2] , \tile_x12y14_w2begb[1] , \tile_x12y14_w2begb[0] }),
.w2mid({ \tile_x12y14_w2beg[7] , \tile_x12y14_w2beg[6] , \tile_x12y14_w2beg[5] , \tile_x12y14_w2beg[4] , \tile_x12y14_w2beg[3] , \tile_x12y14_w2beg[2] , \tile_x12y14_w2beg[1] , \tile_x12y14_w2beg[0] }),
.w6beg({ \tile_x11y14_w6beg[11] , \tile_x11y14_w6beg[10] , \tile_x11y14_w6beg[9] , \tile_x11y14_w6beg[8] , \tile_x11y14_w6beg[7] , \tile_x11y14_w6beg[6] , \tile_x11y14_w6beg[5] , \tile_x11y14_w6beg[4] , \tile_x11y14_w6beg[3] , \tile_x11y14_w6beg[2] , \tile_x11y14_w6beg[1] , \tile_x11y14_w6beg[0] }),
.w6end({ \tile_x12y14_w6beg[11] , \tile_x12y14_w6beg[10] , \tile_x12y14_w6beg[9] , \tile_x12y14_w6beg[8] , \tile_x12y14_w6beg[7] , \tile_x12y14_w6beg[6] , \tile_x12y14_w6beg[5] , \tile_x12y14_w6beg[4] , \tile_x12y14_w6beg[3] , \tile_x12y14_w6beg[2] , \tile_x12y14_w6beg[1] , \tile_x12y14_w6beg[0] }),
.ww4beg({ \tile_x11y14_ww4beg[15] , \tile_x11y14_ww4beg[14] , \tile_x11y14_ww4beg[13] , \tile_x11y14_ww4beg[12] , \tile_x11y14_ww4beg[11] , \tile_x11y14_ww4beg[10] , \tile_x11y14_ww4beg[9] , \tile_x11y14_ww4beg[8] , \tile_x11y14_ww4beg[7] , \tile_x11y14_ww4beg[6] , \tile_x11y14_ww4beg[5] , \tile_x11y14_ww4beg[4] , \tile_x11y14_ww4beg[3] , \tile_x11y14_ww4beg[2] , \tile_x11y14_ww4beg[1] , \tile_x11y14_ww4beg[0] }),
.ww4end({ \tile_x12y14_ww4beg[15] , \tile_x12y14_ww4beg[14] , \tile_x12y14_ww4beg[13] , \tile_x12y14_ww4beg[12] , \tile_x12y14_ww4beg[11] , \tile_x12y14_ww4beg[10] , \tile_x12y14_ww4beg[9] , \tile_x12y14_ww4beg[8] , \tile_x12y14_ww4beg[7] , \tile_x12y14_ww4beg[6] , \tile_x12y14_ww4beg[5] , \tile_x12y14_ww4beg[4] , \tile_x12y14_ww4beg[3] , \tile_x12y14_ww4beg[2] , \tile_x12y14_ww4beg[1] , \tile_x12y14_ww4beg[0] })
);
s_term_single tile_x11y15_s_term_single (
.co(tile_x11y15_co),
.framestrobe(framestrobe[239:220]),
.framestrobe_o({ \tile_x11y15_framestrobe_o[19] , \tile_x11y15_framestrobe_o[18] , \tile_x11y15_framestrobe_o[17] , \tile_x11y15_framestrobe_o[16] , \tile_x11y15_framestrobe_o[15] , \tile_x11y15_framestrobe_o[14] , \tile_x11y15_framestrobe_o[13] , \tile_x11y15_framestrobe_o[12] , \tile_x11y15_framestrobe_o[11] , \tile_x11y15_framestrobe_o[10] , \tile_x11y15_framestrobe_o[9] , \tile_x11y15_framestrobe_o[8] , \tile_x11y15_framestrobe_o[7] , \tile_x11y15_framestrobe_o[6] , \tile_x11y15_framestrobe_o[5] , \tile_x11y15_framestrobe_o[4] , \tile_x11y15_framestrobe_o[3] , \tile_x11y15_framestrobe_o[2] , \tile_x11y15_framestrobe_o[1] , \tile_x11y15_framestrobe_o[0] }),
.n1beg({ \tile_x11y15_n1beg[3] , \tile_x11y15_n1beg[2] , \tile_x11y15_n1beg[1] , \tile_x11y15_n1beg[0] }),
.n2beg({ \tile_x11y15_n2beg[7] , \tile_x11y15_n2beg[6] , \tile_x11y15_n2beg[5] , \tile_x11y15_n2beg[4] , \tile_x11y15_n2beg[3] , \tile_x11y15_n2beg[2] , \tile_x11y15_n2beg[1] , \tile_x11y15_n2beg[0] }),
.n2begb({ \tile_x11y15_n2begb[7] , \tile_x11y15_n2begb[6] , \tile_x11y15_n2begb[5] , \tile_x11y15_n2begb[4] , \tile_x11y15_n2begb[3] , \tile_x11y15_n2begb[2] , \tile_x11y15_n2begb[1] , \tile_x11y15_n2begb[0] }),
.n4beg({ \tile_x11y15_n4beg[15] , \tile_x11y15_n4beg[14] , \tile_x11y15_n4beg[13] , \tile_x11y15_n4beg[12] , \tile_x11y15_n4beg[11] , \tile_x11y15_n4beg[10] , \tile_x11y15_n4beg[9] , \tile_x11y15_n4beg[8] , \tile_x11y15_n4beg[7] , \tile_x11y15_n4beg[6] , \tile_x11y15_n4beg[5] , \tile_x11y15_n4beg[4] , \tile_x11y15_n4beg[3] , \tile_x11y15_n4beg[2] , \tile_x11y15_n4beg[1] , \tile_x11y15_n4beg[0] }),
.nn4beg({ \tile_x11y15_nn4beg[15] , \tile_x11y15_nn4beg[14] , \tile_x11y15_nn4beg[13] , \tile_x11y15_nn4beg[12] , \tile_x11y15_nn4beg[11] , \tile_x11y15_nn4beg[10] , \tile_x11y15_nn4beg[9] , \tile_x11y15_nn4beg[8] , \tile_x11y15_nn4beg[7] , \tile_x11y15_nn4beg[6] , \tile_x11y15_nn4beg[5] , \tile_x11y15_nn4beg[4] , \tile_x11y15_nn4beg[3] , \tile_x11y15_nn4beg[2] , \tile_x11y15_nn4beg[1] , \tile_x11y15_nn4beg[0] }),
.s1end({ \tile_x11y14_s1beg[3] , \tile_x11y14_s1beg[2] , \tile_x11y14_s1beg[1] , \tile_x11y14_s1beg[0] }),
.s2end({ \tile_x11y14_s2begb[7] , \tile_x11y14_s2begb[6] , \tile_x11y14_s2begb[5] , \tile_x11y14_s2begb[4] , \tile_x11y14_s2begb[3] , \tile_x11y14_s2begb[2] , \tile_x11y14_s2begb[1] , \tile_x11y14_s2begb[0] }),
.s2mid({ \tile_x11y14_s2beg[7] , \tile_x11y14_s2beg[6] , \tile_x11y14_s2beg[5] , \tile_x11y14_s2beg[4] , \tile_x11y14_s2beg[3] , \tile_x11y14_s2beg[2] , \tile_x11y14_s2beg[1] , \tile_x11y14_s2beg[0] }),
.s4end({ \tile_x11y14_s4beg[15] , \tile_x11y14_s4beg[14] , \tile_x11y14_s4beg[13] , \tile_x11y14_s4beg[12] , \tile_x11y14_s4beg[11] , \tile_x11y14_s4beg[10] , \tile_x11y14_s4beg[9] , \tile_x11y14_s4beg[8] , \tile_x11y14_s4beg[7] , \tile_x11y14_s4beg[6] , \tile_x11y14_s4beg[5] , \tile_x11y14_s4beg[4] , \tile_x11y14_s4beg[3] , \tile_x11y14_s4beg[2] , \tile_x11y14_s4beg[1] , \tile_x11y14_s4beg[0] }),
.ss4end({ \tile_x11y14_ss4beg[15] , \tile_x11y14_ss4beg[14] , \tile_x11y14_ss4beg[13] , \tile_x11y14_ss4beg[12] , \tile_x11y14_ss4beg[11] , \tile_x11y14_ss4beg[10] , \tile_x11y14_ss4beg[9] , \tile_x11y14_ss4beg[8] , \tile_x11y14_ss4beg[7] , \tile_x11y14_ss4beg[6] , \tile_x11y14_ss4beg[5] , \tile_x11y14_ss4beg[4] , \tile_x11y14_ss4beg[3] , \tile_x11y14_ss4beg[2] , \tile_x11y14_ss4beg[1] , \tile_x11y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x11y15_userclko)
);
e_cpu_io tile_x11y1_e_cpu_io (
.e1end({ \tile_x10y1_e1beg[3] , \tile_x10y1_e1beg[2] , \tile_x10y1_e1beg[1] , \tile_x10y1_e1beg[0] }),
.e2end({ \tile_x10y1_e2begb[7] , \tile_x10y1_e2begb[6] , \tile_x10y1_e2begb[5] , \tile_x10y1_e2begb[4] , \tile_x10y1_e2begb[3] , \tile_x10y1_e2begb[2] , \tile_x10y1_e2begb[1] , \tile_x10y1_e2begb[0] }),
.e2mid({ \tile_x10y1_e2beg[7] , \tile_x10y1_e2beg[6] , \tile_x10y1_e2beg[5] , \tile_x10y1_e2beg[4] , \tile_x10y1_e2beg[3] , \tile_x10y1_e2beg[2] , \tile_x10y1_e2beg[1] , \tile_x10y1_e2beg[0] }),
.e6end({ \tile_x10y1_e6beg[11] , \tile_x10y1_e6beg[10] , \tile_x10y1_e6beg[9] , \tile_x10y1_e6beg[8] , \tile_x10y1_e6beg[7] , \tile_x10y1_e6beg[6] , \tile_x10y1_e6beg[5] , \tile_x10y1_e6beg[4] , \tile_x10y1_e6beg[3] , \tile_x10y1_e6beg[2] , \tile_x10y1_e6beg[1] , \tile_x10y1_e6beg[0] }),
.ee4end({ \tile_x10y1_ee4beg[15] , \tile_x10y1_ee4beg[14] , \tile_x10y1_ee4beg[13] , \tile_x10y1_ee4beg[12] , \tile_x10y1_ee4beg[11] , \tile_x10y1_ee4beg[10] , \tile_x10y1_ee4beg[9] , \tile_x10y1_ee4beg[8] , \tile_x10y1_ee4beg[7] , \tile_x10y1_ee4beg[6] , \tile_x10y1_ee4beg[5] , \tile_x10y1_ee4beg[4] , \tile_x10y1_ee4beg[3] , \tile_x10y1_ee4beg[2] , \tile_x10y1_ee4beg[1] , \tile_x10y1_ee4beg[0] }),
.framedata({ \tile_x10y1_framedata_o[31] , \tile_x10y1_framedata_o[30] , \tile_x10y1_framedata_o[29] , \tile_x10y1_framedata_o[28] , \tile_x10y1_framedata_o[27] , \tile_x10y1_framedata_o[26] , \tile_x10y1_framedata_o[25] , \tile_x10y1_framedata_o[24] , \tile_x10y1_framedata_o[23] , \tile_x10y1_framedata_o[22] , \tile_x10y1_framedata_o[21] , \tile_x10y1_framedata_o[20] , \tile_x10y1_framedata_o[19] , \tile_x10y1_framedata_o[18] , \tile_x10y1_framedata_o[17] , \tile_x10y1_framedata_o[16] , \tile_x10y1_framedata_o[15] , \tile_x10y1_framedata_o[14] , \tile_x10y1_framedata_o[13] , \tile_x10y1_framedata_o[12] , \tile_x10y1_framedata_o[11] , \tile_x10y1_framedata_o[10] , \tile_x10y1_framedata_o[9] , \tile_x10y1_framedata_o[8] , \tile_x10y1_framedata_o[7] , \tile_x10y1_framedata_o[6] , \tile_x10y1_framedata_o[5] , \tile_x10y1_framedata_o[4] , \tile_x10y1_framedata_o[3] , \tile_x10y1_framedata_o[2] , \tile_x10y1_framedata_o[1] , \tile_x10y1_framedata_o[0] }),
.framedata_o({ \tile_x11y1_framedata_o[31] , \tile_x11y1_framedata_o[30] , \tile_x11y1_framedata_o[29] , \tile_x11y1_framedata_o[28] , \tile_x11y1_framedata_o[27] , \tile_x11y1_framedata_o[26] , \tile_x11y1_framedata_o[25] , \tile_x11y1_framedata_o[24] , \tile_x11y1_framedata_o[23] , \tile_x11y1_framedata_o[22] , \tile_x11y1_framedata_o[21] , \tile_x11y1_framedata_o[20] , \tile_x11y1_framedata_o[19] , \tile_x11y1_framedata_o[18] , \tile_x11y1_framedata_o[17] , \tile_x11y1_framedata_o[16] , \tile_x11y1_framedata_o[15] , \tile_x11y1_framedata_o[14] , \tile_x11y1_framedata_o[13] , \tile_x11y1_framedata_o[12] , \tile_x11y1_framedata_o[11] , \tile_x11y1_framedata_o[10] , \tile_x11y1_framedata_o[9] , \tile_x11y1_framedata_o[8] , \tile_x11y1_framedata_o[7] , \tile_x11y1_framedata_o[6] , \tile_x11y1_framedata_o[5] , \tile_x11y1_framedata_o[4] , \tile_x11y1_framedata_o[3] , \tile_x11y1_framedata_o[2] , \tile_x11y1_framedata_o[1] , \tile_x11y1_framedata_o[0] }),
.framestrobe({ \tile_x11y2_framestrobe_o[19] , \tile_x11y2_framestrobe_o[18] , \tile_x11y2_framestrobe_o[17] , \tile_x11y2_framestrobe_o[16] , \tile_x11y2_framestrobe_o[15] , \tile_x11y2_framestrobe_o[14] , \tile_x11y2_framestrobe_o[13] , \tile_x11y2_framestrobe_o[12] , \tile_x11y2_framestrobe_o[11] , \tile_x11y2_framestrobe_o[10] , \tile_x11y2_framestrobe_o[9] , \tile_x11y2_framestrobe_o[8] , \tile_x11y2_framestrobe_o[7] , \tile_x11y2_framestrobe_o[6] , \tile_x11y2_framestrobe_o[5] , \tile_x11y2_framestrobe_o[4] , \tile_x11y2_framestrobe_o[3] , \tile_x11y2_framestrobe_o[2] , \tile_x11y2_framestrobe_o[1] , \tile_x11y2_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y1_framestrobe_o[19] , \tile_x11y1_framestrobe_o[18] , \tile_x11y1_framestrobe_o[17] , \tile_x11y1_framestrobe_o[16] , \tile_x11y1_framestrobe_o[15] , \tile_x11y1_framestrobe_o[14] , \tile_x11y1_framestrobe_o[13] , \tile_x11y1_framestrobe_o[12] , \tile_x11y1_framestrobe_o[11] , \tile_x11y1_framestrobe_o[10] , \tile_x11y1_framestrobe_o[9] , \tile_x11y1_framestrobe_o[8] , \tile_x11y1_framestrobe_o[7] , \tile_x11y1_framestrobe_o[6] , \tile_x11y1_framestrobe_o[5] , \tile_x11y1_framestrobe_o[4] , \tile_x11y1_framestrobe_o[3] , \tile_x11y1_framestrobe_o[2] , \tile_x11y1_framestrobe_o[1] , \tile_x11y1_framestrobe_o[0] }),
.opa_i0(tile_x11y1_opa_i0),
.opa_i1(tile_x11y1_opa_i1),
.opa_i2(tile_x11y1_opa_i2),
.opa_i3(tile_x11y1_opa_i3),
.opb_i0(tile_x11y1_opb_i0),
.opb_i1(tile_x11y1_opb_i1),
.opb_i2(tile_x11y1_opb_i2),
.opb_i3(tile_x11y1_opb_i3),
.res0_o0(tile_x11y1_res0_o0),
.res0_o1(tile_x11y1_res0_o1),
.res0_o2(tile_x11y1_res0_o2),
.res0_o3(tile_x11y1_res0_o3),
.res1_o0(tile_x11y1_res1_o0),
.res1_o1(tile_x11y1_res1_o1),
.res1_o2(tile_x11y1_res1_o2),
.res1_o3(tile_x11y1_res1_o3),
.res2_o0(tile_x11y1_res2_o0),
.res2_o1(tile_x11y1_res2_o1),
.res2_o2(tile_x11y1_res2_o2),
.res2_o3(tile_x11y1_res2_o3),
.userclk(tile_x11y2_userclko),
.userclko(tile_x11y1_userclko),
.w1beg({ \tile_x11y1_w1beg[3] , \tile_x11y1_w1beg[2] , \tile_x11y1_w1beg[1] , \tile_x11y1_w1beg[0] }),
.w2beg({ \tile_x11y1_w2beg[7] , \tile_x11y1_w2beg[6] , \tile_x11y1_w2beg[5] , \tile_x11y1_w2beg[4] , \tile_x11y1_w2beg[3] , \tile_x11y1_w2beg[2] , \tile_x11y1_w2beg[1] , \tile_x11y1_w2beg[0] }),
.w2begb({ \tile_x11y1_w2begb[7] , \tile_x11y1_w2begb[6] , \tile_x11y1_w2begb[5] , \tile_x11y1_w2begb[4] , \tile_x11y1_w2begb[3] , \tile_x11y1_w2begb[2] , \tile_x11y1_w2begb[1] , \tile_x11y1_w2begb[0] }),
.w6beg({ \tile_x11y1_w6beg[11] , \tile_x11y1_w6beg[10] , \tile_x11y1_w6beg[9] , \tile_x11y1_w6beg[8] , \tile_x11y1_w6beg[7] , \tile_x11y1_w6beg[6] , \tile_x11y1_w6beg[5] , \tile_x11y1_w6beg[4] , \tile_x11y1_w6beg[3] , \tile_x11y1_w6beg[2] , \tile_x11y1_w6beg[1] , \tile_x11y1_w6beg[0] }),
.ww4beg({ \tile_x11y1_ww4beg[15] , \tile_x11y1_ww4beg[14] , \tile_x11y1_ww4beg[13] , \tile_x11y1_ww4beg[12] , \tile_x11y1_ww4beg[11] , \tile_x11y1_ww4beg[10] , \tile_x11y1_ww4beg[9] , \tile_x11y1_ww4beg[8] , \tile_x11y1_ww4beg[7] , \tile_x11y1_ww4beg[6] , \tile_x11y1_ww4beg[5] , \tile_x11y1_ww4beg[4] , \tile_x11y1_ww4beg[3] , \tile_x11y1_ww4beg[2] , \tile_x11y1_ww4beg[1] , \tile_x11y1_ww4beg[0] })
);
e_cpu_io tile_x11y2_e_cpu_io (
.e1end({ \tile_x10y2_e1beg[3] , \tile_x10y2_e1beg[2] , \tile_x10y2_e1beg[1] , \tile_x10y2_e1beg[0] }),
.e2end({ \tile_x10y2_e2begb[7] , \tile_x10y2_e2begb[6] , \tile_x10y2_e2begb[5] , \tile_x10y2_e2begb[4] , \tile_x10y2_e2begb[3] , \tile_x10y2_e2begb[2] , \tile_x10y2_e2begb[1] , \tile_x10y2_e2begb[0] }),
.e2mid({ \tile_x10y2_e2beg[7] , \tile_x10y2_e2beg[6] , \tile_x10y2_e2beg[5] , \tile_x10y2_e2beg[4] , \tile_x10y2_e2beg[3] , \tile_x10y2_e2beg[2] , \tile_x10y2_e2beg[1] , \tile_x10y2_e2beg[0] }),
.e6end({ \tile_x10y2_e6beg[11] , \tile_x10y2_e6beg[10] , \tile_x10y2_e6beg[9] , \tile_x10y2_e6beg[8] , \tile_x10y2_e6beg[7] , \tile_x10y2_e6beg[6] , \tile_x10y2_e6beg[5] , \tile_x10y2_e6beg[4] , \tile_x10y2_e6beg[3] , \tile_x10y2_e6beg[2] , \tile_x10y2_e6beg[1] , \tile_x10y2_e6beg[0] }),
.ee4end({ \tile_x10y2_ee4beg[15] , \tile_x10y2_ee4beg[14] , \tile_x10y2_ee4beg[13] , \tile_x10y2_ee4beg[12] , \tile_x10y2_ee4beg[11] , \tile_x10y2_ee4beg[10] , \tile_x10y2_ee4beg[9] , \tile_x10y2_ee4beg[8] , \tile_x10y2_ee4beg[7] , \tile_x10y2_ee4beg[6] , \tile_x10y2_ee4beg[5] , \tile_x10y2_ee4beg[4] , \tile_x10y2_ee4beg[3] , \tile_x10y2_ee4beg[2] , \tile_x10y2_ee4beg[1] , \tile_x10y2_ee4beg[0] }),
.framedata({ \tile_x10y2_framedata_o[31] , \tile_x10y2_framedata_o[30] , \tile_x10y2_framedata_o[29] , \tile_x10y2_framedata_o[28] , \tile_x10y2_framedata_o[27] , \tile_x10y2_framedata_o[26] , \tile_x10y2_framedata_o[25] , \tile_x10y2_framedata_o[24] , \tile_x10y2_framedata_o[23] , \tile_x10y2_framedata_o[22] , \tile_x10y2_framedata_o[21] , \tile_x10y2_framedata_o[20] , \tile_x10y2_framedata_o[19] , \tile_x10y2_framedata_o[18] , \tile_x10y2_framedata_o[17] , \tile_x10y2_framedata_o[16] , \tile_x10y2_framedata_o[15] , \tile_x10y2_framedata_o[14] , \tile_x10y2_framedata_o[13] , \tile_x10y2_framedata_o[12] , \tile_x10y2_framedata_o[11] , \tile_x10y2_framedata_o[10] , \tile_x10y2_framedata_o[9] , \tile_x10y2_framedata_o[8] , \tile_x10y2_framedata_o[7] , \tile_x10y2_framedata_o[6] , \tile_x10y2_framedata_o[5] , \tile_x10y2_framedata_o[4] , \tile_x10y2_framedata_o[3] , \tile_x10y2_framedata_o[2] , \tile_x10y2_framedata_o[1] , \tile_x10y2_framedata_o[0] }),
.framedata_o({ \tile_x11y2_framedata_o[31] , \tile_x11y2_framedata_o[30] , \tile_x11y2_framedata_o[29] , \tile_x11y2_framedata_o[28] , \tile_x11y2_framedata_o[27] , \tile_x11y2_framedata_o[26] , \tile_x11y2_framedata_o[25] , \tile_x11y2_framedata_o[24] , \tile_x11y2_framedata_o[23] , \tile_x11y2_framedata_o[22] , \tile_x11y2_framedata_o[21] , \tile_x11y2_framedata_o[20] , \tile_x11y2_framedata_o[19] , \tile_x11y2_framedata_o[18] , \tile_x11y2_framedata_o[17] , \tile_x11y2_framedata_o[16] , \tile_x11y2_framedata_o[15] , \tile_x11y2_framedata_o[14] , \tile_x11y2_framedata_o[13] , \tile_x11y2_framedata_o[12] , \tile_x11y2_framedata_o[11] , \tile_x11y2_framedata_o[10] , \tile_x11y2_framedata_o[9] , \tile_x11y2_framedata_o[8] , \tile_x11y2_framedata_o[7] , \tile_x11y2_framedata_o[6] , \tile_x11y2_framedata_o[5] , \tile_x11y2_framedata_o[4] , \tile_x11y2_framedata_o[3] , \tile_x11y2_framedata_o[2] , \tile_x11y2_framedata_o[1] , \tile_x11y2_framedata_o[0] }),
.framestrobe({ \tile_x11y3_framestrobe_o[19] , \tile_x11y3_framestrobe_o[18] , \tile_x11y3_framestrobe_o[17] , \tile_x11y3_framestrobe_o[16] , \tile_x11y3_framestrobe_o[15] , \tile_x11y3_framestrobe_o[14] , \tile_x11y3_framestrobe_o[13] , \tile_x11y3_framestrobe_o[12] , \tile_x11y3_framestrobe_o[11] , \tile_x11y3_framestrobe_o[10] , \tile_x11y3_framestrobe_o[9] , \tile_x11y3_framestrobe_o[8] , \tile_x11y3_framestrobe_o[7] , \tile_x11y3_framestrobe_o[6] , \tile_x11y3_framestrobe_o[5] , \tile_x11y3_framestrobe_o[4] , \tile_x11y3_framestrobe_o[3] , \tile_x11y3_framestrobe_o[2] , \tile_x11y3_framestrobe_o[1] , \tile_x11y3_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y2_framestrobe_o[19] , \tile_x11y2_framestrobe_o[18] , \tile_x11y2_framestrobe_o[17] , \tile_x11y2_framestrobe_o[16] , \tile_x11y2_framestrobe_o[15] , \tile_x11y2_framestrobe_o[14] , \tile_x11y2_framestrobe_o[13] , \tile_x11y2_framestrobe_o[12] , \tile_x11y2_framestrobe_o[11] , \tile_x11y2_framestrobe_o[10] , \tile_x11y2_framestrobe_o[9] , \tile_x11y2_framestrobe_o[8] , \tile_x11y2_framestrobe_o[7] , \tile_x11y2_framestrobe_o[6] , \tile_x11y2_framestrobe_o[5] , \tile_x11y2_framestrobe_o[4] , \tile_x11y2_framestrobe_o[3] , \tile_x11y2_framestrobe_o[2] , \tile_x11y2_framestrobe_o[1] , \tile_x11y2_framestrobe_o[0] }),
.opa_i0(tile_x11y2_opa_i0),
.opa_i1(tile_x11y2_opa_i1),
.opa_i2(tile_x11y2_opa_i2),
.opa_i3(tile_x11y2_opa_i3),
.opb_i0(tile_x11y2_opb_i0),
.opb_i1(tile_x11y2_opb_i1),
.opb_i2(tile_x11y2_opb_i2),
.opb_i3(tile_x11y2_opb_i3),
.res0_o0(tile_x11y2_res0_o0),
.res0_o1(tile_x11y2_res0_o1),
.res0_o2(tile_x11y2_res0_o2),
.res0_o3(tile_x11y2_res0_o3),
.res1_o0(tile_x11y2_res1_o0),
.res1_o1(tile_x11y2_res1_o1),
.res1_o2(tile_x11y2_res1_o2),
.res1_o3(tile_x11y2_res1_o3),
.res2_o0(tile_x11y2_res2_o0),
.res2_o1(tile_x11y2_res2_o1),
.res2_o2(tile_x11y2_res2_o2),
.res2_o3(tile_x11y2_res2_o3),
.userclk(tile_x11y3_userclko),
.userclko(tile_x11y2_userclko),
.w1beg({ \tile_x11y2_w1beg[3] , \tile_x11y2_w1beg[2] , \tile_x11y2_w1beg[1] , \tile_x11y2_w1beg[0] }),
.w2beg({ \tile_x11y2_w2beg[7] , \tile_x11y2_w2beg[6] , \tile_x11y2_w2beg[5] , \tile_x11y2_w2beg[4] , \tile_x11y2_w2beg[3] , \tile_x11y2_w2beg[2] , \tile_x11y2_w2beg[1] , \tile_x11y2_w2beg[0] }),
.w2begb({ \tile_x11y2_w2begb[7] , \tile_x11y2_w2begb[6] , \tile_x11y2_w2begb[5] , \tile_x11y2_w2begb[4] , \tile_x11y2_w2begb[3] , \tile_x11y2_w2begb[2] , \tile_x11y2_w2begb[1] , \tile_x11y2_w2begb[0] }),
.w6beg({ \tile_x11y2_w6beg[11] , \tile_x11y2_w6beg[10] , \tile_x11y2_w6beg[9] , \tile_x11y2_w6beg[8] , \tile_x11y2_w6beg[7] , \tile_x11y2_w6beg[6] , \tile_x11y2_w6beg[5] , \tile_x11y2_w6beg[4] , \tile_x11y2_w6beg[3] , \tile_x11y2_w6beg[2] , \tile_x11y2_w6beg[1] , \tile_x11y2_w6beg[0] }),
.ww4beg({ \tile_x11y2_ww4beg[15] , \tile_x11y2_ww4beg[14] , \tile_x11y2_ww4beg[13] , \tile_x11y2_ww4beg[12] , \tile_x11y2_ww4beg[11] , \tile_x11y2_ww4beg[10] , \tile_x11y2_ww4beg[9] , \tile_x11y2_ww4beg[8] , \tile_x11y2_ww4beg[7] , \tile_x11y2_ww4beg[6] , \tile_x11y2_ww4beg[5] , \tile_x11y2_ww4beg[4] , \tile_x11y2_ww4beg[3] , \tile_x11y2_ww4beg[2] , \tile_x11y2_ww4beg[1] , \tile_x11y2_ww4beg[0] })
);
e_cpu_io tile_x11y3_e_cpu_io (
.e1end({ \tile_x10y3_e1beg[3] , \tile_x10y3_e1beg[2] , \tile_x10y3_e1beg[1] , \tile_x10y3_e1beg[0] }),
.e2end({ \tile_x10y3_e2begb[7] , \tile_x10y3_e2begb[6] , \tile_x10y3_e2begb[5] , \tile_x10y3_e2begb[4] , \tile_x10y3_e2begb[3] , \tile_x10y3_e2begb[2] , \tile_x10y3_e2begb[1] , \tile_x10y3_e2begb[0] }),
.e2mid({ \tile_x10y3_e2beg[7] , \tile_x10y3_e2beg[6] , \tile_x10y3_e2beg[5] , \tile_x10y3_e2beg[4] , \tile_x10y3_e2beg[3] , \tile_x10y3_e2beg[2] , \tile_x10y3_e2beg[1] , \tile_x10y3_e2beg[0] }),
.e6end({ \tile_x10y3_e6beg[11] , \tile_x10y3_e6beg[10] , \tile_x10y3_e6beg[9] , \tile_x10y3_e6beg[8] , \tile_x10y3_e6beg[7] , \tile_x10y3_e6beg[6] , \tile_x10y3_e6beg[5] , \tile_x10y3_e6beg[4] , \tile_x10y3_e6beg[3] , \tile_x10y3_e6beg[2] , \tile_x10y3_e6beg[1] , \tile_x10y3_e6beg[0] }),
.ee4end({ \tile_x10y3_ee4beg[15] , \tile_x10y3_ee4beg[14] , \tile_x10y3_ee4beg[13] , \tile_x10y3_ee4beg[12] , \tile_x10y3_ee4beg[11] , \tile_x10y3_ee4beg[10] , \tile_x10y3_ee4beg[9] , \tile_x10y3_ee4beg[8] , \tile_x10y3_ee4beg[7] , \tile_x10y3_ee4beg[6] , \tile_x10y3_ee4beg[5] , \tile_x10y3_ee4beg[4] , \tile_x10y3_ee4beg[3] , \tile_x10y3_ee4beg[2] , \tile_x10y3_ee4beg[1] , \tile_x10y3_ee4beg[0] }),
.framedata({ \tile_x10y3_framedata_o[31] , \tile_x10y3_framedata_o[30] , \tile_x10y3_framedata_o[29] , \tile_x10y3_framedata_o[28] , \tile_x10y3_framedata_o[27] , \tile_x10y3_framedata_o[26] , \tile_x10y3_framedata_o[25] , \tile_x10y3_framedata_o[24] , \tile_x10y3_framedata_o[23] , \tile_x10y3_framedata_o[22] , \tile_x10y3_framedata_o[21] , \tile_x10y3_framedata_o[20] , \tile_x10y3_framedata_o[19] , \tile_x10y3_framedata_o[18] , \tile_x10y3_framedata_o[17] , \tile_x10y3_framedata_o[16] , \tile_x10y3_framedata_o[15] , \tile_x10y3_framedata_o[14] , \tile_x10y3_framedata_o[13] , \tile_x10y3_framedata_o[12] , \tile_x10y3_framedata_o[11] , \tile_x10y3_framedata_o[10] , \tile_x10y3_framedata_o[9] , \tile_x10y3_framedata_o[8] , \tile_x10y3_framedata_o[7] , \tile_x10y3_framedata_o[6] , \tile_x10y3_framedata_o[5] , \tile_x10y3_framedata_o[4] , \tile_x10y3_framedata_o[3] , \tile_x10y3_framedata_o[2] , \tile_x10y3_framedata_o[1] , \tile_x10y3_framedata_o[0] }),
.framedata_o({ \tile_x11y3_framedata_o[31] , \tile_x11y3_framedata_o[30] , \tile_x11y3_framedata_o[29] , \tile_x11y3_framedata_o[28] , \tile_x11y3_framedata_o[27] , \tile_x11y3_framedata_o[26] , \tile_x11y3_framedata_o[25] , \tile_x11y3_framedata_o[24] , \tile_x11y3_framedata_o[23] , \tile_x11y3_framedata_o[22] , \tile_x11y3_framedata_o[21] , \tile_x11y3_framedata_o[20] , \tile_x11y3_framedata_o[19] , \tile_x11y3_framedata_o[18] , \tile_x11y3_framedata_o[17] , \tile_x11y3_framedata_o[16] , \tile_x11y3_framedata_o[15] , \tile_x11y3_framedata_o[14] , \tile_x11y3_framedata_o[13] , \tile_x11y3_framedata_o[12] , \tile_x11y3_framedata_o[11] , \tile_x11y3_framedata_o[10] , \tile_x11y3_framedata_o[9] , \tile_x11y3_framedata_o[8] , \tile_x11y3_framedata_o[7] , \tile_x11y3_framedata_o[6] , \tile_x11y3_framedata_o[5] , \tile_x11y3_framedata_o[4] , \tile_x11y3_framedata_o[3] , \tile_x11y3_framedata_o[2] , \tile_x11y3_framedata_o[1] , \tile_x11y3_framedata_o[0] }),
.framestrobe({ \tile_x11y4_framestrobe_o[19] , \tile_x11y4_framestrobe_o[18] , \tile_x11y4_framestrobe_o[17] , \tile_x11y4_framestrobe_o[16] , \tile_x11y4_framestrobe_o[15] , \tile_x11y4_framestrobe_o[14] , \tile_x11y4_framestrobe_o[13] , \tile_x11y4_framestrobe_o[12] , \tile_x11y4_framestrobe_o[11] , \tile_x11y4_framestrobe_o[10] , \tile_x11y4_framestrobe_o[9] , \tile_x11y4_framestrobe_o[8] , \tile_x11y4_framestrobe_o[7] , \tile_x11y4_framestrobe_o[6] , \tile_x11y4_framestrobe_o[5] , \tile_x11y4_framestrobe_o[4] , \tile_x11y4_framestrobe_o[3] , \tile_x11y4_framestrobe_o[2] , \tile_x11y4_framestrobe_o[1] , \tile_x11y4_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y3_framestrobe_o[19] , \tile_x11y3_framestrobe_o[18] , \tile_x11y3_framestrobe_o[17] , \tile_x11y3_framestrobe_o[16] , \tile_x11y3_framestrobe_o[15] , \tile_x11y3_framestrobe_o[14] , \tile_x11y3_framestrobe_o[13] , \tile_x11y3_framestrobe_o[12] , \tile_x11y3_framestrobe_o[11] , \tile_x11y3_framestrobe_o[10] , \tile_x11y3_framestrobe_o[9] , \tile_x11y3_framestrobe_o[8] , \tile_x11y3_framestrobe_o[7] , \tile_x11y3_framestrobe_o[6] , \tile_x11y3_framestrobe_o[5] , \tile_x11y3_framestrobe_o[4] , \tile_x11y3_framestrobe_o[3] , \tile_x11y3_framestrobe_o[2] , \tile_x11y3_framestrobe_o[1] , \tile_x11y3_framestrobe_o[0] }),
.opa_i0(tile_x11y3_opa_i0),
.opa_i1(tile_x11y3_opa_i1),
.opa_i2(tile_x11y3_opa_i2),
.opa_i3(tile_x11y3_opa_i3),
.opb_i0(tile_x11y3_opb_i0),
.opb_i1(tile_x11y3_opb_i1),
.opb_i2(tile_x11y3_opb_i2),
.opb_i3(tile_x11y3_opb_i3),
.res0_o0(tile_x11y3_res0_o0),
.res0_o1(tile_x11y3_res0_o1),
.res0_o2(tile_x11y3_res0_o2),
.res0_o3(tile_x11y3_res0_o3),
.res1_o0(tile_x11y3_res1_o0),
.res1_o1(tile_x11y3_res1_o1),
.res1_o2(tile_x11y3_res1_o2),
.res1_o3(tile_x11y3_res1_o3),
.res2_o0(tile_x11y3_res2_o0),
.res2_o1(tile_x11y3_res2_o1),
.res2_o2(tile_x11y3_res2_o2),
.res2_o3(tile_x11y3_res2_o3),
.userclk(tile_x11y4_userclko),
.userclko(tile_x11y3_userclko),
.w1beg({ \tile_x11y3_w1beg[3] , \tile_x11y3_w1beg[2] , \tile_x11y3_w1beg[1] , \tile_x11y3_w1beg[0] }),
.w2beg({ \tile_x11y3_w2beg[7] , \tile_x11y3_w2beg[6] , \tile_x11y3_w2beg[5] , \tile_x11y3_w2beg[4] , \tile_x11y3_w2beg[3] , \tile_x11y3_w2beg[2] , \tile_x11y3_w2beg[1] , \tile_x11y3_w2beg[0] }),
.w2begb({ \tile_x11y3_w2begb[7] , \tile_x11y3_w2begb[6] , \tile_x11y3_w2begb[5] , \tile_x11y3_w2begb[4] , \tile_x11y3_w2begb[3] , \tile_x11y3_w2begb[2] , \tile_x11y3_w2begb[1] , \tile_x11y3_w2begb[0] }),
.w6beg({ \tile_x11y3_w6beg[11] , \tile_x11y3_w6beg[10] , \tile_x11y3_w6beg[9] , \tile_x11y3_w6beg[8] , \tile_x11y3_w6beg[7] , \tile_x11y3_w6beg[6] , \tile_x11y3_w6beg[5] , \tile_x11y3_w6beg[4] , \tile_x11y3_w6beg[3] , \tile_x11y3_w6beg[2] , \tile_x11y3_w6beg[1] , \tile_x11y3_w6beg[0] }),
.ww4beg({ \tile_x11y3_ww4beg[15] , \tile_x11y3_ww4beg[14] , \tile_x11y3_ww4beg[13] , \tile_x11y3_ww4beg[12] , \tile_x11y3_ww4beg[11] , \tile_x11y3_ww4beg[10] , \tile_x11y3_ww4beg[9] , \tile_x11y3_ww4beg[8] , \tile_x11y3_ww4beg[7] , \tile_x11y3_ww4beg[6] , \tile_x11y3_ww4beg[5] , \tile_x11y3_ww4beg[4] , \tile_x11y3_ww4beg[3] , \tile_x11y3_ww4beg[2] , \tile_x11y3_ww4beg[1] , \tile_x11y3_ww4beg[0] })
);
e_cpu_io tile_x11y4_e_cpu_io (
.e1end({ \tile_x10y4_e1beg[3] , \tile_x10y4_e1beg[2] , \tile_x10y4_e1beg[1] , \tile_x10y4_e1beg[0] }),
.e2end({ \tile_x10y4_e2begb[7] , \tile_x10y4_e2begb[6] , \tile_x10y4_e2begb[5] , \tile_x10y4_e2begb[4] , \tile_x10y4_e2begb[3] , \tile_x10y4_e2begb[2] , \tile_x10y4_e2begb[1] , \tile_x10y4_e2begb[0] }),
.e2mid({ \tile_x10y4_e2beg[7] , \tile_x10y4_e2beg[6] , \tile_x10y4_e2beg[5] , \tile_x10y4_e2beg[4] , \tile_x10y4_e2beg[3] , \tile_x10y4_e2beg[2] , \tile_x10y4_e2beg[1] , \tile_x10y4_e2beg[0] }),
.e6end({ \tile_x10y4_e6beg[11] , \tile_x10y4_e6beg[10] , \tile_x10y4_e6beg[9] , \tile_x10y4_e6beg[8] , \tile_x10y4_e6beg[7] , \tile_x10y4_e6beg[6] , \tile_x10y4_e6beg[5] , \tile_x10y4_e6beg[4] , \tile_x10y4_e6beg[3] , \tile_x10y4_e6beg[2] , \tile_x10y4_e6beg[1] , \tile_x10y4_e6beg[0] }),
.ee4end({ \tile_x10y4_ee4beg[15] , \tile_x10y4_ee4beg[14] , \tile_x10y4_ee4beg[13] , \tile_x10y4_ee4beg[12] , \tile_x10y4_ee4beg[11] , \tile_x10y4_ee4beg[10] , \tile_x10y4_ee4beg[9] , \tile_x10y4_ee4beg[8] , \tile_x10y4_ee4beg[7] , \tile_x10y4_ee4beg[6] , \tile_x10y4_ee4beg[5] , \tile_x10y4_ee4beg[4] , \tile_x10y4_ee4beg[3] , \tile_x10y4_ee4beg[2] , \tile_x10y4_ee4beg[1] , \tile_x10y4_ee4beg[0] }),
.framedata({ \tile_x10y4_framedata_o[31] , \tile_x10y4_framedata_o[30] , \tile_x10y4_framedata_o[29] , \tile_x10y4_framedata_o[28] , \tile_x10y4_framedata_o[27] , \tile_x10y4_framedata_o[26] , \tile_x10y4_framedata_o[25] , \tile_x10y4_framedata_o[24] , \tile_x10y4_framedata_o[23] , \tile_x10y4_framedata_o[22] , \tile_x10y4_framedata_o[21] , \tile_x10y4_framedata_o[20] , \tile_x10y4_framedata_o[19] , \tile_x10y4_framedata_o[18] , \tile_x10y4_framedata_o[17] , \tile_x10y4_framedata_o[16] , \tile_x10y4_framedata_o[15] , \tile_x10y4_framedata_o[14] , \tile_x10y4_framedata_o[13] , \tile_x10y4_framedata_o[12] , \tile_x10y4_framedata_o[11] , \tile_x10y4_framedata_o[10] , \tile_x10y4_framedata_o[9] , \tile_x10y4_framedata_o[8] , \tile_x10y4_framedata_o[7] , \tile_x10y4_framedata_o[6] , \tile_x10y4_framedata_o[5] , \tile_x10y4_framedata_o[4] , \tile_x10y4_framedata_o[3] , \tile_x10y4_framedata_o[2] , \tile_x10y4_framedata_o[1] , \tile_x10y4_framedata_o[0] }),
.framedata_o({ \tile_x11y4_framedata_o[31] , \tile_x11y4_framedata_o[30] , \tile_x11y4_framedata_o[29] , \tile_x11y4_framedata_o[28] , \tile_x11y4_framedata_o[27] , \tile_x11y4_framedata_o[26] , \tile_x11y4_framedata_o[25] , \tile_x11y4_framedata_o[24] , \tile_x11y4_framedata_o[23] , \tile_x11y4_framedata_o[22] , \tile_x11y4_framedata_o[21] , \tile_x11y4_framedata_o[20] , \tile_x11y4_framedata_o[19] , \tile_x11y4_framedata_o[18] , \tile_x11y4_framedata_o[17] , \tile_x11y4_framedata_o[16] , \tile_x11y4_framedata_o[15] , \tile_x11y4_framedata_o[14] , \tile_x11y4_framedata_o[13] , \tile_x11y4_framedata_o[12] , \tile_x11y4_framedata_o[11] , \tile_x11y4_framedata_o[10] , \tile_x11y4_framedata_o[9] , \tile_x11y4_framedata_o[8] , \tile_x11y4_framedata_o[7] , \tile_x11y4_framedata_o[6] , \tile_x11y4_framedata_o[5] , \tile_x11y4_framedata_o[4] , \tile_x11y4_framedata_o[3] , \tile_x11y4_framedata_o[2] , \tile_x11y4_framedata_o[1] , \tile_x11y4_framedata_o[0] }),
.framestrobe({ \tile_x11y5_framestrobe_o[19] , \tile_x11y5_framestrobe_o[18] , \tile_x11y5_framestrobe_o[17] , \tile_x11y5_framestrobe_o[16] , \tile_x11y5_framestrobe_o[15] , \tile_x11y5_framestrobe_o[14] , \tile_x11y5_framestrobe_o[13] , \tile_x11y5_framestrobe_o[12] , \tile_x11y5_framestrobe_o[11] , \tile_x11y5_framestrobe_o[10] , \tile_x11y5_framestrobe_o[9] , \tile_x11y5_framestrobe_o[8] , \tile_x11y5_framestrobe_o[7] , \tile_x11y5_framestrobe_o[6] , \tile_x11y5_framestrobe_o[5] , \tile_x11y5_framestrobe_o[4] , \tile_x11y5_framestrobe_o[3] , \tile_x11y5_framestrobe_o[2] , \tile_x11y5_framestrobe_o[1] , \tile_x11y5_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y4_framestrobe_o[19] , \tile_x11y4_framestrobe_o[18] , \tile_x11y4_framestrobe_o[17] , \tile_x11y4_framestrobe_o[16] , \tile_x11y4_framestrobe_o[15] , \tile_x11y4_framestrobe_o[14] , \tile_x11y4_framestrobe_o[13] , \tile_x11y4_framestrobe_o[12] , \tile_x11y4_framestrobe_o[11] , \tile_x11y4_framestrobe_o[10] , \tile_x11y4_framestrobe_o[9] , \tile_x11y4_framestrobe_o[8] , \tile_x11y4_framestrobe_o[7] , \tile_x11y4_framestrobe_o[6] , \tile_x11y4_framestrobe_o[5] , \tile_x11y4_framestrobe_o[4] , \tile_x11y4_framestrobe_o[3] , \tile_x11y4_framestrobe_o[2] , \tile_x11y4_framestrobe_o[1] , \tile_x11y4_framestrobe_o[0] }),
.opa_i0(tile_x11y4_opa_i0),
.opa_i1(tile_x11y4_opa_i1),
.opa_i2(tile_x11y4_opa_i2),
.opa_i3(tile_x11y4_opa_i3),
.opb_i0(tile_x11y4_opb_i0),
.opb_i1(tile_x11y4_opb_i1),
.opb_i2(tile_x11y4_opb_i2),
.opb_i3(tile_x11y4_opb_i3),
.res0_o0(tile_x11y4_res0_o0),
.res0_o1(tile_x11y4_res0_o1),
.res0_o2(tile_x11y4_res0_o2),
.res0_o3(tile_x11y4_res0_o3),
.res1_o0(tile_x11y4_res1_o0),
.res1_o1(tile_x11y4_res1_o1),
.res1_o2(tile_x11y4_res1_o2),
.res1_o3(tile_x11y4_res1_o3),
.res2_o0(tile_x11y4_res2_o0),
.res2_o1(tile_x11y4_res2_o1),
.res2_o2(tile_x11y4_res2_o2),
.res2_o3(tile_x11y4_res2_o3),
.userclk(tile_x11y5_userclko),
.userclko(tile_x11y4_userclko),
.w1beg({ \tile_x11y4_w1beg[3] , \tile_x11y4_w1beg[2] , \tile_x11y4_w1beg[1] , \tile_x11y4_w1beg[0] }),
.w2beg({ \tile_x11y4_w2beg[7] , \tile_x11y4_w2beg[6] , \tile_x11y4_w2beg[5] , \tile_x11y4_w2beg[4] , \tile_x11y4_w2beg[3] , \tile_x11y4_w2beg[2] , \tile_x11y4_w2beg[1] , \tile_x11y4_w2beg[0] }),
.w2begb({ \tile_x11y4_w2begb[7] , \tile_x11y4_w2begb[6] , \tile_x11y4_w2begb[5] , \tile_x11y4_w2begb[4] , \tile_x11y4_w2begb[3] , \tile_x11y4_w2begb[2] , \tile_x11y4_w2begb[1] , \tile_x11y4_w2begb[0] }),
.w6beg({ \tile_x11y4_w6beg[11] , \tile_x11y4_w6beg[10] , \tile_x11y4_w6beg[9] , \tile_x11y4_w6beg[8] , \tile_x11y4_w6beg[7] , \tile_x11y4_w6beg[6] , \tile_x11y4_w6beg[5] , \tile_x11y4_w6beg[4] , \tile_x11y4_w6beg[3] , \tile_x11y4_w6beg[2] , \tile_x11y4_w6beg[1] , \tile_x11y4_w6beg[0] }),
.ww4beg({ \tile_x11y4_ww4beg[15] , \tile_x11y4_ww4beg[14] , \tile_x11y4_ww4beg[13] , \tile_x11y4_ww4beg[12] , \tile_x11y4_ww4beg[11] , \tile_x11y4_ww4beg[10] , \tile_x11y4_ww4beg[9] , \tile_x11y4_ww4beg[8] , \tile_x11y4_ww4beg[7] , \tile_x11y4_ww4beg[6] , \tile_x11y4_ww4beg[5] , \tile_x11y4_ww4beg[4] , \tile_x11y4_ww4beg[3] , \tile_x11y4_ww4beg[2] , \tile_x11y4_ww4beg[1] , \tile_x11y4_ww4beg[0] })
);
e_cpu_io tile_x11y5_e_cpu_io (
.e1end({ \tile_x10y5_e1beg[3] , \tile_x10y5_e1beg[2] , \tile_x10y5_e1beg[1] , \tile_x10y5_e1beg[0] }),
.e2end({ \tile_x10y5_e2begb[7] , \tile_x10y5_e2begb[6] , \tile_x10y5_e2begb[5] , \tile_x10y5_e2begb[4] , \tile_x10y5_e2begb[3] , \tile_x10y5_e2begb[2] , \tile_x10y5_e2begb[1] , \tile_x10y5_e2begb[0] }),
.e2mid({ \tile_x10y5_e2beg[7] , \tile_x10y5_e2beg[6] , \tile_x10y5_e2beg[5] , \tile_x10y5_e2beg[4] , \tile_x10y5_e2beg[3] , \tile_x10y5_e2beg[2] , \tile_x10y5_e2beg[1] , \tile_x10y5_e2beg[0] }),
.e6end({ \tile_x10y5_e6beg[11] , \tile_x10y5_e6beg[10] , \tile_x10y5_e6beg[9] , \tile_x10y5_e6beg[8] , \tile_x10y5_e6beg[7] , \tile_x10y5_e6beg[6] , \tile_x10y5_e6beg[5] , \tile_x10y5_e6beg[4] , \tile_x10y5_e6beg[3] , \tile_x10y5_e6beg[2] , \tile_x10y5_e6beg[1] , \tile_x10y5_e6beg[0] }),
.ee4end({ \tile_x10y5_ee4beg[15] , \tile_x10y5_ee4beg[14] , \tile_x10y5_ee4beg[13] , \tile_x10y5_ee4beg[12] , \tile_x10y5_ee4beg[11] , \tile_x10y5_ee4beg[10] , \tile_x10y5_ee4beg[9] , \tile_x10y5_ee4beg[8] , \tile_x10y5_ee4beg[7] , \tile_x10y5_ee4beg[6] , \tile_x10y5_ee4beg[5] , \tile_x10y5_ee4beg[4] , \tile_x10y5_ee4beg[3] , \tile_x10y5_ee4beg[2] , \tile_x10y5_ee4beg[1] , \tile_x10y5_ee4beg[0] }),
.framedata({ \tile_x10y5_framedata_o[31] , \tile_x10y5_framedata_o[30] , \tile_x10y5_framedata_o[29] , \tile_x10y5_framedata_o[28] , \tile_x10y5_framedata_o[27] , \tile_x10y5_framedata_o[26] , \tile_x10y5_framedata_o[25] , \tile_x10y5_framedata_o[24] , \tile_x10y5_framedata_o[23] , \tile_x10y5_framedata_o[22] , \tile_x10y5_framedata_o[21] , \tile_x10y5_framedata_o[20] , \tile_x10y5_framedata_o[19] , \tile_x10y5_framedata_o[18] , \tile_x10y5_framedata_o[17] , \tile_x10y5_framedata_o[16] , \tile_x10y5_framedata_o[15] , \tile_x10y5_framedata_o[14] , \tile_x10y5_framedata_o[13] , \tile_x10y5_framedata_o[12] , \tile_x10y5_framedata_o[11] , \tile_x10y5_framedata_o[10] , \tile_x10y5_framedata_o[9] , \tile_x10y5_framedata_o[8] , \tile_x10y5_framedata_o[7] , \tile_x10y5_framedata_o[6] , \tile_x10y5_framedata_o[5] , \tile_x10y5_framedata_o[4] , \tile_x10y5_framedata_o[3] , \tile_x10y5_framedata_o[2] , \tile_x10y5_framedata_o[1] , \tile_x10y5_framedata_o[0] }),
.framedata_o({ \tile_x11y5_framedata_o[31] , \tile_x11y5_framedata_o[30] , \tile_x11y5_framedata_o[29] , \tile_x11y5_framedata_o[28] , \tile_x11y5_framedata_o[27] , \tile_x11y5_framedata_o[26] , \tile_x11y5_framedata_o[25] , \tile_x11y5_framedata_o[24] , \tile_x11y5_framedata_o[23] , \tile_x11y5_framedata_o[22] , \tile_x11y5_framedata_o[21] , \tile_x11y5_framedata_o[20] , \tile_x11y5_framedata_o[19] , \tile_x11y5_framedata_o[18] , \tile_x11y5_framedata_o[17] , \tile_x11y5_framedata_o[16] , \tile_x11y5_framedata_o[15] , \tile_x11y5_framedata_o[14] , \tile_x11y5_framedata_o[13] , \tile_x11y5_framedata_o[12] , \tile_x11y5_framedata_o[11] , \tile_x11y5_framedata_o[10] , \tile_x11y5_framedata_o[9] , \tile_x11y5_framedata_o[8] , \tile_x11y5_framedata_o[7] , \tile_x11y5_framedata_o[6] , \tile_x11y5_framedata_o[5] , \tile_x11y5_framedata_o[4] , \tile_x11y5_framedata_o[3] , \tile_x11y5_framedata_o[2] , \tile_x11y5_framedata_o[1] , \tile_x11y5_framedata_o[0] }),
.framestrobe({ \tile_x11y6_framestrobe_o[19] , \tile_x11y6_framestrobe_o[18] , \tile_x11y6_framestrobe_o[17] , \tile_x11y6_framestrobe_o[16] , \tile_x11y6_framestrobe_o[15] , \tile_x11y6_framestrobe_o[14] , \tile_x11y6_framestrobe_o[13] , \tile_x11y6_framestrobe_o[12] , \tile_x11y6_framestrobe_o[11] , \tile_x11y6_framestrobe_o[10] , \tile_x11y6_framestrobe_o[9] , \tile_x11y6_framestrobe_o[8] , \tile_x11y6_framestrobe_o[7] , \tile_x11y6_framestrobe_o[6] , \tile_x11y6_framestrobe_o[5] , \tile_x11y6_framestrobe_o[4] , \tile_x11y6_framestrobe_o[3] , \tile_x11y6_framestrobe_o[2] , \tile_x11y6_framestrobe_o[1] , \tile_x11y6_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y5_framestrobe_o[19] , \tile_x11y5_framestrobe_o[18] , \tile_x11y5_framestrobe_o[17] , \tile_x11y5_framestrobe_o[16] , \tile_x11y5_framestrobe_o[15] , \tile_x11y5_framestrobe_o[14] , \tile_x11y5_framestrobe_o[13] , \tile_x11y5_framestrobe_o[12] , \tile_x11y5_framestrobe_o[11] , \tile_x11y5_framestrobe_o[10] , \tile_x11y5_framestrobe_o[9] , \tile_x11y5_framestrobe_o[8] , \tile_x11y5_framestrobe_o[7] , \tile_x11y5_framestrobe_o[6] , \tile_x11y5_framestrobe_o[5] , \tile_x11y5_framestrobe_o[4] , \tile_x11y5_framestrobe_o[3] , \tile_x11y5_framestrobe_o[2] , \tile_x11y5_framestrobe_o[1] , \tile_x11y5_framestrobe_o[0] }),
.opa_i0(tile_x11y5_opa_i0),
.opa_i1(tile_x11y5_opa_i1),
.opa_i2(tile_x11y5_opa_i2),
.opa_i3(tile_x11y5_opa_i3),
.opb_i0(tile_x11y5_opb_i0),
.opb_i1(tile_x11y5_opb_i1),
.opb_i2(tile_x11y5_opb_i2),
.opb_i3(tile_x11y5_opb_i3),
.res0_o0(tile_x11y5_res0_o0),
.res0_o1(tile_x11y5_res0_o1),
.res0_o2(tile_x11y5_res0_o2),
.res0_o3(tile_x11y5_res0_o3),
.res1_o0(tile_x11y5_res1_o0),
.res1_o1(tile_x11y5_res1_o1),
.res1_o2(tile_x11y5_res1_o2),
.res1_o3(tile_x11y5_res1_o3),
.res2_o0(tile_x11y5_res2_o0),
.res2_o1(tile_x11y5_res2_o1),
.res2_o2(tile_x11y5_res2_o2),
.res2_o3(tile_x11y5_res2_o3),
.userclk(tile_x11y6_userclko),
.userclko(tile_x11y5_userclko),
.w1beg({ \tile_x11y5_w1beg[3] , \tile_x11y5_w1beg[2] , \tile_x11y5_w1beg[1] , \tile_x11y5_w1beg[0] }),
.w2beg({ \tile_x11y5_w2beg[7] , \tile_x11y5_w2beg[6] , \tile_x11y5_w2beg[5] , \tile_x11y5_w2beg[4] , \tile_x11y5_w2beg[3] , \tile_x11y5_w2beg[2] , \tile_x11y5_w2beg[1] , \tile_x11y5_w2beg[0] }),
.w2begb({ \tile_x11y5_w2begb[7] , \tile_x11y5_w2begb[6] , \tile_x11y5_w2begb[5] , \tile_x11y5_w2begb[4] , \tile_x11y5_w2begb[3] , \tile_x11y5_w2begb[2] , \tile_x11y5_w2begb[1] , \tile_x11y5_w2begb[0] }),
.w6beg({ \tile_x11y5_w6beg[11] , \tile_x11y5_w6beg[10] , \tile_x11y5_w6beg[9] , \tile_x11y5_w6beg[8] , \tile_x11y5_w6beg[7] , \tile_x11y5_w6beg[6] , \tile_x11y5_w6beg[5] , \tile_x11y5_w6beg[4] , \tile_x11y5_w6beg[3] , \tile_x11y5_w6beg[2] , \tile_x11y5_w6beg[1] , \tile_x11y5_w6beg[0] }),
.ww4beg({ \tile_x11y5_ww4beg[15] , \tile_x11y5_ww4beg[14] , \tile_x11y5_ww4beg[13] , \tile_x11y5_ww4beg[12] , \tile_x11y5_ww4beg[11] , \tile_x11y5_ww4beg[10] , \tile_x11y5_ww4beg[9] , \tile_x11y5_ww4beg[8] , \tile_x11y5_ww4beg[7] , \tile_x11y5_ww4beg[6] , \tile_x11y5_ww4beg[5] , \tile_x11y5_ww4beg[4] , \tile_x11y5_ww4beg[3] , \tile_x11y5_ww4beg[2] , \tile_x11y5_ww4beg[1] , \tile_x11y5_ww4beg[0] })
);
e_cpu_io tile_x11y6_e_cpu_io (
.e1end({ \tile_x10y6_e1beg[3] , \tile_x10y6_e1beg[2] , \tile_x10y6_e1beg[1] , \tile_x10y6_e1beg[0] }),
.e2end({ \tile_x10y6_e2begb[7] , \tile_x10y6_e2begb[6] , \tile_x10y6_e2begb[5] , \tile_x10y6_e2begb[4] , \tile_x10y6_e2begb[3] , \tile_x10y6_e2begb[2] , \tile_x10y6_e2begb[1] , \tile_x10y6_e2begb[0] }),
.e2mid({ \tile_x10y6_e2beg[7] , \tile_x10y6_e2beg[6] , \tile_x10y6_e2beg[5] , \tile_x10y6_e2beg[4] , \tile_x10y6_e2beg[3] , \tile_x10y6_e2beg[2] , \tile_x10y6_e2beg[1] , \tile_x10y6_e2beg[0] }),
.e6end({ \tile_x10y6_e6beg[11] , \tile_x10y6_e6beg[10] , \tile_x10y6_e6beg[9] , \tile_x10y6_e6beg[8] , \tile_x10y6_e6beg[7] , \tile_x10y6_e6beg[6] , \tile_x10y6_e6beg[5] , \tile_x10y6_e6beg[4] , \tile_x10y6_e6beg[3] , \tile_x10y6_e6beg[2] , \tile_x10y6_e6beg[1] , \tile_x10y6_e6beg[0] }),
.ee4end({ \tile_x10y6_ee4beg[15] , \tile_x10y6_ee4beg[14] , \tile_x10y6_ee4beg[13] , \tile_x10y6_ee4beg[12] , \tile_x10y6_ee4beg[11] , \tile_x10y6_ee4beg[10] , \tile_x10y6_ee4beg[9] , \tile_x10y6_ee4beg[8] , \tile_x10y6_ee4beg[7] , \tile_x10y6_ee4beg[6] , \tile_x10y6_ee4beg[5] , \tile_x10y6_ee4beg[4] , \tile_x10y6_ee4beg[3] , \tile_x10y6_ee4beg[2] , \tile_x10y6_ee4beg[1] , \tile_x10y6_ee4beg[0] }),
.framedata({ \tile_x10y6_framedata_o[31] , \tile_x10y6_framedata_o[30] , \tile_x10y6_framedata_o[29] , \tile_x10y6_framedata_o[28] , \tile_x10y6_framedata_o[27] , \tile_x10y6_framedata_o[26] , \tile_x10y6_framedata_o[25] , \tile_x10y6_framedata_o[24] , \tile_x10y6_framedata_o[23] , \tile_x10y6_framedata_o[22] , \tile_x10y6_framedata_o[21] , \tile_x10y6_framedata_o[20] , \tile_x10y6_framedata_o[19] , \tile_x10y6_framedata_o[18] , \tile_x10y6_framedata_o[17] , \tile_x10y6_framedata_o[16] , \tile_x10y6_framedata_o[15] , \tile_x10y6_framedata_o[14] , \tile_x10y6_framedata_o[13] , \tile_x10y6_framedata_o[12] , \tile_x10y6_framedata_o[11] , \tile_x10y6_framedata_o[10] , \tile_x10y6_framedata_o[9] , \tile_x10y6_framedata_o[8] , \tile_x10y6_framedata_o[7] , \tile_x10y6_framedata_o[6] , \tile_x10y6_framedata_o[5] , \tile_x10y6_framedata_o[4] , \tile_x10y6_framedata_o[3] , \tile_x10y6_framedata_o[2] , \tile_x10y6_framedata_o[1] , \tile_x10y6_framedata_o[0] }),
.framedata_o({ \tile_x11y6_framedata_o[31] , \tile_x11y6_framedata_o[30] , \tile_x11y6_framedata_o[29] , \tile_x11y6_framedata_o[28] , \tile_x11y6_framedata_o[27] , \tile_x11y6_framedata_o[26] , \tile_x11y6_framedata_o[25] , \tile_x11y6_framedata_o[24] , \tile_x11y6_framedata_o[23] , \tile_x11y6_framedata_o[22] , \tile_x11y6_framedata_o[21] , \tile_x11y6_framedata_o[20] , \tile_x11y6_framedata_o[19] , \tile_x11y6_framedata_o[18] , \tile_x11y6_framedata_o[17] , \tile_x11y6_framedata_o[16] , \tile_x11y6_framedata_o[15] , \tile_x11y6_framedata_o[14] , \tile_x11y6_framedata_o[13] , \tile_x11y6_framedata_o[12] , \tile_x11y6_framedata_o[11] , \tile_x11y6_framedata_o[10] , \tile_x11y6_framedata_o[9] , \tile_x11y6_framedata_o[8] , \tile_x11y6_framedata_o[7] , \tile_x11y6_framedata_o[6] , \tile_x11y6_framedata_o[5] , \tile_x11y6_framedata_o[4] , \tile_x11y6_framedata_o[3] , \tile_x11y6_framedata_o[2] , \tile_x11y6_framedata_o[1] , \tile_x11y6_framedata_o[0] }),
.framestrobe({ \tile_x11y7_framestrobe_o[19] , \tile_x11y7_framestrobe_o[18] , \tile_x11y7_framestrobe_o[17] , \tile_x11y7_framestrobe_o[16] , \tile_x11y7_framestrobe_o[15] , \tile_x11y7_framestrobe_o[14] , \tile_x11y7_framestrobe_o[13] , \tile_x11y7_framestrobe_o[12] , \tile_x11y7_framestrobe_o[11] , \tile_x11y7_framestrobe_o[10] , \tile_x11y7_framestrobe_o[9] , \tile_x11y7_framestrobe_o[8] , \tile_x11y7_framestrobe_o[7] , \tile_x11y7_framestrobe_o[6] , \tile_x11y7_framestrobe_o[5] , \tile_x11y7_framestrobe_o[4] , \tile_x11y7_framestrobe_o[3] , \tile_x11y7_framestrobe_o[2] , \tile_x11y7_framestrobe_o[1] , \tile_x11y7_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y6_framestrobe_o[19] , \tile_x11y6_framestrobe_o[18] , \tile_x11y6_framestrobe_o[17] , \tile_x11y6_framestrobe_o[16] , \tile_x11y6_framestrobe_o[15] , \tile_x11y6_framestrobe_o[14] , \tile_x11y6_framestrobe_o[13] , \tile_x11y6_framestrobe_o[12] , \tile_x11y6_framestrobe_o[11] , \tile_x11y6_framestrobe_o[10] , \tile_x11y6_framestrobe_o[9] , \tile_x11y6_framestrobe_o[8] , \tile_x11y6_framestrobe_o[7] , \tile_x11y6_framestrobe_o[6] , \tile_x11y6_framestrobe_o[5] , \tile_x11y6_framestrobe_o[4] , \tile_x11y6_framestrobe_o[3] , \tile_x11y6_framestrobe_o[2] , \tile_x11y6_framestrobe_o[1] , \tile_x11y6_framestrobe_o[0] }),
.opa_i0(tile_x11y6_opa_i0),
.opa_i1(tile_x11y6_opa_i1),
.opa_i2(tile_x11y6_opa_i2),
.opa_i3(tile_x11y6_opa_i3),
.opb_i0(tile_x11y6_opb_i0),
.opb_i1(tile_x11y6_opb_i1),
.opb_i2(tile_x11y6_opb_i2),
.opb_i3(tile_x11y6_opb_i3),
.res0_o0(tile_x11y6_res0_o0),
.res0_o1(tile_x11y6_res0_o1),
.res0_o2(tile_x11y6_res0_o2),
.res0_o3(tile_x11y6_res0_o3),
.res1_o0(tile_x11y6_res1_o0),
.res1_o1(tile_x11y6_res1_o1),
.res1_o2(tile_x11y6_res1_o2),
.res1_o3(tile_x11y6_res1_o3),
.res2_o0(tile_x11y6_res2_o0),
.res2_o1(tile_x11y6_res2_o1),
.res2_o2(tile_x11y6_res2_o2),
.res2_o3(tile_x11y6_res2_o3),
.userclk(tile_x11y7_userclko),
.userclko(tile_x11y6_userclko),
.w1beg({ \tile_x11y6_w1beg[3] , \tile_x11y6_w1beg[2] , \tile_x11y6_w1beg[1] , \tile_x11y6_w1beg[0] }),
.w2beg({ \tile_x11y6_w2beg[7] , \tile_x11y6_w2beg[6] , \tile_x11y6_w2beg[5] , \tile_x11y6_w2beg[4] , \tile_x11y6_w2beg[3] , \tile_x11y6_w2beg[2] , \tile_x11y6_w2beg[1] , \tile_x11y6_w2beg[0] }),
.w2begb({ \tile_x11y6_w2begb[7] , \tile_x11y6_w2begb[6] , \tile_x11y6_w2begb[5] , \tile_x11y6_w2begb[4] , \tile_x11y6_w2begb[3] , \tile_x11y6_w2begb[2] , \tile_x11y6_w2begb[1] , \tile_x11y6_w2begb[0] }),
.w6beg({ \tile_x11y6_w6beg[11] , \tile_x11y6_w6beg[10] , \tile_x11y6_w6beg[9] , \tile_x11y6_w6beg[8] , \tile_x11y6_w6beg[7] , \tile_x11y6_w6beg[6] , \tile_x11y6_w6beg[5] , \tile_x11y6_w6beg[4] , \tile_x11y6_w6beg[3] , \tile_x11y6_w6beg[2] , \tile_x11y6_w6beg[1] , \tile_x11y6_w6beg[0] }),
.ww4beg({ \tile_x11y6_ww4beg[15] , \tile_x11y6_ww4beg[14] , \tile_x11y6_ww4beg[13] , \tile_x11y6_ww4beg[12] , \tile_x11y6_ww4beg[11] , \tile_x11y6_ww4beg[10] , \tile_x11y6_ww4beg[9] , \tile_x11y6_ww4beg[8] , \tile_x11y6_ww4beg[7] , \tile_x11y6_ww4beg[6] , \tile_x11y6_ww4beg[5] , \tile_x11y6_ww4beg[4] , \tile_x11y6_ww4beg[3] , \tile_x11y6_ww4beg[2] , \tile_x11y6_ww4beg[1] , \tile_x11y6_ww4beg[0] })
);
e_cpu_io tile_x11y7_e_cpu_io (
.e1end({ \tile_x10y7_e1beg[3] , \tile_x10y7_e1beg[2] , \tile_x10y7_e1beg[1] , \tile_x10y7_e1beg[0] }),
.e2end({ \tile_x10y7_e2begb[7] , \tile_x10y7_e2begb[6] , \tile_x10y7_e2begb[5] , \tile_x10y7_e2begb[4] , \tile_x10y7_e2begb[3] , \tile_x10y7_e2begb[2] , \tile_x10y7_e2begb[1] , \tile_x10y7_e2begb[0] }),
.e2mid({ \tile_x10y7_e2beg[7] , \tile_x10y7_e2beg[6] , \tile_x10y7_e2beg[5] , \tile_x10y7_e2beg[4] , \tile_x10y7_e2beg[3] , \tile_x10y7_e2beg[2] , \tile_x10y7_e2beg[1] , \tile_x10y7_e2beg[0] }),
.e6end({ \tile_x10y7_e6beg[11] , \tile_x10y7_e6beg[10] , \tile_x10y7_e6beg[9] , \tile_x10y7_e6beg[8] , \tile_x10y7_e6beg[7] , \tile_x10y7_e6beg[6] , \tile_x10y7_e6beg[5] , \tile_x10y7_e6beg[4] , \tile_x10y7_e6beg[3] , \tile_x10y7_e6beg[2] , \tile_x10y7_e6beg[1] , \tile_x10y7_e6beg[0] }),
.ee4end({ \tile_x10y7_ee4beg[15] , \tile_x10y7_ee4beg[14] , \tile_x10y7_ee4beg[13] , \tile_x10y7_ee4beg[12] , \tile_x10y7_ee4beg[11] , \tile_x10y7_ee4beg[10] , \tile_x10y7_ee4beg[9] , \tile_x10y7_ee4beg[8] , \tile_x10y7_ee4beg[7] , \tile_x10y7_ee4beg[6] , \tile_x10y7_ee4beg[5] , \tile_x10y7_ee4beg[4] , \tile_x10y7_ee4beg[3] , \tile_x10y7_ee4beg[2] , \tile_x10y7_ee4beg[1] , \tile_x10y7_ee4beg[0] }),
.framedata({ \tile_x10y7_framedata_o[31] , \tile_x10y7_framedata_o[30] , \tile_x10y7_framedata_o[29] , \tile_x10y7_framedata_o[28] , \tile_x10y7_framedata_o[27] , \tile_x10y7_framedata_o[26] , \tile_x10y7_framedata_o[25] , \tile_x10y7_framedata_o[24] , \tile_x10y7_framedata_o[23] , \tile_x10y7_framedata_o[22] , \tile_x10y7_framedata_o[21] , \tile_x10y7_framedata_o[20] , \tile_x10y7_framedata_o[19] , \tile_x10y7_framedata_o[18] , \tile_x10y7_framedata_o[17] , \tile_x10y7_framedata_o[16] , \tile_x10y7_framedata_o[15] , \tile_x10y7_framedata_o[14] , \tile_x10y7_framedata_o[13] , \tile_x10y7_framedata_o[12] , \tile_x10y7_framedata_o[11] , \tile_x10y7_framedata_o[10] , \tile_x10y7_framedata_o[9] , \tile_x10y7_framedata_o[8] , \tile_x10y7_framedata_o[7] , \tile_x10y7_framedata_o[6] , \tile_x10y7_framedata_o[5] , \tile_x10y7_framedata_o[4] , \tile_x10y7_framedata_o[3] , \tile_x10y7_framedata_o[2] , \tile_x10y7_framedata_o[1] , \tile_x10y7_framedata_o[0] }),
.framedata_o({ \tile_x11y7_framedata_o[31] , \tile_x11y7_framedata_o[30] , \tile_x11y7_framedata_o[29] , \tile_x11y7_framedata_o[28] , \tile_x11y7_framedata_o[27] , \tile_x11y7_framedata_o[26] , \tile_x11y7_framedata_o[25] , \tile_x11y7_framedata_o[24] , \tile_x11y7_framedata_o[23] , \tile_x11y7_framedata_o[22] , \tile_x11y7_framedata_o[21] , \tile_x11y7_framedata_o[20] , \tile_x11y7_framedata_o[19] , \tile_x11y7_framedata_o[18] , \tile_x11y7_framedata_o[17] , \tile_x11y7_framedata_o[16] , \tile_x11y7_framedata_o[15] , \tile_x11y7_framedata_o[14] , \tile_x11y7_framedata_o[13] , \tile_x11y7_framedata_o[12] , \tile_x11y7_framedata_o[11] , \tile_x11y7_framedata_o[10] , \tile_x11y7_framedata_o[9] , \tile_x11y7_framedata_o[8] , \tile_x11y7_framedata_o[7] , \tile_x11y7_framedata_o[6] , \tile_x11y7_framedata_o[5] , \tile_x11y7_framedata_o[4] , \tile_x11y7_framedata_o[3] , \tile_x11y7_framedata_o[2] , \tile_x11y7_framedata_o[1] , \tile_x11y7_framedata_o[0] }),
.framestrobe({ \tile_x11y8_framestrobe_o[19] , \tile_x11y8_framestrobe_o[18] , \tile_x11y8_framestrobe_o[17] , \tile_x11y8_framestrobe_o[16] , \tile_x11y8_framestrobe_o[15] , \tile_x11y8_framestrobe_o[14] , \tile_x11y8_framestrobe_o[13] , \tile_x11y8_framestrobe_o[12] , \tile_x11y8_framestrobe_o[11] , \tile_x11y8_framestrobe_o[10] , \tile_x11y8_framestrobe_o[9] , \tile_x11y8_framestrobe_o[8] , \tile_x11y8_framestrobe_o[7] , \tile_x11y8_framestrobe_o[6] , \tile_x11y8_framestrobe_o[5] , \tile_x11y8_framestrobe_o[4] , \tile_x11y8_framestrobe_o[3] , \tile_x11y8_framestrobe_o[2] , \tile_x11y8_framestrobe_o[1] , \tile_x11y8_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y7_framestrobe_o[19] , \tile_x11y7_framestrobe_o[18] , \tile_x11y7_framestrobe_o[17] , \tile_x11y7_framestrobe_o[16] , \tile_x11y7_framestrobe_o[15] , \tile_x11y7_framestrobe_o[14] , \tile_x11y7_framestrobe_o[13] , \tile_x11y7_framestrobe_o[12] , \tile_x11y7_framestrobe_o[11] , \tile_x11y7_framestrobe_o[10] , \tile_x11y7_framestrobe_o[9] , \tile_x11y7_framestrobe_o[8] , \tile_x11y7_framestrobe_o[7] , \tile_x11y7_framestrobe_o[6] , \tile_x11y7_framestrobe_o[5] , \tile_x11y7_framestrobe_o[4] , \tile_x11y7_framestrobe_o[3] , \tile_x11y7_framestrobe_o[2] , \tile_x11y7_framestrobe_o[1] , \tile_x11y7_framestrobe_o[0] }),
.opa_i0(tile_x11y7_opa_i0),
.opa_i1(tile_x11y7_opa_i1),
.opa_i2(tile_x11y7_opa_i2),
.opa_i3(tile_x11y7_opa_i3),
.opb_i0(tile_x11y7_opb_i0),
.opb_i1(tile_x11y7_opb_i1),
.opb_i2(tile_x11y7_opb_i2),
.opb_i3(tile_x11y7_opb_i3),
.res0_o0(tile_x11y7_res0_o0),
.res0_o1(tile_x11y7_res0_o1),
.res0_o2(tile_x11y7_res0_o2),
.res0_o3(tile_x11y7_res0_o3),
.res1_o0(tile_x11y7_res1_o0),
.res1_o1(tile_x11y7_res1_o1),
.res1_o2(tile_x11y7_res1_o2),
.res1_o3(tile_x11y7_res1_o3),
.res2_o0(tile_x11y7_res2_o0),
.res2_o1(tile_x11y7_res2_o1),
.res2_o2(tile_x11y7_res2_o2),
.res2_o3(tile_x11y7_res2_o3),
.userclk(tile_x11y8_userclko),
.userclko(tile_x11y7_userclko),
.w1beg({ \tile_x11y7_w1beg[3] , \tile_x11y7_w1beg[2] , \tile_x11y7_w1beg[1] , \tile_x11y7_w1beg[0] }),
.w2beg({ \tile_x11y7_w2beg[7] , \tile_x11y7_w2beg[6] , \tile_x11y7_w2beg[5] , \tile_x11y7_w2beg[4] , \tile_x11y7_w2beg[3] , \tile_x11y7_w2beg[2] , \tile_x11y7_w2beg[1] , \tile_x11y7_w2beg[0] }),
.w2begb({ \tile_x11y7_w2begb[7] , \tile_x11y7_w2begb[6] , \tile_x11y7_w2begb[5] , \tile_x11y7_w2begb[4] , \tile_x11y7_w2begb[3] , \tile_x11y7_w2begb[2] , \tile_x11y7_w2begb[1] , \tile_x11y7_w2begb[0] }),
.w6beg({ \tile_x11y7_w6beg[11] , \tile_x11y7_w6beg[10] , \tile_x11y7_w6beg[9] , \tile_x11y7_w6beg[8] , \tile_x11y7_w6beg[7] , \tile_x11y7_w6beg[6] , \tile_x11y7_w6beg[5] , \tile_x11y7_w6beg[4] , \tile_x11y7_w6beg[3] , \tile_x11y7_w6beg[2] , \tile_x11y7_w6beg[1] , \tile_x11y7_w6beg[0] }),
.ww4beg({ \tile_x11y7_ww4beg[15] , \tile_x11y7_ww4beg[14] , \tile_x11y7_ww4beg[13] , \tile_x11y7_ww4beg[12] , \tile_x11y7_ww4beg[11] , \tile_x11y7_ww4beg[10] , \tile_x11y7_ww4beg[9] , \tile_x11y7_ww4beg[8] , \tile_x11y7_ww4beg[7] , \tile_x11y7_ww4beg[6] , \tile_x11y7_ww4beg[5] , \tile_x11y7_ww4beg[4] , \tile_x11y7_ww4beg[3] , \tile_x11y7_ww4beg[2] , \tile_x11y7_ww4beg[1] , \tile_x11y7_ww4beg[0] })
);
e_cpu_io tile_x11y8_e_cpu_io (
.e1end({ \tile_x10y8_e1beg[3] , \tile_x10y8_e1beg[2] , \tile_x10y8_e1beg[1] , \tile_x10y8_e1beg[0] }),
.e2end({ \tile_x10y8_e2begb[7] , \tile_x10y8_e2begb[6] , \tile_x10y8_e2begb[5] , \tile_x10y8_e2begb[4] , \tile_x10y8_e2begb[3] , \tile_x10y8_e2begb[2] , \tile_x10y8_e2begb[1] , \tile_x10y8_e2begb[0] }),
.e2mid({ \tile_x10y8_e2beg[7] , \tile_x10y8_e2beg[6] , \tile_x10y8_e2beg[5] , \tile_x10y8_e2beg[4] , \tile_x10y8_e2beg[3] , \tile_x10y8_e2beg[2] , \tile_x10y8_e2beg[1] , \tile_x10y8_e2beg[0] }),
.e6end({ \tile_x10y8_e6beg[11] , \tile_x10y8_e6beg[10] , \tile_x10y8_e6beg[9] , \tile_x10y8_e6beg[8] , \tile_x10y8_e6beg[7] , \tile_x10y8_e6beg[6] , \tile_x10y8_e6beg[5] , \tile_x10y8_e6beg[4] , \tile_x10y8_e6beg[3] , \tile_x10y8_e6beg[2] , \tile_x10y8_e6beg[1] , \tile_x10y8_e6beg[0] }),
.ee4end({ \tile_x10y8_ee4beg[15] , \tile_x10y8_ee4beg[14] , \tile_x10y8_ee4beg[13] , \tile_x10y8_ee4beg[12] , \tile_x10y8_ee4beg[11] , \tile_x10y8_ee4beg[10] , \tile_x10y8_ee4beg[9] , \tile_x10y8_ee4beg[8] , \tile_x10y8_ee4beg[7] , \tile_x10y8_ee4beg[6] , \tile_x10y8_ee4beg[5] , \tile_x10y8_ee4beg[4] , \tile_x10y8_ee4beg[3] , \tile_x10y8_ee4beg[2] , \tile_x10y8_ee4beg[1] , \tile_x10y8_ee4beg[0] }),
.framedata({ \tile_x10y8_framedata_o[31] , \tile_x10y8_framedata_o[30] , \tile_x10y8_framedata_o[29] , \tile_x10y8_framedata_o[28] , \tile_x10y8_framedata_o[27] , \tile_x10y8_framedata_o[26] , \tile_x10y8_framedata_o[25] , \tile_x10y8_framedata_o[24] , \tile_x10y8_framedata_o[23] , \tile_x10y8_framedata_o[22] , \tile_x10y8_framedata_o[21] , \tile_x10y8_framedata_o[20] , \tile_x10y8_framedata_o[19] , \tile_x10y8_framedata_o[18] , \tile_x10y8_framedata_o[17] , \tile_x10y8_framedata_o[16] , \tile_x10y8_framedata_o[15] , \tile_x10y8_framedata_o[14] , \tile_x10y8_framedata_o[13] , \tile_x10y8_framedata_o[12] , \tile_x10y8_framedata_o[11] , \tile_x10y8_framedata_o[10] , \tile_x10y8_framedata_o[9] , \tile_x10y8_framedata_o[8] , \tile_x10y8_framedata_o[7] , \tile_x10y8_framedata_o[6] , \tile_x10y8_framedata_o[5] , \tile_x10y8_framedata_o[4] , \tile_x10y8_framedata_o[3] , \tile_x10y8_framedata_o[2] , \tile_x10y8_framedata_o[1] , \tile_x10y8_framedata_o[0] }),
.framedata_o({ \tile_x11y8_framedata_o[31] , \tile_x11y8_framedata_o[30] , \tile_x11y8_framedata_o[29] , \tile_x11y8_framedata_o[28] , \tile_x11y8_framedata_o[27] , \tile_x11y8_framedata_o[26] , \tile_x11y8_framedata_o[25] , \tile_x11y8_framedata_o[24] , \tile_x11y8_framedata_o[23] , \tile_x11y8_framedata_o[22] , \tile_x11y8_framedata_o[21] , \tile_x11y8_framedata_o[20] , \tile_x11y8_framedata_o[19] , \tile_x11y8_framedata_o[18] , \tile_x11y8_framedata_o[17] , \tile_x11y8_framedata_o[16] , \tile_x11y8_framedata_o[15] , \tile_x11y8_framedata_o[14] , \tile_x11y8_framedata_o[13] , \tile_x11y8_framedata_o[12] , \tile_x11y8_framedata_o[11] , \tile_x11y8_framedata_o[10] , \tile_x11y8_framedata_o[9] , \tile_x11y8_framedata_o[8] , \tile_x11y8_framedata_o[7] , \tile_x11y8_framedata_o[6] , \tile_x11y8_framedata_o[5] , \tile_x11y8_framedata_o[4] , \tile_x11y8_framedata_o[3] , \tile_x11y8_framedata_o[2] , \tile_x11y8_framedata_o[1] , \tile_x11y8_framedata_o[0] }),
.framestrobe({ \tile_x11y9_framestrobe_o[19] , \tile_x11y9_framestrobe_o[18] , \tile_x11y9_framestrobe_o[17] , \tile_x11y9_framestrobe_o[16] , \tile_x11y9_framestrobe_o[15] , \tile_x11y9_framestrobe_o[14] , \tile_x11y9_framestrobe_o[13] , \tile_x11y9_framestrobe_o[12] , \tile_x11y9_framestrobe_o[11] , \tile_x11y9_framestrobe_o[10] , \tile_x11y9_framestrobe_o[9] , \tile_x11y9_framestrobe_o[8] , \tile_x11y9_framestrobe_o[7] , \tile_x11y9_framestrobe_o[6] , \tile_x11y9_framestrobe_o[5] , \tile_x11y9_framestrobe_o[4] , \tile_x11y9_framestrobe_o[3] , \tile_x11y9_framestrobe_o[2] , \tile_x11y9_framestrobe_o[1] , \tile_x11y9_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y8_framestrobe_o[19] , \tile_x11y8_framestrobe_o[18] , \tile_x11y8_framestrobe_o[17] , \tile_x11y8_framestrobe_o[16] , \tile_x11y8_framestrobe_o[15] , \tile_x11y8_framestrobe_o[14] , \tile_x11y8_framestrobe_o[13] , \tile_x11y8_framestrobe_o[12] , \tile_x11y8_framestrobe_o[11] , \tile_x11y8_framestrobe_o[10] , \tile_x11y8_framestrobe_o[9] , \tile_x11y8_framestrobe_o[8] , \tile_x11y8_framestrobe_o[7] , \tile_x11y8_framestrobe_o[6] , \tile_x11y8_framestrobe_o[5] , \tile_x11y8_framestrobe_o[4] , \tile_x11y8_framestrobe_o[3] , \tile_x11y8_framestrobe_o[2] , \tile_x11y8_framestrobe_o[1] , \tile_x11y8_framestrobe_o[0] }),
.opa_i0(tile_x11y8_opa_i0),
.opa_i1(tile_x11y8_opa_i1),
.opa_i2(tile_x11y8_opa_i2),
.opa_i3(tile_x11y8_opa_i3),
.opb_i0(tile_x11y8_opb_i0),
.opb_i1(tile_x11y8_opb_i1),
.opb_i2(tile_x11y8_opb_i2),
.opb_i3(tile_x11y8_opb_i3),
.res0_o0(tile_x11y8_res0_o0),
.res0_o1(tile_x11y8_res0_o1),
.res0_o2(tile_x11y8_res0_o2),
.res0_o3(tile_x11y8_res0_o3),
.res1_o0(tile_x11y8_res1_o0),
.res1_o1(tile_x11y8_res1_o1),
.res1_o2(tile_x11y8_res1_o2),
.res1_o3(tile_x11y8_res1_o3),
.res2_o0(tile_x11y8_res2_o0),
.res2_o1(tile_x11y8_res2_o1),
.res2_o2(tile_x11y8_res2_o2),
.res2_o3(tile_x11y8_res2_o3),
.userclk(tile_x11y9_userclko),
.userclko(tile_x11y8_userclko),
.w1beg({ \tile_x11y8_w1beg[3] , \tile_x11y8_w1beg[2] , \tile_x11y8_w1beg[1] , \tile_x11y8_w1beg[0] }),
.w2beg({ \tile_x11y8_w2beg[7] , \tile_x11y8_w2beg[6] , \tile_x11y8_w2beg[5] , \tile_x11y8_w2beg[4] , \tile_x11y8_w2beg[3] , \tile_x11y8_w2beg[2] , \tile_x11y8_w2beg[1] , \tile_x11y8_w2beg[0] }),
.w2begb({ \tile_x11y8_w2begb[7] , \tile_x11y8_w2begb[6] , \tile_x11y8_w2begb[5] , \tile_x11y8_w2begb[4] , \tile_x11y8_w2begb[3] , \tile_x11y8_w2begb[2] , \tile_x11y8_w2begb[1] , \tile_x11y8_w2begb[0] }),
.w6beg({ \tile_x11y8_w6beg[11] , \tile_x11y8_w6beg[10] , \tile_x11y8_w6beg[9] , \tile_x11y8_w6beg[8] , \tile_x11y8_w6beg[7] , \tile_x11y8_w6beg[6] , \tile_x11y8_w6beg[5] , \tile_x11y8_w6beg[4] , \tile_x11y8_w6beg[3] , \tile_x11y8_w6beg[2] , \tile_x11y8_w6beg[1] , \tile_x11y8_w6beg[0] }),
.ww4beg({ \tile_x11y8_ww4beg[15] , \tile_x11y8_ww4beg[14] , \tile_x11y8_ww4beg[13] , \tile_x11y8_ww4beg[12] , \tile_x11y8_ww4beg[11] , \tile_x11y8_ww4beg[10] , \tile_x11y8_ww4beg[9] , \tile_x11y8_ww4beg[8] , \tile_x11y8_ww4beg[7] , \tile_x11y8_ww4beg[6] , \tile_x11y8_ww4beg[5] , \tile_x11y8_ww4beg[4] , \tile_x11y8_ww4beg[3] , \tile_x11y8_ww4beg[2] , \tile_x11y8_ww4beg[1] , \tile_x11y8_ww4beg[0] })
);
e_cpu_io_bot tile_x11y9_e_cpu_io_bot (
.ci(tile_x11y10_co),
.e1end({ \tile_x10y9_e1beg[3] , \tile_x10y9_e1beg[2] , \tile_x10y9_e1beg[1] , \tile_x10y9_e1beg[0] }),
.e2end({ \tile_x10y9_e2begb[7] , \tile_x10y9_e2begb[6] , \tile_x10y9_e2begb[5] , \tile_x10y9_e2begb[4] , \tile_x10y9_e2begb[3] , \tile_x10y9_e2begb[2] , \tile_x10y9_e2begb[1] , \tile_x10y9_e2begb[0] }),
.e2mid({ \tile_x10y9_e2beg[7] , \tile_x10y9_e2beg[6] , \tile_x10y9_e2beg[5] , \tile_x10y9_e2beg[4] , \tile_x10y9_e2beg[3] , \tile_x10y9_e2beg[2] , \tile_x10y9_e2beg[1] , \tile_x10y9_e2beg[0] }),
.e6end({ \tile_x10y9_e6beg[11] , \tile_x10y9_e6beg[10] , \tile_x10y9_e6beg[9] , \tile_x10y9_e6beg[8] , \tile_x10y9_e6beg[7] , \tile_x10y9_e6beg[6] , \tile_x10y9_e6beg[5] , \tile_x10y9_e6beg[4] , \tile_x10y9_e6beg[3] , \tile_x10y9_e6beg[2] , \tile_x10y9_e6beg[1] , \tile_x10y9_e6beg[0] }),
.ee4end({ \tile_x10y9_ee4beg[15] , \tile_x10y9_ee4beg[14] , \tile_x10y9_ee4beg[13] , \tile_x10y9_ee4beg[12] , \tile_x10y9_ee4beg[11] , \tile_x10y9_ee4beg[10] , \tile_x10y9_ee4beg[9] , \tile_x10y9_ee4beg[8] , \tile_x10y9_ee4beg[7] , \tile_x10y9_ee4beg[6] , \tile_x10y9_ee4beg[5] , \tile_x10y9_ee4beg[4] , \tile_x10y9_ee4beg[3] , \tile_x10y9_ee4beg[2] , \tile_x10y9_ee4beg[1] , \tile_x10y9_ee4beg[0] }),
.framedata({ \tile_x10y9_framedata_o[31] , \tile_x10y9_framedata_o[30] , \tile_x10y9_framedata_o[29] , \tile_x10y9_framedata_o[28] , \tile_x10y9_framedata_o[27] , \tile_x10y9_framedata_o[26] , \tile_x10y9_framedata_o[25] , \tile_x10y9_framedata_o[24] , \tile_x10y9_framedata_o[23] , \tile_x10y9_framedata_o[22] , \tile_x10y9_framedata_o[21] , \tile_x10y9_framedata_o[20] , \tile_x10y9_framedata_o[19] , \tile_x10y9_framedata_o[18] , \tile_x10y9_framedata_o[17] , \tile_x10y9_framedata_o[16] , \tile_x10y9_framedata_o[15] , \tile_x10y9_framedata_o[14] , \tile_x10y9_framedata_o[13] , \tile_x10y9_framedata_o[12] , \tile_x10y9_framedata_o[11] , \tile_x10y9_framedata_o[10] , \tile_x10y9_framedata_o[9] , \tile_x10y9_framedata_o[8] , \tile_x10y9_framedata_o[7] , \tile_x10y9_framedata_o[6] , \tile_x10y9_framedata_o[5] , \tile_x10y9_framedata_o[4] , \tile_x10y9_framedata_o[3] , \tile_x10y9_framedata_o[2] , \tile_x10y9_framedata_o[1] , \tile_x10y9_framedata_o[0] }),
.framedata_o({ \tile_x11y9_framedata_o[31] , \tile_x11y9_framedata_o[30] , \tile_x11y9_framedata_o[29] , \tile_x11y9_framedata_o[28] , \tile_x11y9_framedata_o[27] , \tile_x11y9_framedata_o[26] , \tile_x11y9_framedata_o[25] , \tile_x11y9_framedata_o[24] , \tile_x11y9_framedata_o[23] , \tile_x11y9_framedata_o[22] , \tile_x11y9_framedata_o[21] , \tile_x11y9_framedata_o[20] , \tile_x11y9_framedata_o[19] , \tile_x11y9_framedata_o[18] , \tile_x11y9_framedata_o[17] , \tile_x11y9_framedata_o[16] , \tile_x11y9_framedata_o[15] , \tile_x11y9_framedata_o[14] , \tile_x11y9_framedata_o[13] , \tile_x11y9_framedata_o[12] , \tile_x11y9_framedata_o[11] , \tile_x11y9_framedata_o[10] , \tile_x11y9_framedata_o[9] , \tile_x11y9_framedata_o[8] , \tile_x11y9_framedata_o[7] , \tile_x11y9_framedata_o[6] , \tile_x11y9_framedata_o[5] , \tile_x11y9_framedata_o[4] , \tile_x11y9_framedata_o[3] , \tile_x11y9_framedata_o[2] , \tile_x11y9_framedata_o[1] , \tile_x11y9_framedata_o[0] }),
.framestrobe({ \tile_x11y10_framestrobe_o[19] , \tile_x11y10_framestrobe_o[18] , \tile_x11y10_framestrobe_o[17] , \tile_x11y10_framestrobe_o[16] , \tile_x11y10_framestrobe_o[15] , \tile_x11y10_framestrobe_o[14] , \tile_x11y10_framestrobe_o[13] , \tile_x11y10_framestrobe_o[12] , \tile_x11y10_framestrobe_o[11] , \tile_x11y10_framestrobe_o[10] , \tile_x11y10_framestrobe_o[9] , \tile_x11y10_framestrobe_o[8] , \tile_x11y10_framestrobe_o[7] , \tile_x11y10_framestrobe_o[6] , \tile_x11y10_framestrobe_o[5] , \tile_x11y10_framestrobe_o[4] , \tile_x11y10_framestrobe_o[3] , \tile_x11y10_framestrobe_o[2] , \tile_x11y10_framestrobe_o[1] , \tile_x11y10_framestrobe_o[0] }),
.framestrobe_o({ \tile_x11y9_framestrobe_o[19] , \tile_x11y9_framestrobe_o[18] , \tile_x11y9_framestrobe_o[17] , \tile_x11y9_framestrobe_o[16] , \tile_x11y9_framestrobe_o[15] , \tile_x11y9_framestrobe_o[14] , \tile_x11y9_framestrobe_o[13] , \tile_x11y9_framestrobe_o[12] , \tile_x11y9_framestrobe_o[11] , \tile_x11y9_framestrobe_o[10] , \tile_x11y9_framestrobe_o[9] , \tile_x11y9_framestrobe_o[8] , \tile_x11y9_framestrobe_o[7] , \tile_x11y9_framestrobe_o[6] , \tile_x11y9_framestrobe_o[5] , \tile_x11y9_framestrobe_o[4] , \tile_x11y9_framestrobe_o[3] , \tile_x11y9_framestrobe_o[2] , \tile_x11y9_framestrobe_o[1] , \tile_x11y9_framestrobe_o[0] }),
.n1end({ \tile_x11y10_n1beg[3] , \tile_x11y10_n1beg[2] , \tile_x11y10_n1beg[1] , \tile_x11y10_n1beg[0] }),
.n2end({ \tile_x11y10_n2begb[7] , \tile_x11y10_n2begb[6] , \tile_x11y10_n2begb[5] , \tile_x11y10_n2begb[4] , \tile_x11y10_n2begb[3] , \tile_x11y10_n2begb[2] , \tile_x11y10_n2begb[1] , \tile_x11y10_n2begb[0] }),
.n2mid({ \tile_x11y10_n2beg[7] , \tile_x11y10_n2beg[6] , \tile_x11y10_n2beg[5] , \tile_x11y10_n2beg[4] , \tile_x11y10_n2beg[3] , \tile_x11y10_n2beg[2] , \tile_x11y10_n2beg[1] , \tile_x11y10_n2beg[0] }),
.n4end({ \tile_x11y10_n4beg[15] , \tile_x11y10_n4beg[14] , \tile_x11y10_n4beg[13] , \tile_x11y10_n4beg[12] , \tile_x11y10_n4beg[11] , \tile_x11y10_n4beg[10] , \tile_x11y10_n4beg[9] , \tile_x11y10_n4beg[8] , \tile_x11y10_n4beg[7] , \tile_x11y10_n4beg[6] , \tile_x11y10_n4beg[5] , \tile_x11y10_n4beg[4] , \tile_x11y10_n4beg[3] , \tile_x11y10_n4beg[2] , \tile_x11y10_n4beg[1] , \tile_x11y10_n4beg[0] }),
.nn4end({ \tile_x11y10_nn4beg[15] , \tile_x11y10_nn4beg[14] , \tile_x11y10_nn4beg[13] , \tile_x11y10_nn4beg[12] , \tile_x11y10_nn4beg[11] , \tile_x11y10_nn4beg[10] , \tile_x11y10_nn4beg[9] , \tile_x11y10_nn4beg[8] , \tile_x11y10_nn4beg[7] , \tile_x11y10_nn4beg[6] , \tile_x11y10_nn4beg[5] , \tile_x11y10_nn4beg[4] , \tile_x11y10_nn4beg[3] , \tile_x11y10_nn4beg[2] , \tile_x11y10_nn4beg[1] , \tile_x11y10_nn4beg[0] }),
.opa_i0(tile_x11y9_opa_i0),
.opa_i1(tile_x11y9_opa_i1),
.opa_i2(tile_x11y9_opa_i2),
.opa_i3(tile_x11y9_opa_i3),
.opb_i0(tile_x11y9_opb_i0),
.opb_i1(tile_x11y9_opb_i1),
.opb_i2(tile_x11y9_opb_i2),
.opb_i3(tile_x11y9_opb_i3),
.res0_o0(tile_x11y9_res0_o0),
.res0_o1(tile_x11y9_res0_o1),
.res0_o2(tile_x11y9_res0_o2),
.res0_o3(tile_x11y9_res0_o3),
.res1_o0(tile_x11y9_res1_o0),
.res1_o1(tile_x11y9_res1_o1),
.res1_o2(tile_x11y9_res1_o2),
.res1_o3(tile_x11y9_res1_o3),
.res2_o0(tile_x11y9_res2_o0),
.res2_o1(tile_x11y9_res2_o1),
.res2_o2(tile_x11y9_res2_o2),
.res2_o3(tile_x11y9_res2_o3),
.s1beg({ \tile_x11y9_s1beg[3] , \tile_x11y9_s1beg[2] , \tile_x11y9_s1beg[1] , \tile_x11y9_s1beg[0] }),
.s2beg({ \tile_x11y9_s2beg[7] , \tile_x11y9_s2beg[6] , \tile_x11y9_s2beg[5] , \tile_x11y9_s2beg[4] , \tile_x11y9_s2beg[3] , \tile_x11y9_s2beg[2] , \tile_x11y9_s2beg[1] , \tile_x11y9_s2beg[0] }),
.s2begb({ \tile_x11y9_s2begb[7] , \tile_x11y9_s2begb[6] , \tile_x11y9_s2begb[5] , \tile_x11y9_s2begb[4] , \tile_x11y9_s2begb[3] , \tile_x11y9_s2begb[2] , \tile_x11y9_s2begb[1] , \tile_x11y9_s2begb[0] }),
.s4beg({ \tile_x11y9_s4beg[15] , \tile_x11y9_s4beg[14] , \tile_x11y9_s4beg[13] , \tile_x11y9_s4beg[12] , \tile_x11y9_s4beg[11] , \tile_x11y9_s4beg[10] , \tile_x11y9_s4beg[9] , \tile_x11y9_s4beg[8] , \tile_x11y9_s4beg[7] , \tile_x11y9_s4beg[6] , \tile_x11y9_s4beg[5] , \tile_x11y9_s4beg[4] , \tile_x11y9_s4beg[3] , \tile_x11y9_s4beg[2] , \tile_x11y9_s4beg[1] , \tile_x11y9_s4beg[0] }),
.ss4beg({ \tile_x11y9_ss4beg[15] , \tile_x11y9_ss4beg[14] , \tile_x11y9_ss4beg[13] , \tile_x11y9_ss4beg[12] , \tile_x11y9_ss4beg[11] , \tile_x11y9_ss4beg[10] , \tile_x11y9_ss4beg[9] , \tile_x11y9_ss4beg[8] , \tile_x11y9_ss4beg[7] , \tile_x11y9_ss4beg[6] , \tile_x11y9_ss4beg[5] , \tile_x11y9_ss4beg[4] , \tile_x11y9_ss4beg[3] , \tile_x11y9_ss4beg[2] , \tile_x11y9_ss4beg[1] , \tile_x11y9_ss4beg[0] }),
.userclk(tile_x11y10_userclko),
.userclko(tile_x11y9_userclko),
.w1beg({ \tile_x11y9_w1beg[3] , \tile_x11y9_w1beg[2] , \tile_x11y9_w1beg[1] , \tile_x11y9_w1beg[0] }),
.w2beg({ \tile_x11y9_w2beg[7] , \tile_x11y9_w2beg[6] , \tile_x11y9_w2beg[5] , \tile_x11y9_w2beg[4] , \tile_x11y9_w2beg[3] , \tile_x11y9_w2beg[2] , \tile_x11y9_w2beg[1] , \tile_x11y9_w2beg[0] }),
.w2begb({ \tile_x11y9_w2begb[7] , \tile_x11y9_w2begb[6] , \tile_x11y9_w2begb[5] , \tile_x11y9_w2begb[4] , \tile_x11y9_w2begb[3] , \tile_x11y9_w2begb[2] , \tile_x11y9_w2begb[1] , \tile_x11y9_w2begb[0] }),
.w6beg({ \tile_x11y9_w6beg[11] , \tile_x11y9_w6beg[10] , \tile_x11y9_w6beg[9] , \tile_x11y9_w6beg[8] , \tile_x11y9_w6beg[7] , \tile_x11y9_w6beg[6] , \tile_x11y9_w6beg[5] , \tile_x11y9_w6beg[4] , \tile_x11y9_w6beg[3] , \tile_x11y9_w6beg[2] , \tile_x11y9_w6beg[1] , \tile_x11y9_w6beg[0] }),
.ww4beg({ \tile_x11y9_ww4beg[15] , \tile_x11y9_ww4beg[14] , \tile_x11y9_ww4beg[13] , \tile_x11y9_ww4beg[12] , \tile_x11y9_ww4beg[11] , \tile_x11y9_ww4beg[10] , \tile_x11y9_ww4beg[9] , \tile_x11y9_ww4beg[8] , \tile_x11y9_ww4beg[7] , \tile_x11y9_ww4beg[6] , \tile_x11y9_ww4beg[5] , \tile_x11y9_ww4beg[4] , \tile_x11y9_ww4beg[3] , \tile_x11y9_ww4beg[2] , \tile_x11y9_ww4beg[1] , \tile_x11y9_ww4beg[0] })
);
regfile tile_x12y10_regfile (
.e1beg({ \tile_x12y10_e1beg[3] , \tile_x12y10_e1beg[2] , \tile_x12y10_e1beg[1] , \tile_x12y10_e1beg[0] }),
.e1end({ \tile_x11y10_e1beg[3] , \tile_x11y10_e1beg[2] , \tile_x11y10_e1beg[1] , \tile_x11y10_e1beg[0] }),
.e2beg({ \tile_x12y10_e2beg[7] , \tile_x12y10_e2beg[6] , \tile_x12y10_e2beg[5] , \tile_x12y10_e2beg[4] , \tile_x12y10_e2beg[3] , \tile_x12y10_e2beg[2] , \tile_x12y10_e2beg[1] , \tile_x12y10_e2beg[0] }),
.e2begb({ \tile_x12y10_e2begb[7] , \tile_x12y10_e2begb[6] , \tile_x12y10_e2begb[5] , \tile_x12y10_e2begb[4] , \tile_x12y10_e2begb[3] , \tile_x12y10_e2begb[2] , \tile_x12y10_e2begb[1] , \tile_x12y10_e2begb[0] }),
.e2end({ \tile_x11y10_e2begb[7] , \tile_x11y10_e2begb[6] , \tile_x11y10_e2begb[5] , \tile_x11y10_e2begb[4] , \tile_x11y10_e2begb[3] , \tile_x11y10_e2begb[2] , \tile_x11y10_e2begb[1] , \tile_x11y10_e2begb[0] }),
.e2mid({ \tile_x11y10_e2beg[7] , \tile_x11y10_e2beg[6] , \tile_x11y10_e2beg[5] , \tile_x11y10_e2beg[4] , \tile_x11y10_e2beg[3] , \tile_x11y10_e2beg[2] , \tile_x11y10_e2beg[1] , \tile_x11y10_e2beg[0] }),
.e6beg({ \tile_x12y10_e6beg[11] , \tile_x12y10_e6beg[10] , \tile_x12y10_e6beg[9] , \tile_x12y10_e6beg[8] , \tile_x12y10_e6beg[7] , \tile_x12y10_e6beg[6] , \tile_x12y10_e6beg[5] , \tile_x12y10_e6beg[4] , \tile_x12y10_e6beg[3] , \tile_x12y10_e6beg[2] , \tile_x12y10_e6beg[1] , \tile_x12y10_e6beg[0] }),
.e6end({ \tile_x11y10_e6beg[11] , \tile_x11y10_e6beg[10] , \tile_x11y10_e6beg[9] , \tile_x11y10_e6beg[8] , \tile_x11y10_e6beg[7] , \tile_x11y10_e6beg[6] , \tile_x11y10_e6beg[5] , \tile_x11y10_e6beg[4] , \tile_x11y10_e6beg[3] , \tile_x11y10_e6beg[2] , \tile_x11y10_e6beg[1] , \tile_x11y10_e6beg[0] }),
.ee4beg({ \tile_x12y10_ee4beg[15] , \tile_x12y10_ee4beg[14] , \tile_x12y10_ee4beg[13] , \tile_x12y10_ee4beg[12] , \tile_x12y10_ee4beg[11] , \tile_x12y10_ee4beg[10] , \tile_x12y10_ee4beg[9] , \tile_x12y10_ee4beg[8] , \tile_x12y10_ee4beg[7] , \tile_x12y10_ee4beg[6] , \tile_x12y10_ee4beg[5] , \tile_x12y10_ee4beg[4] , \tile_x12y10_ee4beg[3] , \tile_x12y10_ee4beg[2] , \tile_x12y10_ee4beg[1] , \tile_x12y10_ee4beg[0] }),
.ee4end({ \tile_x11y10_ee4beg[15] , \tile_x11y10_ee4beg[14] , \tile_x11y10_ee4beg[13] , \tile_x11y10_ee4beg[12] , \tile_x11y10_ee4beg[11] , \tile_x11y10_ee4beg[10] , \tile_x11y10_ee4beg[9] , \tile_x11y10_ee4beg[8] , \tile_x11y10_ee4beg[7] , \tile_x11y10_ee4beg[6] , \tile_x11y10_ee4beg[5] , \tile_x11y10_ee4beg[4] , \tile_x11y10_ee4beg[3] , \tile_x11y10_ee4beg[2] , \tile_x11y10_ee4beg[1] , \tile_x11y10_ee4beg[0] }),
.framedata({ \tile_x11y10_framedata_o[31] , \tile_x11y10_framedata_o[30] , \tile_x11y10_framedata_o[29] , \tile_x11y10_framedata_o[28] , \tile_x11y10_framedata_o[27] , \tile_x11y10_framedata_o[26] , \tile_x11y10_framedata_o[25] , \tile_x11y10_framedata_o[24] , \tile_x11y10_framedata_o[23] , \tile_x11y10_framedata_o[22] , \tile_x11y10_framedata_o[21] , \tile_x11y10_framedata_o[20] , \tile_x11y10_framedata_o[19] , \tile_x11y10_framedata_o[18] , \tile_x11y10_framedata_o[17] , \tile_x11y10_framedata_o[16] , \tile_x11y10_framedata_o[15] , \tile_x11y10_framedata_o[14] , \tile_x11y10_framedata_o[13] , \tile_x11y10_framedata_o[12] , \tile_x11y10_framedata_o[11] , \tile_x11y10_framedata_o[10] , \tile_x11y10_framedata_o[9] , \tile_x11y10_framedata_o[8] , \tile_x11y10_framedata_o[7] , \tile_x11y10_framedata_o[6] , \tile_x11y10_framedata_o[5] , \tile_x11y10_framedata_o[4] , \tile_x11y10_framedata_o[3] , \tile_x11y10_framedata_o[2] , \tile_x11y10_framedata_o[1] , \tile_x11y10_framedata_o[0] }),
.framedata_o({ \tile_x12y10_framedata_o[31] , \tile_x12y10_framedata_o[30] , \tile_x12y10_framedata_o[29] , \tile_x12y10_framedata_o[28] , \tile_x12y10_framedata_o[27] , \tile_x12y10_framedata_o[26] , \tile_x12y10_framedata_o[25] , \tile_x12y10_framedata_o[24] , \tile_x12y10_framedata_o[23] , \tile_x12y10_framedata_o[22] , \tile_x12y10_framedata_o[21] , \tile_x12y10_framedata_o[20] , \tile_x12y10_framedata_o[19] , \tile_x12y10_framedata_o[18] , \tile_x12y10_framedata_o[17] , \tile_x12y10_framedata_o[16] , \tile_x12y10_framedata_o[15] , \tile_x12y10_framedata_o[14] , \tile_x12y10_framedata_o[13] , \tile_x12y10_framedata_o[12] , \tile_x12y10_framedata_o[11] , \tile_x12y10_framedata_o[10] , \tile_x12y10_framedata_o[9] , \tile_x12y10_framedata_o[8] , \tile_x12y10_framedata_o[7] , \tile_x12y10_framedata_o[6] , \tile_x12y10_framedata_o[5] , \tile_x12y10_framedata_o[4] , \tile_x12y10_framedata_o[3] , \tile_x12y10_framedata_o[2] , \tile_x12y10_framedata_o[1] , \tile_x12y10_framedata_o[0] }),
.framestrobe({ \tile_x12y11_framestrobe_o[19] , \tile_x12y11_framestrobe_o[18] , \tile_x12y11_framestrobe_o[17] , \tile_x12y11_framestrobe_o[16] , \tile_x12y11_framestrobe_o[15] , \tile_x12y11_framestrobe_o[14] , \tile_x12y11_framestrobe_o[13] , \tile_x12y11_framestrobe_o[12] , \tile_x12y11_framestrobe_o[11] , \tile_x12y11_framestrobe_o[10] , \tile_x12y11_framestrobe_o[9] , \tile_x12y11_framestrobe_o[8] , \tile_x12y11_framestrobe_o[7] , \tile_x12y11_framestrobe_o[6] , \tile_x12y11_framestrobe_o[5] , \tile_x12y11_framestrobe_o[4] , \tile_x12y11_framestrobe_o[3] , \tile_x12y11_framestrobe_o[2] , \tile_x12y11_framestrobe_o[1] , \tile_x12y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x12y10_framestrobe_o[19] , \tile_x12y10_framestrobe_o[18] , \tile_x12y10_framestrobe_o[17] , \tile_x12y10_framestrobe_o[16] , \tile_x12y10_framestrobe_o[15] , \tile_x12y10_framestrobe_o[14] , \tile_x12y10_framestrobe_o[13] , \tile_x12y10_framestrobe_o[12] , \tile_x12y10_framestrobe_o[11] , \tile_x12y10_framestrobe_o[10] , \tile_x12y10_framestrobe_o[9] , \tile_x12y10_framestrobe_o[8] , \tile_x12y10_framestrobe_o[7] , \tile_x12y10_framestrobe_o[6] , \tile_x12y10_framestrobe_o[5] , \tile_x12y10_framestrobe_o[4] , \tile_x12y10_framestrobe_o[3] , \tile_x12y10_framestrobe_o[2] , \tile_x12y10_framestrobe_o[1] , \tile_x12y10_framestrobe_o[0] }),
.n1beg({ \tile_x12y10_n1beg[3] , \tile_x12y10_n1beg[2] , \tile_x12y10_n1beg[1] , \tile_x12y10_n1beg[0] }),
.n1end({ \tile_x12y11_n1beg[3] , \tile_x12y11_n1beg[2] , \tile_x12y11_n1beg[1] , \tile_x12y11_n1beg[0] }),
.n2beg({ \tile_x12y10_n2beg[7] , \tile_x12y10_n2beg[6] , \tile_x12y10_n2beg[5] , \tile_x12y10_n2beg[4] , \tile_x12y10_n2beg[3] , \tile_x12y10_n2beg[2] , \tile_x12y10_n2beg[1] , \tile_x12y10_n2beg[0] }),
.n2begb({ \tile_x12y10_n2begb[7] , \tile_x12y10_n2begb[6] , \tile_x12y10_n2begb[5] , \tile_x12y10_n2begb[4] , \tile_x12y10_n2begb[3] , \tile_x12y10_n2begb[2] , \tile_x12y10_n2begb[1] , \tile_x12y10_n2begb[0] }),
.n2end({ \tile_x12y11_n2begb[7] , \tile_x12y11_n2begb[6] , \tile_x12y11_n2begb[5] , \tile_x12y11_n2begb[4] , \tile_x12y11_n2begb[3] , \tile_x12y11_n2begb[2] , \tile_x12y11_n2begb[1] , \tile_x12y11_n2begb[0] }),
.n2mid({ \tile_x12y11_n2beg[7] , \tile_x12y11_n2beg[6] , \tile_x12y11_n2beg[5] , \tile_x12y11_n2beg[4] , \tile_x12y11_n2beg[3] , \tile_x12y11_n2beg[2] , \tile_x12y11_n2beg[1] , \tile_x12y11_n2beg[0] }),
.n4beg({ \tile_x12y10_n4beg[15] , \tile_x12y10_n4beg[14] , \tile_x12y10_n4beg[13] , \tile_x12y10_n4beg[12] , \tile_x12y10_n4beg[11] , \tile_x12y10_n4beg[10] , \tile_x12y10_n4beg[9] , \tile_x12y10_n4beg[8] , \tile_x12y10_n4beg[7] , \tile_x12y10_n4beg[6] , \tile_x12y10_n4beg[5] , \tile_x12y10_n4beg[4] , \tile_x12y10_n4beg[3] , \tile_x12y10_n4beg[2] , \tile_x12y10_n4beg[1] , \tile_x12y10_n4beg[0] }),
.n4end({ \tile_x12y11_n4beg[15] , \tile_x12y11_n4beg[14] , \tile_x12y11_n4beg[13] , \tile_x12y11_n4beg[12] , \tile_x12y11_n4beg[11] , \tile_x12y11_n4beg[10] , \tile_x12y11_n4beg[9] , \tile_x12y11_n4beg[8] , \tile_x12y11_n4beg[7] , \tile_x12y11_n4beg[6] , \tile_x12y11_n4beg[5] , \tile_x12y11_n4beg[4] , \tile_x12y11_n4beg[3] , \tile_x12y11_n4beg[2] , \tile_x12y11_n4beg[1] , \tile_x12y11_n4beg[0] }),
.nn4beg({ \tile_x12y10_nn4beg[15] , \tile_x12y10_nn4beg[14] , \tile_x12y10_nn4beg[13] , \tile_x12y10_nn4beg[12] , \tile_x12y10_nn4beg[11] , \tile_x12y10_nn4beg[10] , \tile_x12y10_nn4beg[9] , \tile_x12y10_nn4beg[8] , \tile_x12y10_nn4beg[7] , \tile_x12y10_nn4beg[6] , \tile_x12y10_nn4beg[5] , \tile_x12y10_nn4beg[4] , \tile_x12y10_nn4beg[3] , \tile_x12y10_nn4beg[2] , \tile_x12y10_nn4beg[1] , \tile_x12y10_nn4beg[0] }),
.nn4end({ \tile_x12y11_nn4beg[15] , \tile_x12y11_nn4beg[14] , \tile_x12y11_nn4beg[13] , \tile_x12y11_nn4beg[12] , \tile_x12y11_nn4beg[11] , \tile_x12y11_nn4beg[10] , \tile_x12y11_nn4beg[9] , \tile_x12y11_nn4beg[8] , \tile_x12y11_nn4beg[7] , \tile_x12y11_nn4beg[6] , \tile_x12y11_nn4beg[5] , \tile_x12y11_nn4beg[4] , \tile_x12y11_nn4beg[3] , \tile_x12y11_nn4beg[2] , \tile_x12y11_nn4beg[1] , \tile_x12y11_nn4beg[0] }),
.s1beg({ \tile_x12y10_s1beg[3] , \tile_x12y10_s1beg[2] , \tile_x12y10_s1beg[1] , \tile_x12y10_s1beg[0] }),
.s1end({ \tile_x12y9_s1beg[3] , \tile_x12y9_s1beg[2] , \tile_x12y9_s1beg[1] , \tile_x12y9_s1beg[0] }),
.s2beg({ \tile_x12y10_s2beg[7] , \tile_x12y10_s2beg[6] , \tile_x12y10_s2beg[5] , \tile_x12y10_s2beg[4] , \tile_x12y10_s2beg[3] , \tile_x12y10_s2beg[2] , \tile_x12y10_s2beg[1] , \tile_x12y10_s2beg[0] }),
.s2begb({ \tile_x12y10_s2begb[7] , \tile_x12y10_s2begb[6] , \tile_x12y10_s2begb[5] , \tile_x12y10_s2begb[4] , \tile_x12y10_s2begb[3] , \tile_x12y10_s2begb[2] , \tile_x12y10_s2begb[1] , \tile_x12y10_s2begb[0] }),
.s2end({ \tile_x12y9_s2begb[7] , \tile_x12y9_s2begb[6] , \tile_x12y9_s2begb[5] , \tile_x12y9_s2begb[4] , \tile_x12y9_s2begb[3] , \tile_x12y9_s2begb[2] , \tile_x12y9_s2begb[1] , \tile_x12y9_s2begb[0] }),
.s2mid({ \tile_x12y9_s2beg[7] , \tile_x12y9_s2beg[6] , \tile_x12y9_s2beg[5] , \tile_x12y9_s2beg[4] , \tile_x12y9_s2beg[3] , \tile_x12y9_s2beg[2] , \tile_x12y9_s2beg[1] , \tile_x12y9_s2beg[0] }),
.s4beg({ \tile_x12y10_s4beg[15] , \tile_x12y10_s4beg[14] , \tile_x12y10_s4beg[13] , \tile_x12y10_s4beg[12] , \tile_x12y10_s4beg[11] , \tile_x12y10_s4beg[10] , \tile_x12y10_s4beg[9] , \tile_x12y10_s4beg[8] , \tile_x12y10_s4beg[7] , \tile_x12y10_s4beg[6] , \tile_x12y10_s4beg[5] , \tile_x12y10_s4beg[4] , \tile_x12y10_s4beg[3] , \tile_x12y10_s4beg[2] , \tile_x12y10_s4beg[1] , \tile_x12y10_s4beg[0] }),
.s4end({ \tile_x12y9_s4beg[15] , \tile_x12y9_s4beg[14] , \tile_x12y9_s4beg[13] , \tile_x12y9_s4beg[12] , \tile_x12y9_s4beg[11] , \tile_x12y9_s4beg[10] , \tile_x12y9_s4beg[9] , \tile_x12y9_s4beg[8] , \tile_x12y9_s4beg[7] , \tile_x12y9_s4beg[6] , \tile_x12y9_s4beg[5] , \tile_x12y9_s4beg[4] , \tile_x12y9_s4beg[3] , \tile_x12y9_s4beg[2] , \tile_x12y9_s4beg[1] , \tile_x12y9_s4beg[0] }),
.ss4beg({ \tile_x12y10_ss4beg[15] , \tile_x12y10_ss4beg[14] , \tile_x12y10_ss4beg[13] , \tile_x12y10_ss4beg[12] , \tile_x12y10_ss4beg[11] , \tile_x12y10_ss4beg[10] , \tile_x12y10_ss4beg[9] , \tile_x12y10_ss4beg[8] , \tile_x12y10_ss4beg[7] , \tile_x12y10_ss4beg[6] , \tile_x12y10_ss4beg[5] , \tile_x12y10_ss4beg[4] , \tile_x12y10_ss4beg[3] , \tile_x12y10_ss4beg[2] , \tile_x12y10_ss4beg[1] , \tile_x12y10_ss4beg[0] }),
.ss4end({ \tile_x12y9_ss4beg[15] , \tile_x12y9_ss4beg[14] , \tile_x12y9_ss4beg[13] , \tile_x12y9_ss4beg[12] , \tile_x12y9_ss4beg[11] , \tile_x12y9_ss4beg[10] , \tile_x12y9_ss4beg[9] , \tile_x12y9_ss4beg[8] , \tile_x12y9_ss4beg[7] , \tile_x12y9_ss4beg[6] , \tile_x12y9_ss4beg[5] , \tile_x12y9_ss4beg[4] , \tile_x12y9_ss4beg[3] , \tile_x12y9_ss4beg[2] , \tile_x12y9_ss4beg[1] , \tile_x12y9_ss4beg[0] }),
.userclk(tile_x12y11_userclko),
.userclko(tile_x12y10_userclko),
.w1beg({ \tile_x12y10_w1beg[3] , \tile_x12y10_w1beg[2] , \tile_x12y10_w1beg[1] , \tile_x12y10_w1beg[0] }),
.w1end({ \tile_x13y10_w1beg[3] , \tile_x13y10_w1beg[2] , \tile_x13y10_w1beg[1] , \tile_x13y10_w1beg[0] }),
.w2beg({ \tile_x12y10_w2beg[7] , \tile_x12y10_w2beg[6] , \tile_x12y10_w2beg[5] , \tile_x12y10_w2beg[4] , \tile_x12y10_w2beg[3] , \tile_x12y10_w2beg[2] , \tile_x12y10_w2beg[1] , \tile_x12y10_w2beg[0] }),
.w2begb({ \tile_x12y10_w2begb[7] , \tile_x12y10_w2begb[6] , \tile_x12y10_w2begb[5] , \tile_x12y10_w2begb[4] , \tile_x12y10_w2begb[3] , \tile_x12y10_w2begb[2] , \tile_x12y10_w2begb[1] , \tile_x12y10_w2begb[0] }),
.w2end({ \tile_x13y10_w2begb[7] , \tile_x13y10_w2begb[6] , \tile_x13y10_w2begb[5] , \tile_x13y10_w2begb[4] , \tile_x13y10_w2begb[3] , \tile_x13y10_w2begb[2] , \tile_x13y10_w2begb[1] , \tile_x13y10_w2begb[0] }),
.w2mid({ \tile_x13y10_w2beg[7] , \tile_x13y10_w2beg[6] , \tile_x13y10_w2beg[5] , \tile_x13y10_w2beg[4] , \tile_x13y10_w2beg[3] , \tile_x13y10_w2beg[2] , \tile_x13y10_w2beg[1] , \tile_x13y10_w2beg[0] }),
.w6beg({ \tile_x12y10_w6beg[11] , \tile_x12y10_w6beg[10] , \tile_x12y10_w6beg[9] , \tile_x12y10_w6beg[8] , \tile_x12y10_w6beg[7] , \tile_x12y10_w6beg[6] , \tile_x12y10_w6beg[5] , \tile_x12y10_w6beg[4] , \tile_x12y10_w6beg[3] , \tile_x12y10_w6beg[2] , \tile_x12y10_w6beg[1] , \tile_x12y10_w6beg[0] }),
.w6end({ \tile_x13y10_w6beg[11] , \tile_x13y10_w6beg[10] , \tile_x13y10_w6beg[9] , \tile_x13y10_w6beg[8] , \tile_x13y10_w6beg[7] , \tile_x13y10_w6beg[6] , \tile_x13y10_w6beg[5] , \tile_x13y10_w6beg[4] , \tile_x13y10_w6beg[3] , \tile_x13y10_w6beg[2] , \tile_x13y10_w6beg[1] , \tile_x13y10_w6beg[0] }),
.ww4beg({ \tile_x12y10_ww4beg[15] , \tile_x12y10_ww4beg[14] , \tile_x12y10_ww4beg[13] , \tile_x12y10_ww4beg[12] , \tile_x12y10_ww4beg[11] , \tile_x12y10_ww4beg[10] , \tile_x12y10_ww4beg[9] , \tile_x12y10_ww4beg[8] , \tile_x12y10_ww4beg[7] , \tile_x12y10_ww4beg[6] , \tile_x12y10_ww4beg[5] , \tile_x12y10_ww4beg[4] , \tile_x12y10_ww4beg[3] , \tile_x12y10_ww4beg[2] , \tile_x12y10_ww4beg[1] , \tile_x12y10_ww4beg[0] }),
.ww4end({ \tile_x13y10_ww4beg[15] , \tile_x13y10_ww4beg[14] , \tile_x13y10_ww4beg[13] , \tile_x13y10_ww4beg[12] , \tile_x13y10_ww4beg[11] , \tile_x13y10_ww4beg[10] , \tile_x13y10_ww4beg[9] , \tile_x13y10_ww4beg[8] , \tile_x13y10_ww4beg[7] , \tile_x13y10_ww4beg[6] , \tile_x13y10_ww4beg[5] , \tile_x13y10_ww4beg[4] , \tile_x13y10_ww4beg[3] , \tile_x13y10_ww4beg[2] , \tile_x13y10_ww4beg[1] , \tile_x13y10_ww4beg[0] })
);
regfile tile_x12y11_regfile (
.e1beg({ \tile_x12y11_e1beg[3] , \tile_x12y11_e1beg[2] , \tile_x12y11_e1beg[1] , \tile_x12y11_e1beg[0] }),
.e1end({ \tile_x11y11_e1beg[3] , \tile_x11y11_e1beg[2] , \tile_x11y11_e1beg[1] , \tile_x11y11_e1beg[0] }),
.e2beg({ \tile_x12y11_e2beg[7] , \tile_x12y11_e2beg[6] , \tile_x12y11_e2beg[5] , \tile_x12y11_e2beg[4] , \tile_x12y11_e2beg[3] , \tile_x12y11_e2beg[2] , \tile_x12y11_e2beg[1] , \tile_x12y11_e2beg[0] }),
.e2begb({ \tile_x12y11_e2begb[7] , \tile_x12y11_e2begb[6] , \tile_x12y11_e2begb[5] , \tile_x12y11_e2begb[4] , \tile_x12y11_e2begb[3] , \tile_x12y11_e2begb[2] , \tile_x12y11_e2begb[1] , \tile_x12y11_e2begb[0] }),
.e2end({ \tile_x11y11_e2begb[7] , \tile_x11y11_e2begb[6] , \tile_x11y11_e2begb[5] , \tile_x11y11_e2begb[4] , \tile_x11y11_e2begb[3] , \tile_x11y11_e2begb[2] , \tile_x11y11_e2begb[1] , \tile_x11y11_e2begb[0] }),
.e2mid({ \tile_x11y11_e2beg[7] , \tile_x11y11_e2beg[6] , \tile_x11y11_e2beg[5] , \tile_x11y11_e2beg[4] , \tile_x11y11_e2beg[3] , \tile_x11y11_e2beg[2] , \tile_x11y11_e2beg[1] , \tile_x11y11_e2beg[0] }),
.e6beg({ \tile_x12y11_e6beg[11] , \tile_x12y11_e6beg[10] , \tile_x12y11_e6beg[9] , \tile_x12y11_e6beg[8] , \tile_x12y11_e6beg[7] , \tile_x12y11_e6beg[6] , \tile_x12y11_e6beg[5] , \tile_x12y11_e6beg[4] , \tile_x12y11_e6beg[3] , \tile_x12y11_e6beg[2] , \tile_x12y11_e6beg[1] , \tile_x12y11_e6beg[0] }),
.e6end({ \tile_x11y11_e6beg[11] , \tile_x11y11_e6beg[10] , \tile_x11y11_e6beg[9] , \tile_x11y11_e6beg[8] , \tile_x11y11_e6beg[7] , \tile_x11y11_e6beg[6] , \tile_x11y11_e6beg[5] , \tile_x11y11_e6beg[4] , \tile_x11y11_e6beg[3] , \tile_x11y11_e6beg[2] , \tile_x11y11_e6beg[1] , \tile_x11y11_e6beg[0] }),
.ee4beg({ \tile_x12y11_ee4beg[15] , \tile_x12y11_ee4beg[14] , \tile_x12y11_ee4beg[13] , \tile_x12y11_ee4beg[12] , \tile_x12y11_ee4beg[11] , \tile_x12y11_ee4beg[10] , \tile_x12y11_ee4beg[9] , \tile_x12y11_ee4beg[8] , \tile_x12y11_ee4beg[7] , \tile_x12y11_ee4beg[6] , \tile_x12y11_ee4beg[5] , \tile_x12y11_ee4beg[4] , \tile_x12y11_ee4beg[3] , \tile_x12y11_ee4beg[2] , \tile_x12y11_ee4beg[1] , \tile_x12y11_ee4beg[0] }),
.ee4end({ \tile_x11y11_ee4beg[15] , \tile_x11y11_ee4beg[14] , \tile_x11y11_ee4beg[13] , \tile_x11y11_ee4beg[12] , \tile_x11y11_ee4beg[11] , \tile_x11y11_ee4beg[10] , \tile_x11y11_ee4beg[9] , \tile_x11y11_ee4beg[8] , \tile_x11y11_ee4beg[7] , \tile_x11y11_ee4beg[6] , \tile_x11y11_ee4beg[5] , \tile_x11y11_ee4beg[4] , \tile_x11y11_ee4beg[3] , \tile_x11y11_ee4beg[2] , \tile_x11y11_ee4beg[1] , \tile_x11y11_ee4beg[0] }),
.framedata({ \tile_x11y11_framedata_o[31] , \tile_x11y11_framedata_o[30] , \tile_x11y11_framedata_o[29] , \tile_x11y11_framedata_o[28] , \tile_x11y11_framedata_o[27] , \tile_x11y11_framedata_o[26] , \tile_x11y11_framedata_o[25] , \tile_x11y11_framedata_o[24] , \tile_x11y11_framedata_o[23] , \tile_x11y11_framedata_o[22] , \tile_x11y11_framedata_o[21] , \tile_x11y11_framedata_o[20] , \tile_x11y11_framedata_o[19] , \tile_x11y11_framedata_o[18] , \tile_x11y11_framedata_o[17] , \tile_x11y11_framedata_o[16] , \tile_x11y11_framedata_o[15] , \tile_x11y11_framedata_o[14] , \tile_x11y11_framedata_o[13] , \tile_x11y11_framedata_o[12] , \tile_x11y11_framedata_o[11] , \tile_x11y11_framedata_o[10] , \tile_x11y11_framedata_o[9] , \tile_x11y11_framedata_o[8] , \tile_x11y11_framedata_o[7] , \tile_x11y11_framedata_o[6] , \tile_x11y11_framedata_o[5] , \tile_x11y11_framedata_o[4] , \tile_x11y11_framedata_o[3] , \tile_x11y11_framedata_o[2] , \tile_x11y11_framedata_o[1] , \tile_x11y11_framedata_o[0] }),
.framedata_o({ \tile_x12y11_framedata_o[31] , \tile_x12y11_framedata_o[30] , \tile_x12y11_framedata_o[29] , \tile_x12y11_framedata_o[28] , \tile_x12y11_framedata_o[27] , \tile_x12y11_framedata_o[26] , \tile_x12y11_framedata_o[25] , \tile_x12y11_framedata_o[24] , \tile_x12y11_framedata_o[23] , \tile_x12y11_framedata_o[22] , \tile_x12y11_framedata_o[21] , \tile_x12y11_framedata_o[20] , \tile_x12y11_framedata_o[19] , \tile_x12y11_framedata_o[18] , \tile_x12y11_framedata_o[17] , \tile_x12y11_framedata_o[16] , \tile_x12y11_framedata_o[15] , \tile_x12y11_framedata_o[14] , \tile_x12y11_framedata_o[13] , \tile_x12y11_framedata_o[12] , \tile_x12y11_framedata_o[11] , \tile_x12y11_framedata_o[10] , \tile_x12y11_framedata_o[9] , \tile_x12y11_framedata_o[8] , \tile_x12y11_framedata_o[7] , \tile_x12y11_framedata_o[6] , \tile_x12y11_framedata_o[5] , \tile_x12y11_framedata_o[4] , \tile_x12y11_framedata_o[3] , \tile_x12y11_framedata_o[2] , \tile_x12y11_framedata_o[1] , \tile_x12y11_framedata_o[0] }),
.framestrobe({ \tile_x12y12_framestrobe_o[19] , \tile_x12y12_framestrobe_o[18] , \tile_x12y12_framestrobe_o[17] , \tile_x12y12_framestrobe_o[16] , \tile_x12y12_framestrobe_o[15] , \tile_x12y12_framestrobe_o[14] , \tile_x12y12_framestrobe_o[13] , \tile_x12y12_framestrobe_o[12] , \tile_x12y12_framestrobe_o[11] , \tile_x12y12_framestrobe_o[10] , \tile_x12y12_framestrobe_o[9] , \tile_x12y12_framestrobe_o[8] , \tile_x12y12_framestrobe_o[7] , \tile_x12y12_framestrobe_o[6] , \tile_x12y12_framestrobe_o[5] , \tile_x12y12_framestrobe_o[4] , \tile_x12y12_framestrobe_o[3] , \tile_x12y12_framestrobe_o[2] , \tile_x12y12_framestrobe_o[1] , \tile_x12y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x12y11_framestrobe_o[19] , \tile_x12y11_framestrobe_o[18] , \tile_x12y11_framestrobe_o[17] , \tile_x12y11_framestrobe_o[16] , \tile_x12y11_framestrobe_o[15] , \tile_x12y11_framestrobe_o[14] , \tile_x12y11_framestrobe_o[13] , \tile_x12y11_framestrobe_o[12] , \tile_x12y11_framestrobe_o[11] , \tile_x12y11_framestrobe_o[10] , \tile_x12y11_framestrobe_o[9] , \tile_x12y11_framestrobe_o[8] , \tile_x12y11_framestrobe_o[7] , \tile_x12y11_framestrobe_o[6] , \tile_x12y11_framestrobe_o[5] , \tile_x12y11_framestrobe_o[4] , \tile_x12y11_framestrobe_o[3] , \tile_x12y11_framestrobe_o[2] , \tile_x12y11_framestrobe_o[1] , \tile_x12y11_framestrobe_o[0] }),
.n1beg({ \tile_x12y11_n1beg[3] , \tile_x12y11_n1beg[2] , \tile_x12y11_n1beg[1] , \tile_x12y11_n1beg[0] }),
.n1end({ \tile_x12y12_n1beg[3] , \tile_x12y12_n1beg[2] , \tile_x12y12_n1beg[1] , \tile_x12y12_n1beg[0] }),
.n2beg({ \tile_x12y11_n2beg[7] , \tile_x12y11_n2beg[6] , \tile_x12y11_n2beg[5] , \tile_x12y11_n2beg[4] , \tile_x12y11_n2beg[3] , \tile_x12y11_n2beg[2] , \tile_x12y11_n2beg[1] , \tile_x12y11_n2beg[0] }),
.n2begb({ \tile_x12y11_n2begb[7] , \tile_x12y11_n2begb[6] , \tile_x12y11_n2begb[5] , \tile_x12y11_n2begb[4] , \tile_x12y11_n2begb[3] , \tile_x12y11_n2begb[2] , \tile_x12y11_n2begb[1] , \tile_x12y11_n2begb[0] }),
.n2end({ \tile_x12y12_n2begb[7] , \tile_x12y12_n2begb[6] , \tile_x12y12_n2begb[5] , \tile_x12y12_n2begb[4] , \tile_x12y12_n2begb[3] , \tile_x12y12_n2begb[2] , \tile_x12y12_n2begb[1] , \tile_x12y12_n2begb[0] }),
.n2mid({ \tile_x12y12_n2beg[7] , \tile_x12y12_n2beg[6] , \tile_x12y12_n2beg[5] , \tile_x12y12_n2beg[4] , \tile_x12y12_n2beg[3] , \tile_x12y12_n2beg[2] , \tile_x12y12_n2beg[1] , \tile_x12y12_n2beg[0] }),
.n4beg({ \tile_x12y11_n4beg[15] , \tile_x12y11_n4beg[14] , \tile_x12y11_n4beg[13] , \tile_x12y11_n4beg[12] , \tile_x12y11_n4beg[11] , \tile_x12y11_n4beg[10] , \tile_x12y11_n4beg[9] , \tile_x12y11_n4beg[8] , \tile_x12y11_n4beg[7] , \tile_x12y11_n4beg[6] , \tile_x12y11_n4beg[5] , \tile_x12y11_n4beg[4] , \tile_x12y11_n4beg[3] , \tile_x12y11_n4beg[2] , \tile_x12y11_n4beg[1] , \tile_x12y11_n4beg[0] }),
.n4end({ \tile_x12y12_n4beg[15] , \tile_x12y12_n4beg[14] , \tile_x12y12_n4beg[13] , \tile_x12y12_n4beg[12] , \tile_x12y12_n4beg[11] , \tile_x12y12_n4beg[10] , \tile_x12y12_n4beg[9] , \tile_x12y12_n4beg[8] , \tile_x12y12_n4beg[7] , \tile_x12y12_n4beg[6] , \tile_x12y12_n4beg[5] , \tile_x12y12_n4beg[4] , \tile_x12y12_n4beg[3] , \tile_x12y12_n4beg[2] , \tile_x12y12_n4beg[1] , \tile_x12y12_n4beg[0] }),
.nn4beg({ \tile_x12y11_nn4beg[15] , \tile_x12y11_nn4beg[14] , \tile_x12y11_nn4beg[13] , \tile_x12y11_nn4beg[12] , \tile_x12y11_nn4beg[11] , \tile_x12y11_nn4beg[10] , \tile_x12y11_nn4beg[9] , \tile_x12y11_nn4beg[8] , \tile_x12y11_nn4beg[7] , \tile_x12y11_nn4beg[6] , \tile_x12y11_nn4beg[5] , \tile_x12y11_nn4beg[4] , \tile_x12y11_nn4beg[3] , \tile_x12y11_nn4beg[2] , \tile_x12y11_nn4beg[1] , \tile_x12y11_nn4beg[0] }),
.nn4end({ \tile_x12y12_nn4beg[15] , \tile_x12y12_nn4beg[14] , \tile_x12y12_nn4beg[13] , \tile_x12y12_nn4beg[12] , \tile_x12y12_nn4beg[11] , \tile_x12y12_nn4beg[10] , \tile_x12y12_nn4beg[9] , \tile_x12y12_nn4beg[8] , \tile_x12y12_nn4beg[7] , \tile_x12y12_nn4beg[6] , \tile_x12y12_nn4beg[5] , \tile_x12y12_nn4beg[4] , \tile_x12y12_nn4beg[3] , \tile_x12y12_nn4beg[2] , \tile_x12y12_nn4beg[1] , \tile_x12y12_nn4beg[0] }),
.s1beg({ \tile_x12y11_s1beg[3] , \tile_x12y11_s1beg[2] , \tile_x12y11_s1beg[1] , \tile_x12y11_s1beg[0] }),
.s1end({ \tile_x12y10_s1beg[3] , \tile_x12y10_s1beg[2] , \tile_x12y10_s1beg[1] , \tile_x12y10_s1beg[0] }),
.s2beg({ \tile_x12y11_s2beg[7] , \tile_x12y11_s2beg[6] , \tile_x12y11_s2beg[5] , \tile_x12y11_s2beg[4] , \tile_x12y11_s2beg[3] , \tile_x12y11_s2beg[2] , \tile_x12y11_s2beg[1] , \tile_x12y11_s2beg[0] }),
.s2begb({ \tile_x12y11_s2begb[7] , \tile_x12y11_s2begb[6] , \tile_x12y11_s2begb[5] , \tile_x12y11_s2begb[4] , \tile_x12y11_s2begb[3] , \tile_x12y11_s2begb[2] , \tile_x12y11_s2begb[1] , \tile_x12y11_s2begb[0] }),
.s2end({ \tile_x12y10_s2begb[7] , \tile_x12y10_s2begb[6] , \tile_x12y10_s2begb[5] , \tile_x12y10_s2begb[4] , \tile_x12y10_s2begb[3] , \tile_x12y10_s2begb[2] , \tile_x12y10_s2begb[1] , \tile_x12y10_s2begb[0] }),
.s2mid({ \tile_x12y10_s2beg[7] , \tile_x12y10_s2beg[6] , \tile_x12y10_s2beg[5] , \tile_x12y10_s2beg[4] , \tile_x12y10_s2beg[3] , \tile_x12y10_s2beg[2] , \tile_x12y10_s2beg[1] , \tile_x12y10_s2beg[0] }),
.s4beg({ \tile_x12y11_s4beg[15] , \tile_x12y11_s4beg[14] , \tile_x12y11_s4beg[13] , \tile_x12y11_s4beg[12] , \tile_x12y11_s4beg[11] , \tile_x12y11_s4beg[10] , \tile_x12y11_s4beg[9] , \tile_x12y11_s4beg[8] , \tile_x12y11_s4beg[7] , \tile_x12y11_s4beg[6] , \tile_x12y11_s4beg[5] , \tile_x12y11_s4beg[4] , \tile_x12y11_s4beg[3] , \tile_x12y11_s4beg[2] , \tile_x12y11_s4beg[1] , \tile_x12y11_s4beg[0] }),
.s4end({ \tile_x12y10_s4beg[15] , \tile_x12y10_s4beg[14] , \tile_x12y10_s4beg[13] , \tile_x12y10_s4beg[12] , \tile_x12y10_s4beg[11] , \tile_x12y10_s4beg[10] , \tile_x12y10_s4beg[9] , \tile_x12y10_s4beg[8] , \tile_x12y10_s4beg[7] , \tile_x12y10_s4beg[6] , \tile_x12y10_s4beg[5] , \tile_x12y10_s4beg[4] , \tile_x12y10_s4beg[3] , \tile_x12y10_s4beg[2] , \tile_x12y10_s4beg[1] , \tile_x12y10_s4beg[0] }),
.ss4beg({ \tile_x12y11_ss4beg[15] , \tile_x12y11_ss4beg[14] , \tile_x12y11_ss4beg[13] , \tile_x12y11_ss4beg[12] , \tile_x12y11_ss4beg[11] , \tile_x12y11_ss4beg[10] , \tile_x12y11_ss4beg[9] , \tile_x12y11_ss4beg[8] , \tile_x12y11_ss4beg[7] , \tile_x12y11_ss4beg[6] , \tile_x12y11_ss4beg[5] , \tile_x12y11_ss4beg[4] , \tile_x12y11_ss4beg[3] , \tile_x12y11_ss4beg[2] , \tile_x12y11_ss4beg[1] , \tile_x12y11_ss4beg[0] }),
.ss4end({ \tile_x12y10_ss4beg[15] , \tile_x12y10_ss4beg[14] , \tile_x12y10_ss4beg[13] , \tile_x12y10_ss4beg[12] , \tile_x12y10_ss4beg[11] , \tile_x12y10_ss4beg[10] , \tile_x12y10_ss4beg[9] , \tile_x12y10_ss4beg[8] , \tile_x12y10_ss4beg[7] , \tile_x12y10_ss4beg[6] , \tile_x12y10_ss4beg[5] , \tile_x12y10_ss4beg[4] , \tile_x12y10_ss4beg[3] , \tile_x12y10_ss4beg[2] , \tile_x12y10_ss4beg[1] , \tile_x12y10_ss4beg[0] }),
.userclk(tile_x12y12_userclko),
.userclko(tile_x12y11_userclko),
.w1beg({ \tile_x12y11_w1beg[3] , \tile_x12y11_w1beg[2] , \tile_x12y11_w1beg[1] , \tile_x12y11_w1beg[0] }),
.w1end({ \tile_x13y11_w1beg[3] , \tile_x13y11_w1beg[2] , \tile_x13y11_w1beg[1] , \tile_x13y11_w1beg[0] }),
.w2beg({ \tile_x12y11_w2beg[7] , \tile_x12y11_w2beg[6] , \tile_x12y11_w2beg[5] , \tile_x12y11_w2beg[4] , \tile_x12y11_w2beg[3] , \tile_x12y11_w2beg[2] , \tile_x12y11_w2beg[1] , \tile_x12y11_w2beg[0] }),
.w2begb({ \tile_x12y11_w2begb[7] , \tile_x12y11_w2begb[6] , \tile_x12y11_w2begb[5] , \tile_x12y11_w2begb[4] , \tile_x12y11_w2begb[3] , \tile_x12y11_w2begb[2] , \tile_x12y11_w2begb[1] , \tile_x12y11_w2begb[0] }),
.w2end({ \tile_x13y11_w2begb[7] , \tile_x13y11_w2begb[6] , \tile_x13y11_w2begb[5] , \tile_x13y11_w2begb[4] , \tile_x13y11_w2begb[3] , \tile_x13y11_w2begb[2] , \tile_x13y11_w2begb[1] , \tile_x13y11_w2begb[0] }),
.w2mid({ \tile_x13y11_w2beg[7] , \tile_x13y11_w2beg[6] , \tile_x13y11_w2beg[5] , \tile_x13y11_w2beg[4] , \tile_x13y11_w2beg[3] , \tile_x13y11_w2beg[2] , \tile_x13y11_w2beg[1] , \tile_x13y11_w2beg[0] }),
.w6beg({ \tile_x12y11_w6beg[11] , \tile_x12y11_w6beg[10] , \tile_x12y11_w6beg[9] , \tile_x12y11_w6beg[8] , \tile_x12y11_w6beg[7] , \tile_x12y11_w6beg[6] , \tile_x12y11_w6beg[5] , \tile_x12y11_w6beg[4] , \tile_x12y11_w6beg[3] , \tile_x12y11_w6beg[2] , \tile_x12y11_w6beg[1] , \tile_x12y11_w6beg[0] }),
.w6end({ \tile_x13y11_w6beg[11] , \tile_x13y11_w6beg[10] , \tile_x13y11_w6beg[9] , \tile_x13y11_w6beg[8] , \tile_x13y11_w6beg[7] , \tile_x13y11_w6beg[6] , \tile_x13y11_w6beg[5] , \tile_x13y11_w6beg[4] , \tile_x13y11_w6beg[3] , \tile_x13y11_w6beg[2] , \tile_x13y11_w6beg[1] , \tile_x13y11_w6beg[0] }),
.ww4beg({ \tile_x12y11_ww4beg[15] , \tile_x12y11_ww4beg[14] , \tile_x12y11_ww4beg[13] , \tile_x12y11_ww4beg[12] , \tile_x12y11_ww4beg[11] , \tile_x12y11_ww4beg[10] , \tile_x12y11_ww4beg[9] , \tile_x12y11_ww4beg[8] , \tile_x12y11_ww4beg[7] , \tile_x12y11_ww4beg[6] , \tile_x12y11_ww4beg[5] , \tile_x12y11_ww4beg[4] , \tile_x12y11_ww4beg[3] , \tile_x12y11_ww4beg[2] , \tile_x12y11_ww4beg[1] , \tile_x12y11_ww4beg[0] }),
.ww4end({ \tile_x13y11_ww4beg[15] , \tile_x13y11_ww4beg[14] , \tile_x13y11_ww4beg[13] , \tile_x13y11_ww4beg[12] , \tile_x13y11_ww4beg[11] , \tile_x13y11_ww4beg[10] , \tile_x13y11_ww4beg[9] , \tile_x13y11_ww4beg[8] , \tile_x13y11_ww4beg[7] , \tile_x13y11_ww4beg[6] , \tile_x13y11_ww4beg[5] , \tile_x13y11_ww4beg[4] , \tile_x13y11_ww4beg[3] , \tile_x13y11_ww4beg[2] , \tile_x13y11_ww4beg[1] , \tile_x13y11_ww4beg[0] })
);
regfile tile_x12y12_regfile (
.e1beg({ \tile_x12y12_e1beg[3] , \tile_x12y12_e1beg[2] , \tile_x12y12_e1beg[1] , \tile_x12y12_e1beg[0] }),
.e1end({ \tile_x11y12_e1beg[3] , \tile_x11y12_e1beg[2] , \tile_x11y12_e1beg[1] , \tile_x11y12_e1beg[0] }),
.e2beg({ \tile_x12y12_e2beg[7] , \tile_x12y12_e2beg[6] , \tile_x12y12_e2beg[5] , \tile_x12y12_e2beg[4] , \tile_x12y12_e2beg[3] , \tile_x12y12_e2beg[2] , \tile_x12y12_e2beg[1] , \tile_x12y12_e2beg[0] }),
.e2begb({ \tile_x12y12_e2begb[7] , \tile_x12y12_e2begb[6] , \tile_x12y12_e2begb[5] , \tile_x12y12_e2begb[4] , \tile_x12y12_e2begb[3] , \tile_x12y12_e2begb[2] , \tile_x12y12_e2begb[1] , \tile_x12y12_e2begb[0] }),
.e2end({ \tile_x11y12_e2begb[7] , \tile_x11y12_e2begb[6] , \tile_x11y12_e2begb[5] , \tile_x11y12_e2begb[4] , \tile_x11y12_e2begb[3] , \tile_x11y12_e2begb[2] , \tile_x11y12_e2begb[1] , \tile_x11y12_e2begb[0] }),
.e2mid({ \tile_x11y12_e2beg[7] , \tile_x11y12_e2beg[6] , \tile_x11y12_e2beg[5] , \tile_x11y12_e2beg[4] , \tile_x11y12_e2beg[3] , \tile_x11y12_e2beg[2] , \tile_x11y12_e2beg[1] , \tile_x11y12_e2beg[0] }),
.e6beg({ \tile_x12y12_e6beg[11] , \tile_x12y12_e6beg[10] , \tile_x12y12_e6beg[9] , \tile_x12y12_e6beg[8] , \tile_x12y12_e6beg[7] , \tile_x12y12_e6beg[6] , \tile_x12y12_e6beg[5] , \tile_x12y12_e6beg[4] , \tile_x12y12_e6beg[3] , \tile_x12y12_e6beg[2] , \tile_x12y12_e6beg[1] , \tile_x12y12_e6beg[0] }),
.e6end({ \tile_x11y12_e6beg[11] , \tile_x11y12_e6beg[10] , \tile_x11y12_e6beg[9] , \tile_x11y12_e6beg[8] , \tile_x11y12_e6beg[7] , \tile_x11y12_e6beg[6] , \tile_x11y12_e6beg[5] , \tile_x11y12_e6beg[4] , \tile_x11y12_e6beg[3] , \tile_x11y12_e6beg[2] , \tile_x11y12_e6beg[1] , \tile_x11y12_e6beg[0] }),
.ee4beg({ \tile_x12y12_ee4beg[15] , \tile_x12y12_ee4beg[14] , \tile_x12y12_ee4beg[13] , \tile_x12y12_ee4beg[12] , \tile_x12y12_ee4beg[11] , \tile_x12y12_ee4beg[10] , \tile_x12y12_ee4beg[9] , \tile_x12y12_ee4beg[8] , \tile_x12y12_ee4beg[7] , \tile_x12y12_ee4beg[6] , \tile_x12y12_ee4beg[5] , \tile_x12y12_ee4beg[4] , \tile_x12y12_ee4beg[3] , \tile_x12y12_ee4beg[2] , \tile_x12y12_ee4beg[1] , \tile_x12y12_ee4beg[0] }),
.ee4end({ \tile_x11y12_ee4beg[15] , \tile_x11y12_ee4beg[14] , \tile_x11y12_ee4beg[13] , \tile_x11y12_ee4beg[12] , \tile_x11y12_ee4beg[11] , \tile_x11y12_ee4beg[10] , \tile_x11y12_ee4beg[9] , \tile_x11y12_ee4beg[8] , \tile_x11y12_ee4beg[7] , \tile_x11y12_ee4beg[6] , \tile_x11y12_ee4beg[5] , \tile_x11y12_ee4beg[4] , \tile_x11y12_ee4beg[3] , \tile_x11y12_ee4beg[2] , \tile_x11y12_ee4beg[1] , \tile_x11y12_ee4beg[0] }),
.framedata({ \tile_x11y12_framedata_o[31] , \tile_x11y12_framedata_o[30] , \tile_x11y12_framedata_o[29] , \tile_x11y12_framedata_o[28] , \tile_x11y12_framedata_o[27] , \tile_x11y12_framedata_o[26] , \tile_x11y12_framedata_o[25] , \tile_x11y12_framedata_o[24] , \tile_x11y12_framedata_o[23] , \tile_x11y12_framedata_o[22] , \tile_x11y12_framedata_o[21] , \tile_x11y12_framedata_o[20] , \tile_x11y12_framedata_o[19] , \tile_x11y12_framedata_o[18] , \tile_x11y12_framedata_o[17] , \tile_x11y12_framedata_o[16] , \tile_x11y12_framedata_o[15] , \tile_x11y12_framedata_o[14] , \tile_x11y12_framedata_o[13] , \tile_x11y12_framedata_o[12] , \tile_x11y12_framedata_o[11] , \tile_x11y12_framedata_o[10] , \tile_x11y12_framedata_o[9] , \tile_x11y12_framedata_o[8] , \tile_x11y12_framedata_o[7] , \tile_x11y12_framedata_o[6] , \tile_x11y12_framedata_o[5] , \tile_x11y12_framedata_o[4] , \tile_x11y12_framedata_o[3] , \tile_x11y12_framedata_o[2] , \tile_x11y12_framedata_o[1] , \tile_x11y12_framedata_o[0] }),
.framedata_o({ \tile_x12y12_framedata_o[31] , \tile_x12y12_framedata_o[30] , \tile_x12y12_framedata_o[29] , \tile_x12y12_framedata_o[28] , \tile_x12y12_framedata_o[27] , \tile_x12y12_framedata_o[26] , \tile_x12y12_framedata_o[25] , \tile_x12y12_framedata_o[24] , \tile_x12y12_framedata_o[23] , \tile_x12y12_framedata_o[22] , \tile_x12y12_framedata_o[21] , \tile_x12y12_framedata_o[20] , \tile_x12y12_framedata_o[19] , \tile_x12y12_framedata_o[18] , \tile_x12y12_framedata_o[17] , \tile_x12y12_framedata_o[16] , \tile_x12y12_framedata_o[15] , \tile_x12y12_framedata_o[14] , \tile_x12y12_framedata_o[13] , \tile_x12y12_framedata_o[12] , \tile_x12y12_framedata_o[11] , \tile_x12y12_framedata_o[10] , \tile_x12y12_framedata_o[9] , \tile_x12y12_framedata_o[8] , \tile_x12y12_framedata_o[7] , \tile_x12y12_framedata_o[6] , \tile_x12y12_framedata_o[5] , \tile_x12y12_framedata_o[4] , \tile_x12y12_framedata_o[3] , \tile_x12y12_framedata_o[2] , \tile_x12y12_framedata_o[1] , \tile_x12y12_framedata_o[0] }),
.framestrobe({ \tile_x12y13_framestrobe_o[19] , \tile_x12y13_framestrobe_o[18] , \tile_x12y13_framestrobe_o[17] , \tile_x12y13_framestrobe_o[16] , \tile_x12y13_framestrobe_o[15] , \tile_x12y13_framestrobe_o[14] , \tile_x12y13_framestrobe_o[13] , \tile_x12y13_framestrobe_o[12] , \tile_x12y13_framestrobe_o[11] , \tile_x12y13_framestrobe_o[10] , \tile_x12y13_framestrobe_o[9] , \tile_x12y13_framestrobe_o[8] , \tile_x12y13_framestrobe_o[7] , \tile_x12y13_framestrobe_o[6] , \tile_x12y13_framestrobe_o[5] , \tile_x12y13_framestrobe_o[4] , \tile_x12y13_framestrobe_o[3] , \tile_x12y13_framestrobe_o[2] , \tile_x12y13_framestrobe_o[1] , \tile_x12y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x12y12_framestrobe_o[19] , \tile_x12y12_framestrobe_o[18] , \tile_x12y12_framestrobe_o[17] , \tile_x12y12_framestrobe_o[16] , \tile_x12y12_framestrobe_o[15] , \tile_x12y12_framestrobe_o[14] , \tile_x12y12_framestrobe_o[13] , \tile_x12y12_framestrobe_o[12] , \tile_x12y12_framestrobe_o[11] , \tile_x12y12_framestrobe_o[10] , \tile_x12y12_framestrobe_o[9] , \tile_x12y12_framestrobe_o[8] , \tile_x12y12_framestrobe_o[7] , \tile_x12y12_framestrobe_o[6] , \tile_x12y12_framestrobe_o[5] , \tile_x12y12_framestrobe_o[4] , \tile_x12y12_framestrobe_o[3] , \tile_x12y12_framestrobe_o[2] , \tile_x12y12_framestrobe_o[1] , \tile_x12y12_framestrobe_o[0] }),
.n1beg({ \tile_x12y12_n1beg[3] , \tile_x12y12_n1beg[2] , \tile_x12y12_n1beg[1] , \tile_x12y12_n1beg[0] }),
.n1end({ \tile_x12y13_n1beg[3] , \tile_x12y13_n1beg[2] , \tile_x12y13_n1beg[1] , \tile_x12y13_n1beg[0] }),
.n2beg({ \tile_x12y12_n2beg[7] , \tile_x12y12_n2beg[6] , \tile_x12y12_n2beg[5] , \tile_x12y12_n2beg[4] , \tile_x12y12_n2beg[3] , \tile_x12y12_n2beg[2] , \tile_x12y12_n2beg[1] , \tile_x12y12_n2beg[0] }),
.n2begb({ \tile_x12y12_n2begb[7] , \tile_x12y12_n2begb[6] , \tile_x12y12_n2begb[5] , \tile_x12y12_n2begb[4] , \tile_x12y12_n2begb[3] , \tile_x12y12_n2begb[2] , \tile_x12y12_n2begb[1] , \tile_x12y12_n2begb[0] }),
.n2end({ \tile_x12y13_n2begb[7] , \tile_x12y13_n2begb[6] , \tile_x12y13_n2begb[5] , \tile_x12y13_n2begb[4] , \tile_x12y13_n2begb[3] , \tile_x12y13_n2begb[2] , \tile_x12y13_n2begb[1] , \tile_x12y13_n2begb[0] }),
.n2mid({ \tile_x12y13_n2beg[7] , \tile_x12y13_n2beg[6] , \tile_x12y13_n2beg[5] , \tile_x12y13_n2beg[4] , \tile_x12y13_n2beg[3] , \tile_x12y13_n2beg[2] , \tile_x12y13_n2beg[1] , \tile_x12y13_n2beg[0] }),
.n4beg({ \tile_x12y12_n4beg[15] , \tile_x12y12_n4beg[14] , \tile_x12y12_n4beg[13] , \tile_x12y12_n4beg[12] , \tile_x12y12_n4beg[11] , \tile_x12y12_n4beg[10] , \tile_x12y12_n4beg[9] , \tile_x12y12_n4beg[8] , \tile_x12y12_n4beg[7] , \tile_x12y12_n4beg[6] , \tile_x12y12_n4beg[5] , \tile_x12y12_n4beg[4] , \tile_x12y12_n4beg[3] , \tile_x12y12_n4beg[2] , \tile_x12y12_n4beg[1] , \tile_x12y12_n4beg[0] }),
.n4end({ \tile_x12y13_n4beg[15] , \tile_x12y13_n4beg[14] , \tile_x12y13_n4beg[13] , \tile_x12y13_n4beg[12] , \tile_x12y13_n4beg[11] , \tile_x12y13_n4beg[10] , \tile_x12y13_n4beg[9] , \tile_x12y13_n4beg[8] , \tile_x12y13_n4beg[7] , \tile_x12y13_n4beg[6] , \tile_x12y13_n4beg[5] , \tile_x12y13_n4beg[4] , \tile_x12y13_n4beg[3] , \tile_x12y13_n4beg[2] , \tile_x12y13_n4beg[1] , \tile_x12y13_n4beg[0] }),
.nn4beg({ \tile_x12y12_nn4beg[15] , \tile_x12y12_nn4beg[14] , \tile_x12y12_nn4beg[13] , \tile_x12y12_nn4beg[12] , \tile_x12y12_nn4beg[11] , \tile_x12y12_nn4beg[10] , \tile_x12y12_nn4beg[9] , \tile_x12y12_nn4beg[8] , \tile_x12y12_nn4beg[7] , \tile_x12y12_nn4beg[6] , \tile_x12y12_nn4beg[5] , \tile_x12y12_nn4beg[4] , \tile_x12y12_nn4beg[3] , \tile_x12y12_nn4beg[2] , \tile_x12y12_nn4beg[1] , \tile_x12y12_nn4beg[0] }),
.nn4end({ \tile_x12y13_nn4beg[15] , \tile_x12y13_nn4beg[14] , \tile_x12y13_nn4beg[13] , \tile_x12y13_nn4beg[12] , \tile_x12y13_nn4beg[11] , \tile_x12y13_nn4beg[10] , \tile_x12y13_nn4beg[9] , \tile_x12y13_nn4beg[8] , \tile_x12y13_nn4beg[7] , \tile_x12y13_nn4beg[6] , \tile_x12y13_nn4beg[5] , \tile_x12y13_nn4beg[4] , \tile_x12y13_nn4beg[3] , \tile_x12y13_nn4beg[2] , \tile_x12y13_nn4beg[1] , \tile_x12y13_nn4beg[0] }),
.s1beg({ \tile_x12y12_s1beg[3] , \tile_x12y12_s1beg[2] , \tile_x12y12_s1beg[1] , \tile_x12y12_s1beg[0] }),
.s1end({ \tile_x12y11_s1beg[3] , \tile_x12y11_s1beg[2] , \tile_x12y11_s1beg[1] , \tile_x12y11_s1beg[0] }),
.s2beg({ \tile_x12y12_s2beg[7] , \tile_x12y12_s2beg[6] , \tile_x12y12_s2beg[5] , \tile_x12y12_s2beg[4] , \tile_x12y12_s2beg[3] , \tile_x12y12_s2beg[2] , \tile_x12y12_s2beg[1] , \tile_x12y12_s2beg[0] }),
.s2begb({ \tile_x12y12_s2begb[7] , \tile_x12y12_s2begb[6] , \tile_x12y12_s2begb[5] , \tile_x12y12_s2begb[4] , \tile_x12y12_s2begb[3] , \tile_x12y12_s2begb[2] , \tile_x12y12_s2begb[1] , \tile_x12y12_s2begb[0] }),
.s2end({ \tile_x12y11_s2begb[7] , \tile_x12y11_s2begb[6] , \tile_x12y11_s2begb[5] , \tile_x12y11_s2begb[4] , \tile_x12y11_s2begb[3] , \tile_x12y11_s2begb[2] , \tile_x12y11_s2begb[1] , \tile_x12y11_s2begb[0] }),
.s2mid({ \tile_x12y11_s2beg[7] , \tile_x12y11_s2beg[6] , \tile_x12y11_s2beg[5] , \tile_x12y11_s2beg[4] , \tile_x12y11_s2beg[3] , \tile_x12y11_s2beg[2] , \tile_x12y11_s2beg[1] , \tile_x12y11_s2beg[0] }),
.s4beg({ \tile_x12y12_s4beg[15] , \tile_x12y12_s4beg[14] , \tile_x12y12_s4beg[13] , \tile_x12y12_s4beg[12] , \tile_x12y12_s4beg[11] , \tile_x12y12_s4beg[10] , \tile_x12y12_s4beg[9] , \tile_x12y12_s4beg[8] , \tile_x12y12_s4beg[7] , \tile_x12y12_s4beg[6] , \tile_x12y12_s4beg[5] , \tile_x12y12_s4beg[4] , \tile_x12y12_s4beg[3] , \tile_x12y12_s4beg[2] , \tile_x12y12_s4beg[1] , \tile_x12y12_s4beg[0] }),
.s4end({ \tile_x12y11_s4beg[15] , \tile_x12y11_s4beg[14] , \tile_x12y11_s4beg[13] , \tile_x12y11_s4beg[12] , \tile_x12y11_s4beg[11] , \tile_x12y11_s4beg[10] , \tile_x12y11_s4beg[9] , \tile_x12y11_s4beg[8] , \tile_x12y11_s4beg[7] , \tile_x12y11_s4beg[6] , \tile_x12y11_s4beg[5] , \tile_x12y11_s4beg[4] , \tile_x12y11_s4beg[3] , \tile_x12y11_s4beg[2] , \tile_x12y11_s4beg[1] , \tile_x12y11_s4beg[0] }),
.ss4beg({ \tile_x12y12_ss4beg[15] , \tile_x12y12_ss4beg[14] , \tile_x12y12_ss4beg[13] , \tile_x12y12_ss4beg[12] , \tile_x12y12_ss4beg[11] , \tile_x12y12_ss4beg[10] , \tile_x12y12_ss4beg[9] , \tile_x12y12_ss4beg[8] , \tile_x12y12_ss4beg[7] , \tile_x12y12_ss4beg[6] , \tile_x12y12_ss4beg[5] , \tile_x12y12_ss4beg[4] , \tile_x12y12_ss4beg[3] , \tile_x12y12_ss4beg[2] , \tile_x12y12_ss4beg[1] , \tile_x12y12_ss4beg[0] }),
.ss4end({ \tile_x12y11_ss4beg[15] , \tile_x12y11_ss4beg[14] , \tile_x12y11_ss4beg[13] , \tile_x12y11_ss4beg[12] , \tile_x12y11_ss4beg[11] , \tile_x12y11_ss4beg[10] , \tile_x12y11_ss4beg[9] , \tile_x12y11_ss4beg[8] , \tile_x12y11_ss4beg[7] , \tile_x12y11_ss4beg[6] , \tile_x12y11_ss4beg[5] , \tile_x12y11_ss4beg[4] , \tile_x12y11_ss4beg[3] , \tile_x12y11_ss4beg[2] , \tile_x12y11_ss4beg[1] , \tile_x12y11_ss4beg[0] }),
.userclk(tile_x12y13_userclko),
.userclko(tile_x12y12_userclko),
.w1beg({ \tile_x12y12_w1beg[3] , \tile_x12y12_w1beg[2] , \tile_x12y12_w1beg[1] , \tile_x12y12_w1beg[0] }),
.w1end({ \tile_x13y12_w1beg[3] , \tile_x13y12_w1beg[2] , \tile_x13y12_w1beg[1] , \tile_x13y12_w1beg[0] }),
.w2beg({ \tile_x12y12_w2beg[7] , \tile_x12y12_w2beg[6] , \tile_x12y12_w2beg[5] , \tile_x12y12_w2beg[4] , \tile_x12y12_w2beg[3] , \tile_x12y12_w2beg[2] , \tile_x12y12_w2beg[1] , \tile_x12y12_w2beg[0] }),
.w2begb({ \tile_x12y12_w2begb[7] , \tile_x12y12_w2begb[6] , \tile_x12y12_w2begb[5] , \tile_x12y12_w2begb[4] , \tile_x12y12_w2begb[3] , \tile_x12y12_w2begb[2] , \tile_x12y12_w2begb[1] , \tile_x12y12_w2begb[0] }),
.w2end({ \tile_x13y12_w2begb[7] , \tile_x13y12_w2begb[6] , \tile_x13y12_w2begb[5] , \tile_x13y12_w2begb[4] , \tile_x13y12_w2begb[3] , \tile_x13y12_w2begb[2] , \tile_x13y12_w2begb[1] , \tile_x13y12_w2begb[0] }),
.w2mid({ \tile_x13y12_w2beg[7] , \tile_x13y12_w2beg[6] , \tile_x13y12_w2beg[5] , \tile_x13y12_w2beg[4] , \tile_x13y12_w2beg[3] , \tile_x13y12_w2beg[2] , \tile_x13y12_w2beg[1] , \tile_x13y12_w2beg[0] }),
.w6beg({ \tile_x12y12_w6beg[11] , \tile_x12y12_w6beg[10] , \tile_x12y12_w6beg[9] , \tile_x12y12_w6beg[8] , \tile_x12y12_w6beg[7] , \tile_x12y12_w6beg[6] , \tile_x12y12_w6beg[5] , \tile_x12y12_w6beg[4] , \tile_x12y12_w6beg[3] , \tile_x12y12_w6beg[2] , \tile_x12y12_w6beg[1] , \tile_x12y12_w6beg[0] }),
.w6end({ \tile_x13y12_w6beg[11] , \tile_x13y12_w6beg[10] , \tile_x13y12_w6beg[9] , \tile_x13y12_w6beg[8] , \tile_x13y12_w6beg[7] , \tile_x13y12_w6beg[6] , \tile_x13y12_w6beg[5] , \tile_x13y12_w6beg[4] , \tile_x13y12_w6beg[3] , \tile_x13y12_w6beg[2] , \tile_x13y12_w6beg[1] , \tile_x13y12_w6beg[0] }),
.ww4beg({ \tile_x12y12_ww4beg[15] , \tile_x12y12_ww4beg[14] , \tile_x12y12_ww4beg[13] , \tile_x12y12_ww4beg[12] , \tile_x12y12_ww4beg[11] , \tile_x12y12_ww4beg[10] , \tile_x12y12_ww4beg[9] , \tile_x12y12_ww4beg[8] , \tile_x12y12_ww4beg[7] , \tile_x12y12_ww4beg[6] , \tile_x12y12_ww4beg[5] , \tile_x12y12_ww4beg[4] , \tile_x12y12_ww4beg[3] , \tile_x12y12_ww4beg[2] , \tile_x12y12_ww4beg[1] , \tile_x12y12_ww4beg[0] }),
.ww4end({ \tile_x13y12_ww4beg[15] , \tile_x13y12_ww4beg[14] , \tile_x13y12_ww4beg[13] , \tile_x13y12_ww4beg[12] , \tile_x13y12_ww4beg[11] , \tile_x13y12_ww4beg[10] , \tile_x13y12_ww4beg[9] , \tile_x13y12_ww4beg[8] , \tile_x13y12_ww4beg[7] , \tile_x13y12_ww4beg[6] , \tile_x13y12_ww4beg[5] , \tile_x13y12_ww4beg[4] , \tile_x13y12_ww4beg[3] , \tile_x13y12_ww4beg[2] , \tile_x13y12_ww4beg[1] , \tile_x13y12_ww4beg[0] })
);
regfile tile_x12y13_regfile (
.e1beg({ \tile_x12y13_e1beg[3] , \tile_x12y13_e1beg[2] , \tile_x12y13_e1beg[1] , \tile_x12y13_e1beg[0] }),
.e1end({ \tile_x11y13_e1beg[3] , \tile_x11y13_e1beg[2] , \tile_x11y13_e1beg[1] , \tile_x11y13_e1beg[0] }),
.e2beg({ \tile_x12y13_e2beg[7] , \tile_x12y13_e2beg[6] , \tile_x12y13_e2beg[5] , \tile_x12y13_e2beg[4] , \tile_x12y13_e2beg[3] , \tile_x12y13_e2beg[2] , \tile_x12y13_e2beg[1] , \tile_x12y13_e2beg[0] }),
.e2begb({ \tile_x12y13_e2begb[7] , \tile_x12y13_e2begb[6] , \tile_x12y13_e2begb[5] , \tile_x12y13_e2begb[4] , \tile_x12y13_e2begb[3] , \tile_x12y13_e2begb[2] , \tile_x12y13_e2begb[1] , \tile_x12y13_e2begb[0] }),
.e2end({ \tile_x11y13_e2begb[7] , \tile_x11y13_e2begb[6] , \tile_x11y13_e2begb[5] , \tile_x11y13_e2begb[4] , \tile_x11y13_e2begb[3] , \tile_x11y13_e2begb[2] , \tile_x11y13_e2begb[1] , \tile_x11y13_e2begb[0] }),
.e2mid({ \tile_x11y13_e2beg[7] , \tile_x11y13_e2beg[6] , \tile_x11y13_e2beg[5] , \tile_x11y13_e2beg[4] , \tile_x11y13_e2beg[3] , \tile_x11y13_e2beg[2] , \tile_x11y13_e2beg[1] , \tile_x11y13_e2beg[0] }),
.e6beg({ \tile_x12y13_e6beg[11] , \tile_x12y13_e6beg[10] , \tile_x12y13_e6beg[9] , \tile_x12y13_e6beg[8] , \tile_x12y13_e6beg[7] , \tile_x12y13_e6beg[6] , \tile_x12y13_e6beg[5] , \tile_x12y13_e6beg[4] , \tile_x12y13_e6beg[3] , \tile_x12y13_e6beg[2] , \tile_x12y13_e6beg[1] , \tile_x12y13_e6beg[0] }),
.e6end({ \tile_x11y13_e6beg[11] , \tile_x11y13_e6beg[10] , \tile_x11y13_e6beg[9] , \tile_x11y13_e6beg[8] , \tile_x11y13_e6beg[7] , \tile_x11y13_e6beg[6] , \tile_x11y13_e6beg[5] , \tile_x11y13_e6beg[4] , \tile_x11y13_e6beg[3] , \tile_x11y13_e6beg[2] , \tile_x11y13_e6beg[1] , \tile_x11y13_e6beg[0] }),
.ee4beg({ \tile_x12y13_ee4beg[15] , \tile_x12y13_ee4beg[14] , \tile_x12y13_ee4beg[13] , \tile_x12y13_ee4beg[12] , \tile_x12y13_ee4beg[11] , \tile_x12y13_ee4beg[10] , \tile_x12y13_ee4beg[9] , \tile_x12y13_ee4beg[8] , \tile_x12y13_ee4beg[7] , \tile_x12y13_ee4beg[6] , \tile_x12y13_ee4beg[5] , \tile_x12y13_ee4beg[4] , \tile_x12y13_ee4beg[3] , \tile_x12y13_ee4beg[2] , \tile_x12y13_ee4beg[1] , \tile_x12y13_ee4beg[0] }),
.ee4end({ \tile_x11y13_ee4beg[15] , \tile_x11y13_ee4beg[14] , \tile_x11y13_ee4beg[13] , \tile_x11y13_ee4beg[12] , \tile_x11y13_ee4beg[11] , \tile_x11y13_ee4beg[10] , \tile_x11y13_ee4beg[9] , \tile_x11y13_ee4beg[8] , \tile_x11y13_ee4beg[7] , \tile_x11y13_ee4beg[6] , \tile_x11y13_ee4beg[5] , \tile_x11y13_ee4beg[4] , \tile_x11y13_ee4beg[3] , \tile_x11y13_ee4beg[2] , \tile_x11y13_ee4beg[1] , \tile_x11y13_ee4beg[0] }),
.framedata({ \tile_x11y13_framedata_o[31] , \tile_x11y13_framedata_o[30] , \tile_x11y13_framedata_o[29] , \tile_x11y13_framedata_o[28] , \tile_x11y13_framedata_o[27] , \tile_x11y13_framedata_o[26] , \tile_x11y13_framedata_o[25] , \tile_x11y13_framedata_o[24] , \tile_x11y13_framedata_o[23] , \tile_x11y13_framedata_o[22] , \tile_x11y13_framedata_o[21] , \tile_x11y13_framedata_o[20] , \tile_x11y13_framedata_o[19] , \tile_x11y13_framedata_o[18] , \tile_x11y13_framedata_o[17] , \tile_x11y13_framedata_o[16] , \tile_x11y13_framedata_o[15] , \tile_x11y13_framedata_o[14] , \tile_x11y13_framedata_o[13] , \tile_x11y13_framedata_o[12] , \tile_x11y13_framedata_o[11] , \tile_x11y13_framedata_o[10] , \tile_x11y13_framedata_o[9] , \tile_x11y13_framedata_o[8] , \tile_x11y13_framedata_o[7] , \tile_x11y13_framedata_o[6] , \tile_x11y13_framedata_o[5] , \tile_x11y13_framedata_o[4] , \tile_x11y13_framedata_o[3] , \tile_x11y13_framedata_o[2] , \tile_x11y13_framedata_o[1] , \tile_x11y13_framedata_o[0] }),
.framedata_o({ \tile_x12y13_framedata_o[31] , \tile_x12y13_framedata_o[30] , \tile_x12y13_framedata_o[29] , \tile_x12y13_framedata_o[28] , \tile_x12y13_framedata_o[27] , \tile_x12y13_framedata_o[26] , \tile_x12y13_framedata_o[25] , \tile_x12y13_framedata_o[24] , \tile_x12y13_framedata_o[23] , \tile_x12y13_framedata_o[22] , \tile_x12y13_framedata_o[21] , \tile_x12y13_framedata_o[20] , \tile_x12y13_framedata_o[19] , \tile_x12y13_framedata_o[18] , \tile_x12y13_framedata_o[17] , \tile_x12y13_framedata_o[16] , \tile_x12y13_framedata_o[15] , \tile_x12y13_framedata_o[14] , \tile_x12y13_framedata_o[13] , \tile_x12y13_framedata_o[12] , \tile_x12y13_framedata_o[11] , \tile_x12y13_framedata_o[10] , \tile_x12y13_framedata_o[9] , \tile_x12y13_framedata_o[8] , \tile_x12y13_framedata_o[7] , \tile_x12y13_framedata_o[6] , \tile_x12y13_framedata_o[5] , \tile_x12y13_framedata_o[4] , \tile_x12y13_framedata_o[3] , \tile_x12y13_framedata_o[2] , \tile_x12y13_framedata_o[1] , \tile_x12y13_framedata_o[0] }),
.framestrobe({ \tile_x12y14_framestrobe_o[19] , \tile_x12y14_framestrobe_o[18] , \tile_x12y14_framestrobe_o[17] , \tile_x12y14_framestrobe_o[16] , \tile_x12y14_framestrobe_o[15] , \tile_x12y14_framestrobe_o[14] , \tile_x12y14_framestrobe_o[13] , \tile_x12y14_framestrobe_o[12] , \tile_x12y14_framestrobe_o[11] , \tile_x12y14_framestrobe_o[10] , \tile_x12y14_framestrobe_o[9] , \tile_x12y14_framestrobe_o[8] , \tile_x12y14_framestrobe_o[7] , \tile_x12y14_framestrobe_o[6] , \tile_x12y14_framestrobe_o[5] , \tile_x12y14_framestrobe_o[4] , \tile_x12y14_framestrobe_o[3] , \tile_x12y14_framestrobe_o[2] , \tile_x12y14_framestrobe_o[1] , \tile_x12y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x12y13_framestrobe_o[19] , \tile_x12y13_framestrobe_o[18] , \tile_x12y13_framestrobe_o[17] , \tile_x12y13_framestrobe_o[16] , \tile_x12y13_framestrobe_o[15] , \tile_x12y13_framestrobe_o[14] , \tile_x12y13_framestrobe_o[13] , \tile_x12y13_framestrobe_o[12] , \tile_x12y13_framestrobe_o[11] , \tile_x12y13_framestrobe_o[10] , \tile_x12y13_framestrobe_o[9] , \tile_x12y13_framestrobe_o[8] , \tile_x12y13_framestrobe_o[7] , \tile_x12y13_framestrobe_o[6] , \tile_x12y13_framestrobe_o[5] , \tile_x12y13_framestrobe_o[4] , \tile_x12y13_framestrobe_o[3] , \tile_x12y13_framestrobe_o[2] , \tile_x12y13_framestrobe_o[1] , \tile_x12y13_framestrobe_o[0] }),
.n1beg({ \tile_x12y13_n1beg[3] , \tile_x12y13_n1beg[2] , \tile_x12y13_n1beg[1] , \tile_x12y13_n1beg[0] }),
.n1end({ \tile_x12y14_n1beg[3] , \tile_x12y14_n1beg[2] , \tile_x12y14_n1beg[1] , \tile_x12y14_n1beg[0] }),
.n2beg({ \tile_x12y13_n2beg[7] , \tile_x12y13_n2beg[6] , \tile_x12y13_n2beg[5] , \tile_x12y13_n2beg[4] , \tile_x12y13_n2beg[3] , \tile_x12y13_n2beg[2] , \tile_x12y13_n2beg[1] , \tile_x12y13_n2beg[0] }),
.n2begb({ \tile_x12y13_n2begb[7] , \tile_x12y13_n2begb[6] , \tile_x12y13_n2begb[5] , \tile_x12y13_n2begb[4] , \tile_x12y13_n2begb[3] , \tile_x12y13_n2begb[2] , \tile_x12y13_n2begb[1] , \tile_x12y13_n2begb[0] }),
.n2end({ \tile_x12y14_n2begb[7] , \tile_x12y14_n2begb[6] , \tile_x12y14_n2begb[5] , \tile_x12y14_n2begb[4] , \tile_x12y14_n2begb[3] , \tile_x12y14_n2begb[2] , \tile_x12y14_n2begb[1] , \tile_x12y14_n2begb[0] }),
.n2mid({ \tile_x12y14_n2beg[7] , \tile_x12y14_n2beg[6] , \tile_x12y14_n2beg[5] , \tile_x12y14_n2beg[4] , \tile_x12y14_n2beg[3] , \tile_x12y14_n2beg[2] , \tile_x12y14_n2beg[1] , \tile_x12y14_n2beg[0] }),
.n4beg({ \tile_x12y13_n4beg[15] , \tile_x12y13_n4beg[14] , \tile_x12y13_n4beg[13] , \tile_x12y13_n4beg[12] , \tile_x12y13_n4beg[11] , \tile_x12y13_n4beg[10] , \tile_x12y13_n4beg[9] , \tile_x12y13_n4beg[8] , \tile_x12y13_n4beg[7] , \tile_x12y13_n4beg[6] , \tile_x12y13_n4beg[5] , \tile_x12y13_n4beg[4] , \tile_x12y13_n4beg[3] , \tile_x12y13_n4beg[2] , \tile_x12y13_n4beg[1] , \tile_x12y13_n4beg[0] }),
.n4end({ \tile_x12y14_n4beg[15] , \tile_x12y14_n4beg[14] , \tile_x12y14_n4beg[13] , \tile_x12y14_n4beg[12] , \tile_x12y14_n4beg[11] , \tile_x12y14_n4beg[10] , \tile_x12y14_n4beg[9] , \tile_x12y14_n4beg[8] , \tile_x12y14_n4beg[7] , \tile_x12y14_n4beg[6] , \tile_x12y14_n4beg[5] , \tile_x12y14_n4beg[4] , \tile_x12y14_n4beg[3] , \tile_x12y14_n4beg[2] , \tile_x12y14_n4beg[1] , \tile_x12y14_n4beg[0] }),
.nn4beg({ \tile_x12y13_nn4beg[15] , \tile_x12y13_nn4beg[14] , \tile_x12y13_nn4beg[13] , \tile_x12y13_nn4beg[12] , \tile_x12y13_nn4beg[11] , \tile_x12y13_nn4beg[10] , \tile_x12y13_nn4beg[9] , \tile_x12y13_nn4beg[8] , \tile_x12y13_nn4beg[7] , \tile_x12y13_nn4beg[6] , \tile_x12y13_nn4beg[5] , \tile_x12y13_nn4beg[4] , \tile_x12y13_nn4beg[3] , \tile_x12y13_nn4beg[2] , \tile_x12y13_nn4beg[1] , \tile_x12y13_nn4beg[0] }),
.nn4end({ \tile_x12y14_nn4beg[15] , \tile_x12y14_nn4beg[14] , \tile_x12y14_nn4beg[13] , \tile_x12y14_nn4beg[12] , \tile_x12y14_nn4beg[11] , \tile_x12y14_nn4beg[10] , \tile_x12y14_nn4beg[9] , \tile_x12y14_nn4beg[8] , \tile_x12y14_nn4beg[7] , \tile_x12y14_nn4beg[6] , \tile_x12y14_nn4beg[5] , \tile_x12y14_nn4beg[4] , \tile_x12y14_nn4beg[3] , \tile_x12y14_nn4beg[2] , \tile_x12y14_nn4beg[1] , \tile_x12y14_nn4beg[0] }),
.s1beg({ \tile_x12y13_s1beg[3] , \tile_x12y13_s1beg[2] , \tile_x12y13_s1beg[1] , \tile_x12y13_s1beg[0] }),
.s1end({ \tile_x12y12_s1beg[3] , \tile_x12y12_s1beg[2] , \tile_x12y12_s1beg[1] , \tile_x12y12_s1beg[0] }),
.s2beg({ \tile_x12y13_s2beg[7] , \tile_x12y13_s2beg[6] , \tile_x12y13_s2beg[5] , \tile_x12y13_s2beg[4] , \tile_x12y13_s2beg[3] , \tile_x12y13_s2beg[2] , \tile_x12y13_s2beg[1] , \tile_x12y13_s2beg[0] }),
.s2begb({ \tile_x12y13_s2begb[7] , \tile_x12y13_s2begb[6] , \tile_x12y13_s2begb[5] , \tile_x12y13_s2begb[4] , \tile_x12y13_s2begb[3] , \tile_x12y13_s2begb[2] , \tile_x12y13_s2begb[1] , \tile_x12y13_s2begb[0] }),
.s2end({ \tile_x12y12_s2begb[7] , \tile_x12y12_s2begb[6] , \tile_x12y12_s2begb[5] , \tile_x12y12_s2begb[4] , \tile_x12y12_s2begb[3] , \tile_x12y12_s2begb[2] , \tile_x12y12_s2begb[1] , \tile_x12y12_s2begb[0] }),
.s2mid({ \tile_x12y12_s2beg[7] , \tile_x12y12_s2beg[6] , \tile_x12y12_s2beg[5] , \tile_x12y12_s2beg[4] , \tile_x12y12_s2beg[3] , \tile_x12y12_s2beg[2] , \tile_x12y12_s2beg[1] , \tile_x12y12_s2beg[0] }),
.s4beg({ \tile_x12y13_s4beg[15] , \tile_x12y13_s4beg[14] , \tile_x12y13_s4beg[13] , \tile_x12y13_s4beg[12] , \tile_x12y13_s4beg[11] , \tile_x12y13_s4beg[10] , \tile_x12y13_s4beg[9] , \tile_x12y13_s4beg[8] , \tile_x12y13_s4beg[7] , \tile_x12y13_s4beg[6] , \tile_x12y13_s4beg[5] , \tile_x12y13_s4beg[4] , \tile_x12y13_s4beg[3] , \tile_x12y13_s4beg[2] , \tile_x12y13_s4beg[1] , \tile_x12y13_s4beg[0] }),
.s4end({ \tile_x12y12_s4beg[15] , \tile_x12y12_s4beg[14] , \tile_x12y12_s4beg[13] , \tile_x12y12_s4beg[12] , \tile_x12y12_s4beg[11] , \tile_x12y12_s4beg[10] , \tile_x12y12_s4beg[9] , \tile_x12y12_s4beg[8] , \tile_x12y12_s4beg[7] , \tile_x12y12_s4beg[6] , \tile_x12y12_s4beg[5] , \tile_x12y12_s4beg[4] , \tile_x12y12_s4beg[3] , \tile_x12y12_s4beg[2] , \tile_x12y12_s4beg[1] , \tile_x12y12_s4beg[0] }),
.ss4beg({ \tile_x12y13_ss4beg[15] , \tile_x12y13_ss4beg[14] , \tile_x12y13_ss4beg[13] , \tile_x12y13_ss4beg[12] , \tile_x12y13_ss4beg[11] , \tile_x12y13_ss4beg[10] , \tile_x12y13_ss4beg[9] , \tile_x12y13_ss4beg[8] , \tile_x12y13_ss4beg[7] , \tile_x12y13_ss4beg[6] , \tile_x12y13_ss4beg[5] , \tile_x12y13_ss4beg[4] , \tile_x12y13_ss4beg[3] , \tile_x12y13_ss4beg[2] , \tile_x12y13_ss4beg[1] , \tile_x12y13_ss4beg[0] }),
.ss4end({ \tile_x12y12_ss4beg[15] , \tile_x12y12_ss4beg[14] , \tile_x12y12_ss4beg[13] , \tile_x12y12_ss4beg[12] , \tile_x12y12_ss4beg[11] , \tile_x12y12_ss4beg[10] , \tile_x12y12_ss4beg[9] , \tile_x12y12_ss4beg[8] , \tile_x12y12_ss4beg[7] , \tile_x12y12_ss4beg[6] , \tile_x12y12_ss4beg[5] , \tile_x12y12_ss4beg[4] , \tile_x12y12_ss4beg[3] , \tile_x12y12_ss4beg[2] , \tile_x12y12_ss4beg[1] , \tile_x12y12_ss4beg[0] }),
.userclk(tile_x12y14_userclko),
.userclko(tile_x12y13_userclko),
.w1beg({ \tile_x12y13_w1beg[3] , \tile_x12y13_w1beg[2] , \tile_x12y13_w1beg[1] , \tile_x12y13_w1beg[0] }),
.w1end({ \tile_x13y13_w1beg[3] , \tile_x13y13_w1beg[2] , \tile_x13y13_w1beg[1] , \tile_x13y13_w1beg[0] }),
.w2beg({ \tile_x12y13_w2beg[7] , \tile_x12y13_w2beg[6] , \tile_x12y13_w2beg[5] , \tile_x12y13_w2beg[4] , \tile_x12y13_w2beg[3] , \tile_x12y13_w2beg[2] , \tile_x12y13_w2beg[1] , \tile_x12y13_w2beg[0] }),
.w2begb({ \tile_x12y13_w2begb[7] , \tile_x12y13_w2begb[6] , \tile_x12y13_w2begb[5] , \tile_x12y13_w2begb[4] , \tile_x12y13_w2begb[3] , \tile_x12y13_w2begb[2] , \tile_x12y13_w2begb[1] , \tile_x12y13_w2begb[0] }),
.w2end({ \tile_x13y13_w2begb[7] , \tile_x13y13_w2begb[6] , \tile_x13y13_w2begb[5] , \tile_x13y13_w2begb[4] , \tile_x13y13_w2begb[3] , \tile_x13y13_w2begb[2] , \tile_x13y13_w2begb[1] , \tile_x13y13_w2begb[0] }),
.w2mid({ \tile_x13y13_w2beg[7] , \tile_x13y13_w2beg[6] , \tile_x13y13_w2beg[5] , \tile_x13y13_w2beg[4] , \tile_x13y13_w2beg[3] , \tile_x13y13_w2beg[2] , \tile_x13y13_w2beg[1] , \tile_x13y13_w2beg[0] }),
.w6beg({ \tile_x12y13_w6beg[11] , \tile_x12y13_w6beg[10] , \tile_x12y13_w6beg[9] , \tile_x12y13_w6beg[8] , \tile_x12y13_w6beg[7] , \tile_x12y13_w6beg[6] , \tile_x12y13_w6beg[5] , \tile_x12y13_w6beg[4] , \tile_x12y13_w6beg[3] , \tile_x12y13_w6beg[2] , \tile_x12y13_w6beg[1] , \tile_x12y13_w6beg[0] }),
.w6end({ \tile_x13y13_w6beg[11] , \tile_x13y13_w6beg[10] , \tile_x13y13_w6beg[9] , \tile_x13y13_w6beg[8] , \tile_x13y13_w6beg[7] , \tile_x13y13_w6beg[6] , \tile_x13y13_w6beg[5] , \tile_x13y13_w6beg[4] , \tile_x13y13_w6beg[3] , \tile_x13y13_w6beg[2] , \tile_x13y13_w6beg[1] , \tile_x13y13_w6beg[0] }),
.ww4beg({ \tile_x12y13_ww4beg[15] , \tile_x12y13_ww4beg[14] , \tile_x12y13_ww4beg[13] , \tile_x12y13_ww4beg[12] , \tile_x12y13_ww4beg[11] , \tile_x12y13_ww4beg[10] , \tile_x12y13_ww4beg[9] , \tile_x12y13_ww4beg[8] , \tile_x12y13_ww4beg[7] , \tile_x12y13_ww4beg[6] , \tile_x12y13_ww4beg[5] , \tile_x12y13_ww4beg[4] , \tile_x12y13_ww4beg[3] , \tile_x12y13_ww4beg[2] , \tile_x12y13_ww4beg[1] , \tile_x12y13_ww4beg[0] }),
.ww4end({ \tile_x13y13_ww4beg[15] , \tile_x13y13_ww4beg[14] , \tile_x13y13_ww4beg[13] , \tile_x13y13_ww4beg[12] , \tile_x13y13_ww4beg[11] , \tile_x13y13_ww4beg[10] , \tile_x13y13_ww4beg[9] , \tile_x13y13_ww4beg[8] , \tile_x13y13_ww4beg[7] , \tile_x13y13_ww4beg[6] , \tile_x13y13_ww4beg[5] , \tile_x13y13_ww4beg[4] , \tile_x13y13_ww4beg[3] , \tile_x13y13_ww4beg[2] , \tile_x13y13_ww4beg[1] , \tile_x13y13_ww4beg[0] })
);
regfile tile_x12y14_regfile (
.e1beg({ \tile_x12y14_e1beg[3] , \tile_x12y14_e1beg[2] , \tile_x12y14_e1beg[1] , \tile_x12y14_e1beg[0] }),
.e1end({ \tile_x11y14_e1beg[3] , \tile_x11y14_e1beg[2] , \tile_x11y14_e1beg[1] , \tile_x11y14_e1beg[0] }),
.e2beg({ \tile_x12y14_e2beg[7] , \tile_x12y14_e2beg[6] , \tile_x12y14_e2beg[5] , \tile_x12y14_e2beg[4] , \tile_x12y14_e2beg[3] , \tile_x12y14_e2beg[2] , \tile_x12y14_e2beg[1] , \tile_x12y14_e2beg[0] }),
.e2begb({ \tile_x12y14_e2begb[7] , \tile_x12y14_e2begb[6] , \tile_x12y14_e2begb[5] , \tile_x12y14_e2begb[4] , \tile_x12y14_e2begb[3] , \tile_x12y14_e2begb[2] , \tile_x12y14_e2begb[1] , \tile_x12y14_e2begb[0] }),
.e2end({ \tile_x11y14_e2begb[7] , \tile_x11y14_e2begb[6] , \tile_x11y14_e2begb[5] , \tile_x11y14_e2begb[4] , \tile_x11y14_e2begb[3] , \tile_x11y14_e2begb[2] , \tile_x11y14_e2begb[1] , \tile_x11y14_e2begb[0] }),
.e2mid({ \tile_x11y14_e2beg[7] , \tile_x11y14_e2beg[6] , \tile_x11y14_e2beg[5] , \tile_x11y14_e2beg[4] , \tile_x11y14_e2beg[3] , \tile_x11y14_e2beg[2] , \tile_x11y14_e2beg[1] , \tile_x11y14_e2beg[0] }),
.e6beg({ \tile_x12y14_e6beg[11] , \tile_x12y14_e6beg[10] , \tile_x12y14_e6beg[9] , \tile_x12y14_e6beg[8] , \tile_x12y14_e6beg[7] , \tile_x12y14_e6beg[6] , \tile_x12y14_e6beg[5] , \tile_x12y14_e6beg[4] , \tile_x12y14_e6beg[3] , \tile_x12y14_e6beg[2] , \tile_x12y14_e6beg[1] , \tile_x12y14_e6beg[0] }),
.e6end({ \tile_x11y14_e6beg[11] , \tile_x11y14_e6beg[10] , \tile_x11y14_e6beg[9] , \tile_x11y14_e6beg[8] , \tile_x11y14_e6beg[7] , \tile_x11y14_e6beg[6] , \tile_x11y14_e6beg[5] , \tile_x11y14_e6beg[4] , \tile_x11y14_e6beg[3] , \tile_x11y14_e6beg[2] , \tile_x11y14_e6beg[1] , \tile_x11y14_e6beg[0] }),
.ee4beg({ \tile_x12y14_ee4beg[15] , \tile_x12y14_ee4beg[14] , \tile_x12y14_ee4beg[13] , \tile_x12y14_ee4beg[12] , \tile_x12y14_ee4beg[11] , \tile_x12y14_ee4beg[10] , \tile_x12y14_ee4beg[9] , \tile_x12y14_ee4beg[8] , \tile_x12y14_ee4beg[7] , \tile_x12y14_ee4beg[6] , \tile_x12y14_ee4beg[5] , \tile_x12y14_ee4beg[4] , \tile_x12y14_ee4beg[3] , \tile_x12y14_ee4beg[2] , \tile_x12y14_ee4beg[1] , \tile_x12y14_ee4beg[0] }),
.ee4end({ \tile_x11y14_ee4beg[15] , \tile_x11y14_ee4beg[14] , \tile_x11y14_ee4beg[13] , \tile_x11y14_ee4beg[12] , \tile_x11y14_ee4beg[11] , \tile_x11y14_ee4beg[10] , \tile_x11y14_ee4beg[9] , \tile_x11y14_ee4beg[8] , \tile_x11y14_ee4beg[7] , \tile_x11y14_ee4beg[6] , \tile_x11y14_ee4beg[5] , \tile_x11y14_ee4beg[4] , \tile_x11y14_ee4beg[3] , \tile_x11y14_ee4beg[2] , \tile_x11y14_ee4beg[1] , \tile_x11y14_ee4beg[0] }),
.framedata({ \tile_x11y14_framedata_o[31] , \tile_x11y14_framedata_o[30] , \tile_x11y14_framedata_o[29] , \tile_x11y14_framedata_o[28] , \tile_x11y14_framedata_o[27] , \tile_x11y14_framedata_o[26] , \tile_x11y14_framedata_o[25] , \tile_x11y14_framedata_o[24] , \tile_x11y14_framedata_o[23] , \tile_x11y14_framedata_o[22] , \tile_x11y14_framedata_o[21] , \tile_x11y14_framedata_o[20] , \tile_x11y14_framedata_o[19] , \tile_x11y14_framedata_o[18] , \tile_x11y14_framedata_o[17] , \tile_x11y14_framedata_o[16] , \tile_x11y14_framedata_o[15] , \tile_x11y14_framedata_o[14] , \tile_x11y14_framedata_o[13] , \tile_x11y14_framedata_o[12] , \tile_x11y14_framedata_o[11] , \tile_x11y14_framedata_o[10] , \tile_x11y14_framedata_o[9] , \tile_x11y14_framedata_o[8] , \tile_x11y14_framedata_o[7] , \tile_x11y14_framedata_o[6] , \tile_x11y14_framedata_o[5] , \tile_x11y14_framedata_o[4] , \tile_x11y14_framedata_o[3] , \tile_x11y14_framedata_o[2] , \tile_x11y14_framedata_o[1] , \tile_x11y14_framedata_o[0] }),
.framedata_o({ \tile_x12y14_framedata_o[31] , \tile_x12y14_framedata_o[30] , \tile_x12y14_framedata_o[29] , \tile_x12y14_framedata_o[28] , \tile_x12y14_framedata_o[27] , \tile_x12y14_framedata_o[26] , \tile_x12y14_framedata_o[25] , \tile_x12y14_framedata_o[24] , \tile_x12y14_framedata_o[23] , \tile_x12y14_framedata_o[22] , \tile_x12y14_framedata_o[21] , \tile_x12y14_framedata_o[20] , \tile_x12y14_framedata_o[19] , \tile_x12y14_framedata_o[18] , \tile_x12y14_framedata_o[17] , \tile_x12y14_framedata_o[16] , \tile_x12y14_framedata_o[15] , \tile_x12y14_framedata_o[14] , \tile_x12y14_framedata_o[13] , \tile_x12y14_framedata_o[12] , \tile_x12y14_framedata_o[11] , \tile_x12y14_framedata_o[10] , \tile_x12y14_framedata_o[9] , \tile_x12y14_framedata_o[8] , \tile_x12y14_framedata_o[7] , \tile_x12y14_framedata_o[6] , \tile_x12y14_framedata_o[5] , \tile_x12y14_framedata_o[4] , \tile_x12y14_framedata_o[3] , \tile_x12y14_framedata_o[2] , \tile_x12y14_framedata_o[1] , \tile_x12y14_framedata_o[0] }),
.framestrobe({ \tile_x12y15_framestrobe_o[19] , \tile_x12y15_framestrobe_o[18] , \tile_x12y15_framestrobe_o[17] , \tile_x12y15_framestrobe_o[16] , \tile_x12y15_framestrobe_o[15] , \tile_x12y15_framestrobe_o[14] , \tile_x12y15_framestrobe_o[13] , \tile_x12y15_framestrobe_o[12] , \tile_x12y15_framestrobe_o[11] , \tile_x12y15_framestrobe_o[10] , \tile_x12y15_framestrobe_o[9] , \tile_x12y15_framestrobe_o[8] , \tile_x12y15_framestrobe_o[7] , \tile_x12y15_framestrobe_o[6] , \tile_x12y15_framestrobe_o[5] , \tile_x12y15_framestrobe_o[4] , \tile_x12y15_framestrobe_o[3] , \tile_x12y15_framestrobe_o[2] , \tile_x12y15_framestrobe_o[1] , \tile_x12y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x12y14_framestrobe_o[19] , \tile_x12y14_framestrobe_o[18] , \tile_x12y14_framestrobe_o[17] , \tile_x12y14_framestrobe_o[16] , \tile_x12y14_framestrobe_o[15] , \tile_x12y14_framestrobe_o[14] , \tile_x12y14_framestrobe_o[13] , \tile_x12y14_framestrobe_o[12] , \tile_x12y14_framestrobe_o[11] , \tile_x12y14_framestrobe_o[10] , \tile_x12y14_framestrobe_o[9] , \tile_x12y14_framestrobe_o[8] , \tile_x12y14_framestrobe_o[7] , \tile_x12y14_framestrobe_o[6] , \tile_x12y14_framestrobe_o[5] , \tile_x12y14_framestrobe_o[4] , \tile_x12y14_framestrobe_o[3] , \tile_x12y14_framestrobe_o[2] , \tile_x12y14_framestrobe_o[1] , \tile_x12y14_framestrobe_o[0] }),
.n1beg({ \tile_x12y14_n1beg[3] , \tile_x12y14_n1beg[2] , \tile_x12y14_n1beg[1] , \tile_x12y14_n1beg[0] }),
.n1end({ \tile_x12y15_n1beg[3] , \tile_x12y15_n1beg[2] , \tile_x12y15_n1beg[1] , \tile_x12y15_n1beg[0] }),
.n2beg({ \tile_x12y14_n2beg[7] , \tile_x12y14_n2beg[6] , \tile_x12y14_n2beg[5] , \tile_x12y14_n2beg[4] , \tile_x12y14_n2beg[3] , \tile_x12y14_n2beg[2] , \tile_x12y14_n2beg[1] , \tile_x12y14_n2beg[0] }),
.n2begb({ \tile_x12y14_n2begb[7] , \tile_x12y14_n2begb[6] , \tile_x12y14_n2begb[5] , \tile_x12y14_n2begb[4] , \tile_x12y14_n2begb[3] , \tile_x12y14_n2begb[2] , \tile_x12y14_n2begb[1] , \tile_x12y14_n2begb[0] }),
.n2end({ \tile_x12y15_n2begb[7] , \tile_x12y15_n2begb[6] , \tile_x12y15_n2begb[5] , \tile_x12y15_n2begb[4] , \tile_x12y15_n2begb[3] , \tile_x12y15_n2begb[2] , \tile_x12y15_n2begb[1] , \tile_x12y15_n2begb[0] }),
.n2mid({ \tile_x12y15_n2beg[7] , \tile_x12y15_n2beg[6] , \tile_x12y15_n2beg[5] , \tile_x12y15_n2beg[4] , \tile_x12y15_n2beg[3] , \tile_x12y15_n2beg[2] , \tile_x12y15_n2beg[1] , \tile_x12y15_n2beg[0] }),
.n4beg({ \tile_x12y14_n4beg[15] , \tile_x12y14_n4beg[14] , \tile_x12y14_n4beg[13] , \tile_x12y14_n4beg[12] , \tile_x12y14_n4beg[11] , \tile_x12y14_n4beg[10] , \tile_x12y14_n4beg[9] , \tile_x12y14_n4beg[8] , \tile_x12y14_n4beg[7] , \tile_x12y14_n4beg[6] , \tile_x12y14_n4beg[5] , \tile_x12y14_n4beg[4] , \tile_x12y14_n4beg[3] , \tile_x12y14_n4beg[2] , \tile_x12y14_n4beg[1] , \tile_x12y14_n4beg[0] }),
.n4end({ \tile_x12y15_n4beg[15] , \tile_x12y15_n4beg[14] , \tile_x12y15_n4beg[13] , \tile_x12y15_n4beg[12] , \tile_x12y15_n4beg[11] , \tile_x12y15_n4beg[10] , \tile_x12y15_n4beg[9] , \tile_x12y15_n4beg[8] , \tile_x12y15_n4beg[7] , \tile_x12y15_n4beg[6] , \tile_x12y15_n4beg[5] , \tile_x12y15_n4beg[4] , \tile_x12y15_n4beg[3] , \tile_x12y15_n4beg[2] , \tile_x12y15_n4beg[1] , \tile_x12y15_n4beg[0] }),
.nn4beg({ \tile_x12y14_nn4beg[15] , \tile_x12y14_nn4beg[14] , \tile_x12y14_nn4beg[13] , \tile_x12y14_nn4beg[12] , \tile_x12y14_nn4beg[11] , \tile_x12y14_nn4beg[10] , \tile_x12y14_nn4beg[9] , \tile_x12y14_nn4beg[8] , \tile_x12y14_nn4beg[7] , \tile_x12y14_nn4beg[6] , \tile_x12y14_nn4beg[5] , \tile_x12y14_nn4beg[4] , \tile_x12y14_nn4beg[3] , \tile_x12y14_nn4beg[2] , \tile_x12y14_nn4beg[1] , \tile_x12y14_nn4beg[0] }),
.nn4end({ \tile_x12y15_nn4beg[15] , \tile_x12y15_nn4beg[14] , \tile_x12y15_nn4beg[13] , \tile_x12y15_nn4beg[12] , \tile_x12y15_nn4beg[11] , \tile_x12y15_nn4beg[10] , \tile_x12y15_nn4beg[9] , \tile_x12y15_nn4beg[8] , \tile_x12y15_nn4beg[7] , \tile_x12y15_nn4beg[6] , \tile_x12y15_nn4beg[5] , \tile_x12y15_nn4beg[4] , \tile_x12y15_nn4beg[3] , \tile_x12y15_nn4beg[2] , \tile_x12y15_nn4beg[1] , \tile_x12y15_nn4beg[0] }),
.s1beg({ \tile_x12y14_s1beg[3] , \tile_x12y14_s1beg[2] , \tile_x12y14_s1beg[1] , \tile_x12y14_s1beg[0] }),
.s1end({ \tile_x12y13_s1beg[3] , \tile_x12y13_s1beg[2] , \tile_x12y13_s1beg[1] , \tile_x12y13_s1beg[0] }),
.s2beg({ \tile_x12y14_s2beg[7] , \tile_x12y14_s2beg[6] , \tile_x12y14_s2beg[5] , \tile_x12y14_s2beg[4] , \tile_x12y14_s2beg[3] , \tile_x12y14_s2beg[2] , \tile_x12y14_s2beg[1] , \tile_x12y14_s2beg[0] }),
.s2begb({ \tile_x12y14_s2begb[7] , \tile_x12y14_s2begb[6] , \tile_x12y14_s2begb[5] , \tile_x12y14_s2begb[4] , \tile_x12y14_s2begb[3] , \tile_x12y14_s2begb[2] , \tile_x12y14_s2begb[1] , \tile_x12y14_s2begb[0] }),
.s2end({ \tile_x12y13_s2begb[7] , \tile_x12y13_s2begb[6] , \tile_x12y13_s2begb[5] , \tile_x12y13_s2begb[4] , \tile_x12y13_s2begb[3] , \tile_x12y13_s2begb[2] , \tile_x12y13_s2begb[1] , \tile_x12y13_s2begb[0] }),
.s2mid({ \tile_x12y13_s2beg[7] , \tile_x12y13_s2beg[6] , \tile_x12y13_s2beg[5] , \tile_x12y13_s2beg[4] , \tile_x12y13_s2beg[3] , \tile_x12y13_s2beg[2] , \tile_x12y13_s2beg[1] , \tile_x12y13_s2beg[0] }),
.s4beg({ \tile_x12y14_s4beg[15] , \tile_x12y14_s4beg[14] , \tile_x12y14_s4beg[13] , \tile_x12y14_s4beg[12] , \tile_x12y14_s4beg[11] , \tile_x12y14_s4beg[10] , \tile_x12y14_s4beg[9] , \tile_x12y14_s4beg[8] , \tile_x12y14_s4beg[7] , \tile_x12y14_s4beg[6] , \tile_x12y14_s4beg[5] , \tile_x12y14_s4beg[4] , \tile_x12y14_s4beg[3] , \tile_x12y14_s4beg[2] , \tile_x12y14_s4beg[1] , \tile_x12y14_s4beg[0] }),
.s4end({ \tile_x12y13_s4beg[15] , \tile_x12y13_s4beg[14] , \tile_x12y13_s4beg[13] , \tile_x12y13_s4beg[12] , \tile_x12y13_s4beg[11] , \tile_x12y13_s4beg[10] , \tile_x12y13_s4beg[9] , \tile_x12y13_s4beg[8] , \tile_x12y13_s4beg[7] , \tile_x12y13_s4beg[6] , \tile_x12y13_s4beg[5] , \tile_x12y13_s4beg[4] , \tile_x12y13_s4beg[3] , \tile_x12y13_s4beg[2] , \tile_x12y13_s4beg[1] , \tile_x12y13_s4beg[0] }),
.ss4beg({ \tile_x12y14_ss4beg[15] , \tile_x12y14_ss4beg[14] , \tile_x12y14_ss4beg[13] , \tile_x12y14_ss4beg[12] , \tile_x12y14_ss4beg[11] , \tile_x12y14_ss4beg[10] , \tile_x12y14_ss4beg[9] , \tile_x12y14_ss4beg[8] , \tile_x12y14_ss4beg[7] , \tile_x12y14_ss4beg[6] , \tile_x12y14_ss4beg[5] , \tile_x12y14_ss4beg[4] , \tile_x12y14_ss4beg[3] , \tile_x12y14_ss4beg[2] , \tile_x12y14_ss4beg[1] , \tile_x12y14_ss4beg[0] }),
.ss4end({ \tile_x12y13_ss4beg[15] , \tile_x12y13_ss4beg[14] , \tile_x12y13_ss4beg[13] , \tile_x12y13_ss4beg[12] , \tile_x12y13_ss4beg[11] , \tile_x12y13_ss4beg[10] , \tile_x12y13_ss4beg[9] , \tile_x12y13_ss4beg[8] , \tile_x12y13_ss4beg[7] , \tile_x12y13_ss4beg[6] , \tile_x12y13_ss4beg[5] , \tile_x12y13_ss4beg[4] , \tile_x12y13_ss4beg[3] , \tile_x12y13_ss4beg[2] , \tile_x12y13_ss4beg[1] , \tile_x12y13_ss4beg[0] }),
.userclk(tile_x12y15_userclko),
.userclko(tile_x12y14_userclko),
.w1beg({ \tile_x12y14_w1beg[3] , \tile_x12y14_w1beg[2] , \tile_x12y14_w1beg[1] , \tile_x12y14_w1beg[0] }),
.w1end({ \tile_x13y14_w1beg[3] , \tile_x13y14_w1beg[2] , \tile_x13y14_w1beg[1] , \tile_x13y14_w1beg[0] }),
.w2beg({ \tile_x12y14_w2beg[7] , \tile_x12y14_w2beg[6] , \tile_x12y14_w2beg[5] , \tile_x12y14_w2beg[4] , \tile_x12y14_w2beg[3] , \tile_x12y14_w2beg[2] , \tile_x12y14_w2beg[1] , \tile_x12y14_w2beg[0] }),
.w2begb({ \tile_x12y14_w2begb[7] , \tile_x12y14_w2begb[6] , \tile_x12y14_w2begb[5] , \tile_x12y14_w2begb[4] , \tile_x12y14_w2begb[3] , \tile_x12y14_w2begb[2] , \tile_x12y14_w2begb[1] , \tile_x12y14_w2begb[0] }),
.w2end({ \tile_x13y14_w2begb[7] , \tile_x13y14_w2begb[6] , \tile_x13y14_w2begb[5] , \tile_x13y14_w2begb[4] , \tile_x13y14_w2begb[3] , \tile_x13y14_w2begb[2] , \tile_x13y14_w2begb[1] , \tile_x13y14_w2begb[0] }),
.w2mid({ \tile_x13y14_w2beg[7] , \tile_x13y14_w2beg[6] , \tile_x13y14_w2beg[5] , \tile_x13y14_w2beg[4] , \tile_x13y14_w2beg[3] , \tile_x13y14_w2beg[2] , \tile_x13y14_w2beg[1] , \tile_x13y14_w2beg[0] }),
.w6beg({ \tile_x12y14_w6beg[11] , \tile_x12y14_w6beg[10] , \tile_x12y14_w6beg[9] , \tile_x12y14_w6beg[8] , \tile_x12y14_w6beg[7] , \tile_x12y14_w6beg[6] , \tile_x12y14_w6beg[5] , \tile_x12y14_w6beg[4] , \tile_x12y14_w6beg[3] , \tile_x12y14_w6beg[2] , \tile_x12y14_w6beg[1] , \tile_x12y14_w6beg[0] }),
.w6end({ \tile_x13y14_w6beg[11] , \tile_x13y14_w6beg[10] , \tile_x13y14_w6beg[9] , \tile_x13y14_w6beg[8] , \tile_x13y14_w6beg[7] , \tile_x13y14_w6beg[6] , \tile_x13y14_w6beg[5] , \tile_x13y14_w6beg[4] , \tile_x13y14_w6beg[3] , \tile_x13y14_w6beg[2] , \tile_x13y14_w6beg[1] , \tile_x13y14_w6beg[0] }),
.ww4beg({ \tile_x12y14_ww4beg[15] , \tile_x12y14_ww4beg[14] , \tile_x12y14_ww4beg[13] , \tile_x12y14_ww4beg[12] , \tile_x12y14_ww4beg[11] , \tile_x12y14_ww4beg[10] , \tile_x12y14_ww4beg[9] , \tile_x12y14_ww4beg[8] , \tile_x12y14_ww4beg[7] , \tile_x12y14_ww4beg[6] , \tile_x12y14_ww4beg[5] , \tile_x12y14_ww4beg[4] , \tile_x12y14_ww4beg[3] , \tile_x12y14_ww4beg[2] , \tile_x12y14_ww4beg[1] , \tile_x12y14_ww4beg[0] }),
.ww4end({ \tile_x13y14_ww4beg[15] , \tile_x13y14_ww4beg[14] , \tile_x13y14_ww4beg[13] , \tile_x13y14_ww4beg[12] , \tile_x13y14_ww4beg[11] , \tile_x13y14_ww4beg[10] , \tile_x13y14_ww4beg[9] , \tile_x13y14_ww4beg[8] , \tile_x13y14_ww4beg[7] , \tile_x13y14_ww4beg[6] , \tile_x13y14_ww4beg[5] , \tile_x13y14_ww4beg[4] , \tile_x13y14_ww4beg[3] , \tile_x13y14_ww4beg[2] , \tile_x13y14_ww4beg[1] , \tile_x13y14_ww4beg[0] })
);
s_term_single2 tile_x12y15_s_term_single2 (
.framestrobe(framestrobe[259:240]),
.framestrobe_o({ \tile_x12y15_framestrobe_o[19] , \tile_x12y15_framestrobe_o[18] , \tile_x12y15_framestrobe_o[17] , \tile_x12y15_framestrobe_o[16] , \tile_x12y15_framestrobe_o[15] , \tile_x12y15_framestrobe_o[14] , \tile_x12y15_framestrobe_o[13] , \tile_x12y15_framestrobe_o[12] , \tile_x12y15_framestrobe_o[11] , \tile_x12y15_framestrobe_o[10] , \tile_x12y15_framestrobe_o[9] , \tile_x12y15_framestrobe_o[8] , \tile_x12y15_framestrobe_o[7] , \tile_x12y15_framestrobe_o[6] , \tile_x12y15_framestrobe_o[5] , \tile_x12y15_framestrobe_o[4] , \tile_x12y15_framestrobe_o[3] , \tile_x12y15_framestrobe_o[2] , \tile_x12y15_framestrobe_o[1] , \tile_x12y15_framestrobe_o[0] }),
.n1beg({ \tile_x12y15_n1beg[3] , \tile_x12y15_n1beg[2] , \tile_x12y15_n1beg[1] , \tile_x12y15_n1beg[0] }),
.n2beg({ \tile_x12y15_n2beg[7] , \tile_x12y15_n2beg[6] , \tile_x12y15_n2beg[5] , \tile_x12y15_n2beg[4] , \tile_x12y15_n2beg[3] , \tile_x12y15_n2beg[2] , \tile_x12y15_n2beg[1] , \tile_x12y15_n2beg[0] }),
.n2begb({ \tile_x12y15_n2begb[7] , \tile_x12y15_n2begb[6] , \tile_x12y15_n2begb[5] , \tile_x12y15_n2begb[4] , \tile_x12y15_n2begb[3] , \tile_x12y15_n2begb[2] , \tile_x12y15_n2begb[1] , \tile_x12y15_n2begb[0] }),
.n4beg({ \tile_x12y15_n4beg[15] , \tile_x12y15_n4beg[14] , \tile_x12y15_n4beg[13] , \tile_x12y15_n4beg[12] , \tile_x12y15_n4beg[11] , \tile_x12y15_n4beg[10] , \tile_x12y15_n4beg[9] , \tile_x12y15_n4beg[8] , \tile_x12y15_n4beg[7] , \tile_x12y15_n4beg[6] , \tile_x12y15_n4beg[5] , \tile_x12y15_n4beg[4] , \tile_x12y15_n4beg[3] , \tile_x12y15_n4beg[2] , \tile_x12y15_n4beg[1] , \tile_x12y15_n4beg[0] }),
.nn4beg({ \tile_x12y15_nn4beg[15] , \tile_x12y15_nn4beg[14] , \tile_x12y15_nn4beg[13] , \tile_x12y15_nn4beg[12] , \tile_x12y15_nn4beg[11] , \tile_x12y15_nn4beg[10] , \tile_x12y15_nn4beg[9] , \tile_x12y15_nn4beg[8] , \tile_x12y15_nn4beg[7] , \tile_x12y15_nn4beg[6] , \tile_x12y15_nn4beg[5] , \tile_x12y15_nn4beg[4] , \tile_x12y15_nn4beg[3] , \tile_x12y15_nn4beg[2] , \tile_x12y15_nn4beg[1] , \tile_x12y15_nn4beg[0] }),
.s1end({ \tile_x12y14_s1beg[3] , \tile_x12y14_s1beg[2] , \tile_x12y14_s1beg[1] , \tile_x12y14_s1beg[0] }),
.s2end({ \tile_x12y14_s2begb[7] , \tile_x12y14_s2begb[6] , \tile_x12y14_s2begb[5] , \tile_x12y14_s2begb[4] , \tile_x12y14_s2begb[3] , \tile_x12y14_s2begb[2] , \tile_x12y14_s2begb[1] , \tile_x12y14_s2begb[0] }),
.s2mid({ \tile_x12y14_s2beg[7] , \tile_x12y14_s2beg[6] , \tile_x12y14_s2beg[5] , \tile_x12y14_s2beg[4] , \tile_x12y14_s2beg[3] , \tile_x12y14_s2beg[2] , \tile_x12y14_s2beg[1] , \tile_x12y14_s2beg[0] }),
.s4end({ \tile_x12y14_s4beg[15] , \tile_x12y14_s4beg[14] , \tile_x12y14_s4beg[13] , \tile_x12y14_s4beg[12] , \tile_x12y14_s4beg[11] , \tile_x12y14_s4beg[10] , \tile_x12y14_s4beg[9] , \tile_x12y14_s4beg[8] , \tile_x12y14_s4beg[7] , \tile_x12y14_s4beg[6] , \tile_x12y14_s4beg[5] , \tile_x12y14_s4beg[4] , \tile_x12y14_s4beg[3] , \tile_x12y14_s4beg[2] , \tile_x12y14_s4beg[1] , \tile_x12y14_s4beg[0] }),
.ss4end({ \tile_x12y14_ss4beg[15] , \tile_x12y14_ss4beg[14] , \tile_x12y14_ss4beg[13] , \tile_x12y14_ss4beg[12] , \tile_x12y14_ss4beg[11] , \tile_x12y14_ss4beg[10] , \tile_x12y14_ss4beg[9] , \tile_x12y14_ss4beg[8] , \tile_x12y14_ss4beg[7] , \tile_x12y14_ss4beg[6] , \tile_x12y14_ss4beg[5] , \tile_x12y14_ss4beg[4] , \tile_x12y14_ss4beg[3] , \tile_x12y14_ss4beg[2] , \tile_x12y14_ss4beg[1] , \tile_x12y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x12y15_userclko)
);
n_term_single2 tile_x12y9_n_term_single2 (
.framestrobe({ \tile_x12y10_framestrobe_o[19] , \tile_x12y10_framestrobe_o[18] , \tile_x12y10_framestrobe_o[17] , \tile_x12y10_framestrobe_o[16] , \tile_x12y10_framestrobe_o[15] , \tile_x12y10_framestrobe_o[14] , \tile_x12y10_framestrobe_o[13] , \tile_x12y10_framestrobe_o[12] , \tile_x12y10_framestrobe_o[11] , \tile_x12y10_framestrobe_o[10] , \tile_x12y10_framestrobe_o[9] , \tile_x12y10_framestrobe_o[8] , \tile_x12y10_framestrobe_o[7] , \tile_x12y10_framestrobe_o[6] , \tile_x12y10_framestrobe_o[5] , \tile_x12y10_framestrobe_o[4] , \tile_x12y10_framestrobe_o[3] , \tile_x12y10_framestrobe_o[2] , \tile_x12y10_framestrobe_o[1] , \tile_x12y10_framestrobe_o[0] }),
.framestrobe_o({ \tile_x12y9_framestrobe_o[19] , \tile_x12y9_framestrobe_o[18] , \tile_x12y9_framestrobe_o[17] , \tile_x12y9_framestrobe_o[16] , \tile_x12y9_framestrobe_o[15] , \tile_x12y9_framestrobe_o[14] , \tile_x12y9_framestrobe_o[13] , \tile_x12y9_framestrobe_o[12] , \tile_x12y9_framestrobe_o[11] , \tile_x12y9_framestrobe_o[10] , \tile_x12y9_framestrobe_o[9] , \tile_x12y9_framestrobe_o[8] , \tile_x12y9_framestrobe_o[7] , \tile_x12y9_framestrobe_o[6] , \tile_x12y9_framestrobe_o[5] , \tile_x12y9_framestrobe_o[4] , \tile_x12y9_framestrobe_o[3] , \tile_x12y9_framestrobe_o[2] , \tile_x12y9_framestrobe_o[1] , \tile_x12y9_framestrobe_o[0] }),
.n1end({ \tile_x12y10_n1beg[3] , \tile_x12y10_n1beg[2] , \tile_x12y10_n1beg[1] , \tile_x12y10_n1beg[0] }),
.n2end({ \tile_x12y10_n2begb[7] , \tile_x12y10_n2begb[6] , \tile_x12y10_n2begb[5] , \tile_x12y10_n2begb[4] , \tile_x12y10_n2begb[3] , \tile_x12y10_n2begb[2] , \tile_x12y10_n2begb[1] , \tile_x12y10_n2begb[0] }),
.n2mid({ \tile_x12y10_n2beg[7] , \tile_x12y10_n2beg[6] , \tile_x12y10_n2beg[5] , \tile_x12y10_n2beg[4] , \tile_x12y10_n2beg[3] , \tile_x12y10_n2beg[2] , \tile_x12y10_n2beg[1] , \tile_x12y10_n2beg[0] }),
.n4end({ \tile_x12y10_n4beg[15] , \tile_x12y10_n4beg[14] , \tile_x12y10_n4beg[13] , \tile_x12y10_n4beg[12] , \tile_x12y10_n4beg[11] , \tile_x12y10_n4beg[10] , \tile_x12y10_n4beg[9] , \tile_x12y10_n4beg[8] , \tile_x12y10_n4beg[7] , \tile_x12y10_n4beg[6] , \tile_x12y10_n4beg[5] , \tile_x12y10_n4beg[4] , \tile_x12y10_n4beg[3] , \tile_x12y10_n4beg[2] , \tile_x12y10_n4beg[1] , \tile_x12y10_n4beg[0] }),
.nn4end({ \tile_x12y10_nn4beg[15] , \tile_x12y10_nn4beg[14] , \tile_x12y10_nn4beg[13] , \tile_x12y10_nn4beg[12] , \tile_x12y10_nn4beg[11] , \tile_x12y10_nn4beg[10] , \tile_x12y10_nn4beg[9] , \tile_x12y10_nn4beg[8] , \tile_x12y10_nn4beg[7] , \tile_x12y10_nn4beg[6] , \tile_x12y10_nn4beg[5] , \tile_x12y10_nn4beg[4] , \tile_x12y10_nn4beg[3] , \tile_x12y10_nn4beg[2] , \tile_x12y10_nn4beg[1] , \tile_x12y10_nn4beg[0] }),
.s1beg({ \tile_x12y9_s1beg[3] , \tile_x12y9_s1beg[2] , \tile_x12y9_s1beg[1] , \tile_x12y9_s1beg[0] }),
.s2beg({ \tile_x12y9_s2beg[7] , \tile_x12y9_s2beg[6] , \tile_x12y9_s2beg[5] , \tile_x12y9_s2beg[4] , \tile_x12y9_s2beg[3] , \tile_x12y9_s2beg[2] , \tile_x12y9_s2beg[1] , \tile_x12y9_s2beg[0] }),
.s2begb({ \tile_x12y9_s2begb[7] , \tile_x12y9_s2begb[6] , \tile_x12y9_s2begb[5] , \tile_x12y9_s2begb[4] , \tile_x12y9_s2begb[3] , \tile_x12y9_s2begb[2] , \tile_x12y9_s2begb[1] , \tile_x12y9_s2begb[0] }),
.s4beg({ \tile_x12y9_s4beg[15] , \tile_x12y9_s4beg[14] , \tile_x12y9_s4beg[13] , \tile_x12y9_s4beg[12] , \tile_x12y9_s4beg[11] , \tile_x12y9_s4beg[10] , \tile_x12y9_s4beg[9] , \tile_x12y9_s4beg[8] , \tile_x12y9_s4beg[7] , \tile_x12y9_s4beg[6] , \tile_x12y9_s4beg[5] , \tile_x12y9_s4beg[4] , \tile_x12y9_s4beg[3] , \tile_x12y9_s4beg[2] , \tile_x12y9_s4beg[1] , \tile_x12y9_s4beg[0] }),
.ss4beg({ \tile_x12y9_ss4beg[15] , \tile_x12y9_ss4beg[14] , \tile_x12y9_ss4beg[13] , \tile_x12y9_ss4beg[12] , \tile_x12y9_ss4beg[11] , \tile_x12y9_ss4beg[10] , \tile_x12y9_ss4beg[9] , \tile_x12y9_ss4beg[8] , \tile_x12y9_ss4beg[7] , \tile_x12y9_ss4beg[6] , \tile_x12y9_ss4beg[5] , \tile_x12y9_ss4beg[4] , \tile_x12y9_ss4beg[3] , \tile_x12y9_ss4beg[2] , \tile_x12y9_ss4beg[1] , \tile_x12y9_ss4beg[0] }),
.userclk(tile_x12y10_userclko),
.userclko(tile_x12y9_userclko)
);
lut4ab tile_x13y10_lut4ab (
.ci(tile_x13y11_co),
.co(tile_x13y10_co),
.e1beg({ \tile_x13y10_e1beg[3] , \tile_x13y10_e1beg[2] , \tile_x13y10_e1beg[1] , \tile_x13y10_e1beg[0] }),
.e1end({ \tile_x12y10_e1beg[3] , \tile_x12y10_e1beg[2] , \tile_x12y10_e1beg[1] , \tile_x12y10_e1beg[0] }),
.e2beg({ \tile_x13y10_e2beg[7] , \tile_x13y10_e2beg[6] , \tile_x13y10_e2beg[5] , \tile_x13y10_e2beg[4] , \tile_x13y10_e2beg[3] , \tile_x13y10_e2beg[2] , \tile_x13y10_e2beg[1] , \tile_x13y10_e2beg[0] }),
.e2begb({ \tile_x13y10_e2begb[7] , \tile_x13y10_e2begb[6] , \tile_x13y10_e2begb[5] , \tile_x13y10_e2begb[4] , \tile_x13y10_e2begb[3] , \tile_x13y10_e2begb[2] , \tile_x13y10_e2begb[1] , \tile_x13y10_e2begb[0] }),
.e2end({ \tile_x12y10_e2begb[7] , \tile_x12y10_e2begb[6] , \tile_x12y10_e2begb[5] , \tile_x12y10_e2begb[4] , \tile_x12y10_e2begb[3] , \tile_x12y10_e2begb[2] , \tile_x12y10_e2begb[1] , \tile_x12y10_e2begb[0] }),
.e2mid({ \tile_x12y10_e2beg[7] , \tile_x12y10_e2beg[6] , \tile_x12y10_e2beg[5] , \tile_x12y10_e2beg[4] , \tile_x12y10_e2beg[3] , \tile_x12y10_e2beg[2] , \tile_x12y10_e2beg[1] , \tile_x12y10_e2beg[0] }),
.e6beg({ \tile_x13y10_e6beg[11] , \tile_x13y10_e6beg[10] , \tile_x13y10_e6beg[9] , \tile_x13y10_e6beg[8] , \tile_x13y10_e6beg[7] , \tile_x13y10_e6beg[6] , \tile_x13y10_e6beg[5] , \tile_x13y10_e6beg[4] , \tile_x13y10_e6beg[3] , \tile_x13y10_e6beg[2] , \tile_x13y10_e6beg[1] , \tile_x13y10_e6beg[0] }),
.e6end({ \tile_x12y10_e6beg[11] , \tile_x12y10_e6beg[10] , \tile_x12y10_e6beg[9] , \tile_x12y10_e6beg[8] , \tile_x12y10_e6beg[7] , \tile_x12y10_e6beg[6] , \tile_x12y10_e6beg[5] , \tile_x12y10_e6beg[4] , \tile_x12y10_e6beg[3] , \tile_x12y10_e6beg[2] , \tile_x12y10_e6beg[1] , \tile_x12y10_e6beg[0] }),
.ee4beg({ \tile_x13y10_ee4beg[15] , \tile_x13y10_ee4beg[14] , \tile_x13y10_ee4beg[13] , \tile_x13y10_ee4beg[12] , \tile_x13y10_ee4beg[11] , \tile_x13y10_ee4beg[10] , \tile_x13y10_ee4beg[9] , \tile_x13y10_ee4beg[8] , \tile_x13y10_ee4beg[7] , \tile_x13y10_ee4beg[6] , \tile_x13y10_ee4beg[5] , \tile_x13y10_ee4beg[4] , \tile_x13y10_ee4beg[3] , \tile_x13y10_ee4beg[2] , \tile_x13y10_ee4beg[1] , \tile_x13y10_ee4beg[0] }),
.ee4end({ \tile_x12y10_ee4beg[15] , \tile_x12y10_ee4beg[14] , \tile_x12y10_ee4beg[13] , \tile_x12y10_ee4beg[12] , \tile_x12y10_ee4beg[11] , \tile_x12y10_ee4beg[10] , \tile_x12y10_ee4beg[9] , \tile_x12y10_ee4beg[8] , \tile_x12y10_ee4beg[7] , \tile_x12y10_ee4beg[6] , \tile_x12y10_ee4beg[5] , \tile_x12y10_ee4beg[4] , \tile_x12y10_ee4beg[3] , \tile_x12y10_ee4beg[2] , \tile_x12y10_ee4beg[1] , \tile_x12y10_ee4beg[0] }),
.framedata({ \tile_x12y10_framedata_o[31] , \tile_x12y10_framedata_o[30] , \tile_x12y10_framedata_o[29] , \tile_x12y10_framedata_o[28] , \tile_x12y10_framedata_o[27] , \tile_x12y10_framedata_o[26] , \tile_x12y10_framedata_o[25] , \tile_x12y10_framedata_o[24] , \tile_x12y10_framedata_o[23] , \tile_x12y10_framedata_o[22] , \tile_x12y10_framedata_o[21] , \tile_x12y10_framedata_o[20] , \tile_x12y10_framedata_o[19] , \tile_x12y10_framedata_o[18] , \tile_x12y10_framedata_o[17] , \tile_x12y10_framedata_o[16] , \tile_x12y10_framedata_o[15] , \tile_x12y10_framedata_o[14] , \tile_x12y10_framedata_o[13] , \tile_x12y10_framedata_o[12] , \tile_x12y10_framedata_o[11] , \tile_x12y10_framedata_o[10] , \tile_x12y10_framedata_o[9] , \tile_x12y10_framedata_o[8] , \tile_x12y10_framedata_o[7] , \tile_x12y10_framedata_o[6] , \tile_x12y10_framedata_o[5] , \tile_x12y10_framedata_o[4] , \tile_x12y10_framedata_o[3] , \tile_x12y10_framedata_o[2] , \tile_x12y10_framedata_o[1] , \tile_x12y10_framedata_o[0] }),
.framedata_o({ \tile_x13y10_framedata_o[31] , \tile_x13y10_framedata_o[30] , \tile_x13y10_framedata_o[29] , \tile_x13y10_framedata_o[28] , \tile_x13y10_framedata_o[27] , \tile_x13y10_framedata_o[26] , \tile_x13y10_framedata_o[25] , \tile_x13y10_framedata_o[24] , \tile_x13y10_framedata_o[23] , \tile_x13y10_framedata_o[22] , \tile_x13y10_framedata_o[21] , \tile_x13y10_framedata_o[20] , \tile_x13y10_framedata_o[19] , \tile_x13y10_framedata_o[18] , \tile_x13y10_framedata_o[17] , \tile_x13y10_framedata_o[16] , \tile_x13y10_framedata_o[15] , \tile_x13y10_framedata_o[14] , \tile_x13y10_framedata_o[13] , \tile_x13y10_framedata_o[12] , \tile_x13y10_framedata_o[11] , \tile_x13y10_framedata_o[10] , \tile_x13y10_framedata_o[9] , \tile_x13y10_framedata_o[8] , \tile_x13y10_framedata_o[7] , \tile_x13y10_framedata_o[6] , \tile_x13y10_framedata_o[5] , \tile_x13y10_framedata_o[4] , \tile_x13y10_framedata_o[3] , \tile_x13y10_framedata_o[2] , \tile_x13y10_framedata_o[1] , \tile_x13y10_framedata_o[0] }),
.framestrobe({ \tile_x13y11_framestrobe_o[19] , \tile_x13y11_framestrobe_o[18] , \tile_x13y11_framestrobe_o[17] , \tile_x13y11_framestrobe_o[16] , \tile_x13y11_framestrobe_o[15] , \tile_x13y11_framestrobe_o[14] , \tile_x13y11_framestrobe_o[13] , \tile_x13y11_framestrobe_o[12] , \tile_x13y11_framestrobe_o[11] , \tile_x13y11_framestrobe_o[10] , \tile_x13y11_framestrobe_o[9] , \tile_x13y11_framestrobe_o[8] , \tile_x13y11_framestrobe_o[7] , \tile_x13y11_framestrobe_o[6] , \tile_x13y11_framestrobe_o[5] , \tile_x13y11_framestrobe_o[4] , \tile_x13y11_framestrobe_o[3] , \tile_x13y11_framestrobe_o[2] , \tile_x13y11_framestrobe_o[1] , \tile_x13y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x13y10_framestrobe_o[19] , \tile_x13y10_framestrobe_o[18] , \tile_x13y10_framestrobe_o[17] , \tile_x13y10_framestrobe_o[16] , \tile_x13y10_framestrobe_o[15] , \tile_x13y10_framestrobe_o[14] , \tile_x13y10_framestrobe_o[13] , \tile_x13y10_framestrobe_o[12] , \tile_x13y10_framestrobe_o[11] , \tile_x13y10_framestrobe_o[10] , \tile_x13y10_framestrobe_o[9] , \tile_x13y10_framestrobe_o[8] , \tile_x13y10_framestrobe_o[7] , \tile_x13y10_framestrobe_o[6] , \tile_x13y10_framestrobe_o[5] , \tile_x13y10_framestrobe_o[4] , \tile_x13y10_framestrobe_o[3] , \tile_x13y10_framestrobe_o[2] , \tile_x13y10_framestrobe_o[1] , \tile_x13y10_framestrobe_o[0] }),
.n1beg({ \tile_x13y10_n1beg[3] , \tile_x13y10_n1beg[2] , \tile_x13y10_n1beg[1] , \tile_x13y10_n1beg[0] }),
.n1end({ \tile_x13y11_n1beg[3] , \tile_x13y11_n1beg[2] , \tile_x13y11_n1beg[1] , \tile_x13y11_n1beg[0] }),
.n2beg({ \tile_x13y10_n2beg[7] , \tile_x13y10_n2beg[6] , \tile_x13y10_n2beg[5] , \tile_x13y10_n2beg[4] , \tile_x13y10_n2beg[3] , \tile_x13y10_n2beg[2] , \tile_x13y10_n2beg[1] , \tile_x13y10_n2beg[0] }),
.n2begb({ \tile_x13y10_n2begb[7] , \tile_x13y10_n2begb[6] , \tile_x13y10_n2begb[5] , \tile_x13y10_n2begb[4] , \tile_x13y10_n2begb[3] , \tile_x13y10_n2begb[2] , \tile_x13y10_n2begb[1] , \tile_x13y10_n2begb[0] }),
.n2end({ \tile_x13y11_n2begb[7] , \tile_x13y11_n2begb[6] , \tile_x13y11_n2begb[5] , \tile_x13y11_n2begb[4] , \tile_x13y11_n2begb[3] , \tile_x13y11_n2begb[2] , \tile_x13y11_n2begb[1] , \tile_x13y11_n2begb[0] }),
.n2mid({ \tile_x13y11_n2beg[7] , \tile_x13y11_n2beg[6] , \tile_x13y11_n2beg[5] , \tile_x13y11_n2beg[4] , \tile_x13y11_n2beg[3] , \tile_x13y11_n2beg[2] , \tile_x13y11_n2beg[1] , \tile_x13y11_n2beg[0] }),
.n4beg({ \tile_x13y10_n4beg[15] , \tile_x13y10_n4beg[14] , \tile_x13y10_n4beg[13] , \tile_x13y10_n4beg[12] , \tile_x13y10_n4beg[11] , \tile_x13y10_n4beg[10] , \tile_x13y10_n4beg[9] , \tile_x13y10_n4beg[8] , \tile_x13y10_n4beg[7] , \tile_x13y10_n4beg[6] , \tile_x13y10_n4beg[5] , \tile_x13y10_n4beg[4] , \tile_x13y10_n4beg[3] , \tile_x13y10_n4beg[2] , \tile_x13y10_n4beg[1] , \tile_x13y10_n4beg[0] }),
.n4end({ \tile_x13y11_n4beg[15] , \tile_x13y11_n4beg[14] , \tile_x13y11_n4beg[13] , \tile_x13y11_n4beg[12] , \tile_x13y11_n4beg[11] , \tile_x13y11_n4beg[10] , \tile_x13y11_n4beg[9] , \tile_x13y11_n4beg[8] , \tile_x13y11_n4beg[7] , \tile_x13y11_n4beg[6] , \tile_x13y11_n4beg[5] , \tile_x13y11_n4beg[4] , \tile_x13y11_n4beg[3] , \tile_x13y11_n4beg[2] , \tile_x13y11_n4beg[1] , \tile_x13y11_n4beg[0] }),
.nn4beg({ \tile_x13y10_nn4beg[15] , \tile_x13y10_nn4beg[14] , \tile_x13y10_nn4beg[13] , \tile_x13y10_nn4beg[12] , \tile_x13y10_nn4beg[11] , \tile_x13y10_nn4beg[10] , \tile_x13y10_nn4beg[9] , \tile_x13y10_nn4beg[8] , \tile_x13y10_nn4beg[7] , \tile_x13y10_nn4beg[6] , \tile_x13y10_nn4beg[5] , \tile_x13y10_nn4beg[4] , \tile_x13y10_nn4beg[3] , \tile_x13y10_nn4beg[2] , \tile_x13y10_nn4beg[1] , \tile_x13y10_nn4beg[0] }),
.nn4end({ \tile_x13y11_nn4beg[15] , \tile_x13y11_nn4beg[14] , \tile_x13y11_nn4beg[13] , \tile_x13y11_nn4beg[12] , \tile_x13y11_nn4beg[11] , \tile_x13y11_nn4beg[10] , \tile_x13y11_nn4beg[9] , \tile_x13y11_nn4beg[8] , \tile_x13y11_nn4beg[7] , \tile_x13y11_nn4beg[6] , \tile_x13y11_nn4beg[5] , \tile_x13y11_nn4beg[4] , \tile_x13y11_nn4beg[3] , \tile_x13y11_nn4beg[2] , \tile_x13y11_nn4beg[1] , \tile_x13y11_nn4beg[0] }),
.s1beg({ \tile_x13y10_s1beg[3] , \tile_x13y10_s1beg[2] , \tile_x13y10_s1beg[1] , \tile_x13y10_s1beg[0] }),
.s1end({ \tile_x13y9_s1beg[3] , \tile_x13y9_s1beg[2] , \tile_x13y9_s1beg[1] , \tile_x13y9_s1beg[0] }),
.s2beg({ \tile_x13y10_s2beg[7] , \tile_x13y10_s2beg[6] , \tile_x13y10_s2beg[5] , \tile_x13y10_s2beg[4] , \tile_x13y10_s2beg[3] , \tile_x13y10_s2beg[2] , \tile_x13y10_s2beg[1] , \tile_x13y10_s2beg[0] }),
.s2begb({ \tile_x13y10_s2begb[7] , \tile_x13y10_s2begb[6] , \tile_x13y10_s2begb[5] , \tile_x13y10_s2begb[4] , \tile_x13y10_s2begb[3] , \tile_x13y10_s2begb[2] , \tile_x13y10_s2begb[1] , \tile_x13y10_s2begb[0] }),
.s2end({ \tile_x13y9_s2begb[7] , \tile_x13y9_s2begb[6] , \tile_x13y9_s2begb[5] , \tile_x13y9_s2begb[4] , \tile_x13y9_s2begb[3] , \tile_x13y9_s2begb[2] , \tile_x13y9_s2begb[1] , \tile_x13y9_s2begb[0] }),
.s2mid({ \tile_x13y9_s2beg[7] , \tile_x13y9_s2beg[6] , \tile_x13y9_s2beg[5] , \tile_x13y9_s2beg[4] , \tile_x13y9_s2beg[3] , \tile_x13y9_s2beg[2] , \tile_x13y9_s2beg[1] , \tile_x13y9_s2beg[0] }),
.s4beg({ \tile_x13y10_s4beg[15] , \tile_x13y10_s4beg[14] , \tile_x13y10_s4beg[13] , \tile_x13y10_s4beg[12] , \tile_x13y10_s4beg[11] , \tile_x13y10_s4beg[10] , \tile_x13y10_s4beg[9] , \tile_x13y10_s4beg[8] , \tile_x13y10_s4beg[7] , \tile_x13y10_s4beg[6] , \tile_x13y10_s4beg[5] , \tile_x13y10_s4beg[4] , \tile_x13y10_s4beg[3] , \tile_x13y10_s4beg[2] , \tile_x13y10_s4beg[1] , \tile_x13y10_s4beg[0] }),
.s4end({ \tile_x13y9_s4beg[15] , \tile_x13y9_s4beg[14] , \tile_x13y9_s4beg[13] , \tile_x13y9_s4beg[12] , \tile_x13y9_s4beg[11] , \tile_x13y9_s4beg[10] , \tile_x13y9_s4beg[9] , \tile_x13y9_s4beg[8] , \tile_x13y9_s4beg[7] , \tile_x13y9_s4beg[6] , \tile_x13y9_s4beg[5] , \tile_x13y9_s4beg[4] , \tile_x13y9_s4beg[3] , \tile_x13y9_s4beg[2] , \tile_x13y9_s4beg[1] , \tile_x13y9_s4beg[0] }),
.ss4beg({ \tile_x13y10_ss4beg[15] , \tile_x13y10_ss4beg[14] , \tile_x13y10_ss4beg[13] , \tile_x13y10_ss4beg[12] , \tile_x13y10_ss4beg[11] , \tile_x13y10_ss4beg[10] , \tile_x13y10_ss4beg[9] , \tile_x13y10_ss4beg[8] , \tile_x13y10_ss4beg[7] , \tile_x13y10_ss4beg[6] , \tile_x13y10_ss4beg[5] , \tile_x13y10_ss4beg[4] , \tile_x13y10_ss4beg[3] , \tile_x13y10_ss4beg[2] , \tile_x13y10_ss4beg[1] , \tile_x13y10_ss4beg[0] }),
.ss4end({ \tile_x13y9_ss4beg[15] , \tile_x13y9_ss4beg[14] , \tile_x13y9_ss4beg[13] , \tile_x13y9_ss4beg[12] , \tile_x13y9_ss4beg[11] , \tile_x13y9_ss4beg[10] , \tile_x13y9_ss4beg[9] , \tile_x13y9_ss4beg[8] , \tile_x13y9_ss4beg[7] , \tile_x13y9_ss4beg[6] , \tile_x13y9_ss4beg[5] , \tile_x13y9_ss4beg[4] , \tile_x13y9_ss4beg[3] , \tile_x13y9_ss4beg[2] , \tile_x13y9_ss4beg[1] , \tile_x13y9_ss4beg[0] }),
.userclk(tile_x13y11_userclko),
.userclko(tile_x13y10_userclko),
.w1beg({ \tile_x13y10_w1beg[3] , \tile_x13y10_w1beg[2] , \tile_x13y10_w1beg[1] , \tile_x13y10_w1beg[0] }),
.w1end({ \tile_x14y10_w1beg[3] , \tile_x14y10_w1beg[2] , \tile_x14y10_w1beg[1] , \tile_x14y10_w1beg[0] }),
.w2beg({ \tile_x13y10_w2beg[7] , \tile_x13y10_w2beg[6] , \tile_x13y10_w2beg[5] , \tile_x13y10_w2beg[4] , \tile_x13y10_w2beg[3] , \tile_x13y10_w2beg[2] , \tile_x13y10_w2beg[1] , \tile_x13y10_w2beg[0] }),
.w2begb({ \tile_x13y10_w2begb[7] , \tile_x13y10_w2begb[6] , \tile_x13y10_w2begb[5] , \tile_x13y10_w2begb[4] , \tile_x13y10_w2begb[3] , \tile_x13y10_w2begb[2] , \tile_x13y10_w2begb[1] , \tile_x13y10_w2begb[0] }),
.w2end({ \tile_x14y10_w2begb[7] , \tile_x14y10_w2begb[6] , \tile_x14y10_w2begb[5] , \tile_x14y10_w2begb[4] , \tile_x14y10_w2begb[3] , \tile_x14y10_w2begb[2] , \tile_x14y10_w2begb[1] , \tile_x14y10_w2begb[0] }),
.w2mid({ \tile_x14y10_w2beg[7] , \tile_x14y10_w2beg[6] , \tile_x14y10_w2beg[5] , \tile_x14y10_w2beg[4] , \tile_x14y10_w2beg[3] , \tile_x14y10_w2beg[2] , \tile_x14y10_w2beg[1] , \tile_x14y10_w2beg[0] }),
.w6beg({ \tile_x13y10_w6beg[11] , \tile_x13y10_w6beg[10] , \tile_x13y10_w6beg[9] , \tile_x13y10_w6beg[8] , \tile_x13y10_w6beg[7] , \tile_x13y10_w6beg[6] , \tile_x13y10_w6beg[5] , \tile_x13y10_w6beg[4] , \tile_x13y10_w6beg[3] , \tile_x13y10_w6beg[2] , \tile_x13y10_w6beg[1] , \tile_x13y10_w6beg[0] }),
.w6end({ \tile_x14y10_w6beg[11] , \tile_x14y10_w6beg[10] , \tile_x14y10_w6beg[9] , \tile_x14y10_w6beg[8] , \tile_x14y10_w6beg[7] , \tile_x14y10_w6beg[6] , \tile_x14y10_w6beg[5] , \tile_x14y10_w6beg[4] , \tile_x14y10_w6beg[3] , \tile_x14y10_w6beg[2] , \tile_x14y10_w6beg[1] , \tile_x14y10_w6beg[0] }),
.ww4beg({ \tile_x13y10_ww4beg[15] , \tile_x13y10_ww4beg[14] , \tile_x13y10_ww4beg[13] , \tile_x13y10_ww4beg[12] , \tile_x13y10_ww4beg[11] , \tile_x13y10_ww4beg[10] , \tile_x13y10_ww4beg[9] , \tile_x13y10_ww4beg[8] , \tile_x13y10_ww4beg[7] , \tile_x13y10_ww4beg[6] , \tile_x13y10_ww4beg[5] , \tile_x13y10_ww4beg[4] , \tile_x13y10_ww4beg[3] , \tile_x13y10_ww4beg[2] , \tile_x13y10_ww4beg[1] , \tile_x13y10_ww4beg[0] }),
.ww4end({ \tile_x14y10_ww4beg[15] , \tile_x14y10_ww4beg[14] , \tile_x14y10_ww4beg[13] , \tile_x14y10_ww4beg[12] , \tile_x14y10_ww4beg[11] , \tile_x14y10_ww4beg[10] , \tile_x14y10_ww4beg[9] , \tile_x14y10_ww4beg[8] , \tile_x14y10_ww4beg[7] , \tile_x14y10_ww4beg[6] , \tile_x14y10_ww4beg[5] , \tile_x14y10_ww4beg[4] , \tile_x14y10_ww4beg[3] , \tile_x14y10_ww4beg[2] , \tile_x14y10_ww4beg[1] , \tile_x14y10_ww4beg[0] })
);
lut4ab tile_x13y11_lut4ab (
.ci(tile_x13y12_co),
.co(tile_x13y11_co),
.e1beg({ \tile_x13y11_e1beg[3] , \tile_x13y11_e1beg[2] , \tile_x13y11_e1beg[1] , \tile_x13y11_e1beg[0] }),
.e1end({ \tile_x12y11_e1beg[3] , \tile_x12y11_e1beg[2] , \tile_x12y11_e1beg[1] , \tile_x12y11_e1beg[0] }),
.e2beg({ \tile_x13y11_e2beg[7] , \tile_x13y11_e2beg[6] , \tile_x13y11_e2beg[5] , \tile_x13y11_e2beg[4] , \tile_x13y11_e2beg[3] , \tile_x13y11_e2beg[2] , \tile_x13y11_e2beg[1] , \tile_x13y11_e2beg[0] }),
.e2begb({ \tile_x13y11_e2begb[7] , \tile_x13y11_e2begb[6] , \tile_x13y11_e2begb[5] , \tile_x13y11_e2begb[4] , \tile_x13y11_e2begb[3] , \tile_x13y11_e2begb[2] , \tile_x13y11_e2begb[1] , \tile_x13y11_e2begb[0] }),
.e2end({ \tile_x12y11_e2begb[7] , \tile_x12y11_e2begb[6] , \tile_x12y11_e2begb[5] , \tile_x12y11_e2begb[4] , \tile_x12y11_e2begb[3] , \tile_x12y11_e2begb[2] , \tile_x12y11_e2begb[1] , \tile_x12y11_e2begb[0] }),
.e2mid({ \tile_x12y11_e2beg[7] , \tile_x12y11_e2beg[6] , \tile_x12y11_e2beg[5] , \tile_x12y11_e2beg[4] , \tile_x12y11_e2beg[3] , \tile_x12y11_e2beg[2] , \tile_x12y11_e2beg[1] , \tile_x12y11_e2beg[0] }),
.e6beg({ \tile_x13y11_e6beg[11] , \tile_x13y11_e6beg[10] , \tile_x13y11_e6beg[9] , \tile_x13y11_e6beg[8] , \tile_x13y11_e6beg[7] , \tile_x13y11_e6beg[6] , \tile_x13y11_e6beg[5] , \tile_x13y11_e6beg[4] , \tile_x13y11_e6beg[3] , \tile_x13y11_e6beg[2] , \tile_x13y11_e6beg[1] , \tile_x13y11_e6beg[0] }),
.e6end({ \tile_x12y11_e6beg[11] , \tile_x12y11_e6beg[10] , \tile_x12y11_e6beg[9] , \tile_x12y11_e6beg[8] , \tile_x12y11_e6beg[7] , \tile_x12y11_e6beg[6] , \tile_x12y11_e6beg[5] , \tile_x12y11_e6beg[4] , \tile_x12y11_e6beg[3] , \tile_x12y11_e6beg[2] , \tile_x12y11_e6beg[1] , \tile_x12y11_e6beg[0] }),
.ee4beg({ \tile_x13y11_ee4beg[15] , \tile_x13y11_ee4beg[14] , \tile_x13y11_ee4beg[13] , \tile_x13y11_ee4beg[12] , \tile_x13y11_ee4beg[11] , \tile_x13y11_ee4beg[10] , \tile_x13y11_ee4beg[9] , \tile_x13y11_ee4beg[8] , \tile_x13y11_ee4beg[7] , \tile_x13y11_ee4beg[6] , \tile_x13y11_ee4beg[5] , \tile_x13y11_ee4beg[4] , \tile_x13y11_ee4beg[3] , \tile_x13y11_ee4beg[2] , \tile_x13y11_ee4beg[1] , \tile_x13y11_ee4beg[0] }),
.ee4end({ \tile_x12y11_ee4beg[15] , \tile_x12y11_ee4beg[14] , \tile_x12y11_ee4beg[13] , \tile_x12y11_ee4beg[12] , \tile_x12y11_ee4beg[11] , \tile_x12y11_ee4beg[10] , \tile_x12y11_ee4beg[9] , \tile_x12y11_ee4beg[8] , \tile_x12y11_ee4beg[7] , \tile_x12y11_ee4beg[6] , \tile_x12y11_ee4beg[5] , \tile_x12y11_ee4beg[4] , \tile_x12y11_ee4beg[3] , \tile_x12y11_ee4beg[2] , \tile_x12y11_ee4beg[1] , \tile_x12y11_ee4beg[0] }),
.framedata({ \tile_x12y11_framedata_o[31] , \tile_x12y11_framedata_o[30] , \tile_x12y11_framedata_o[29] , \tile_x12y11_framedata_o[28] , \tile_x12y11_framedata_o[27] , \tile_x12y11_framedata_o[26] , \tile_x12y11_framedata_o[25] , \tile_x12y11_framedata_o[24] , \tile_x12y11_framedata_o[23] , \tile_x12y11_framedata_o[22] , \tile_x12y11_framedata_o[21] , \tile_x12y11_framedata_o[20] , \tile_x12y11_framedata_o[19] , \tile_x12y11_framedata_o[18] , \tile_x12y11_framedata_o[17] , \tile_x12y11_framedata_o[16] , \tile_x12y11_framedata_o[15] , \tile_x12y11_framedata_o[14] , \tile_x12y11_framedata_o[13] , \tile_x12y11_framedata_o[12] , \tile_x12y11_framedata_o[11] , \tile_x12y11_framedata_o[10] , \tile_x12y11_framedata_o[9] , \tile_x12y11_framedata_o[8] , \tile_x12y11_framedata_o[7] , \tile_x12y11_framedata_o[6] , \tile_x12y11_framedata_o[5] , \tile_x12y11_framedata_o[4] , \tile_x12y11_framedata_o[3] , \tile_x12y11_framedata_o[2] , \tile_x12y11_framedata_o[1] , \tile_x12y11_framedata_o[0] }),
.framedata_o({ \tile_x13y11_framedata_o[31] , \tile_x13y11_framedata_o[30] , \tile_x13y11_framedata_o[29] , \tile_x13y11_framedata_o[28] , \tile_x13y11_framedata_o[27] , \tile_x13y11_framedata_o[26] , \tile_x13y11_framedata_o[25] , \tile_x13y11_framedata_o[24] , \tile_x13y11_framedata_o[23] , \tile_x13y11_framedata_o[22] , \tile_x13y11_framedata_o[21] , \tile_x13y11_framedata_o[20] , \tile_x13y11_framedata_o[19] , \tile_x13y11_framedata_o[18] , \tile_x13y11_framedata_o[17] , \tile_x13y11_framedata_o[16] , \tile_x13y11_framedata_o[15] , \tile_x13y11_framedata_o[14] , \tile_x13y11_framedata_o[13] , \tile_x13y11_framedata_o[12] , \tile_x13y11_framedata_o[11] , \tile_x13y11_framedata_o[10] , \tile_x13y11_framedata_o[9] , \tile_x13y11_framedata_o[8] , \tile_x13y11_framedata_o[7] , \tile_x13y11_framedata_o[6] , \tile_x13y11_framedata_o[5] , \tile_x13y11_framedata_o[4] , \tile_x13y11_framedata_o[3] , \tile_x13y11_framedata_o[2] , \tile_x13y11_framedata_o[1] , \tile_x13y11_framedata_o[0] }),
.framestrobe({ \tile_x13y12_framestrobe_o[19] , \tile_x13y12_framestrobe_o[18] , \tile_x13y12_framestrobe_o[17] , \tile_x13y12_framestrobe_o[16] , \tile_x13y12_framestrobe_o[15] , \tile_x13y12_framestrobe_o[14] , \tile_x13y12_framestrobe_o[13] , \tile_x13y12_framestrobe_o[12] , \tile_x13y12_framestrobe_o[11] , \tile_x13y12_framestrobe_o[10] , \tile_x13y12_framestrobe_o[9] , \tile_x13y12_framestrobe_o[8] , \tile_x13y12_framestrobe_o[7] , \tile_x13y12_framestrobe_o[6] , \tile_x13y12_framestrobe_o[5] , \tile_x13y12_framestrobe_o[4] , \tile_x13y12_framestrobe_o[3] , \tile_x13y12_framestrobe_o[2] , \tile_x13y12_framestrobe_o[1] , \tile_x13y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x13y11_framestrobe_o[19] , \tile_x13y11_framestrobe_o[18] , \tile_x13y11_framestrobe_o[17] , \tile_x13y11_framestrobe_o[16] , \tile_x13y11_framestrobe_o[15] , \tile_x13y11_framestrobe_o[14] , \tile_x13y11_framestrobe_o[13] , \tile_x13y11_framestrobe_o[12] , \tile_x13y11_framestrobe_o[11] , \tile_x13y11_framestrobe_o[10] , \tile_x13y11_framestrobe_o[9] , \tile_x13y11_framestrobe_o[8] , \tile_x13y11_framestrobe_o[7] , \tile_x13y11_framestrobe_o[6] , \tile_x13y11_framestrobe_o[5] , \tile_x13y11_framestrobe_o[4] , \tile_x13y11_framestrobe_o[3] , \tile_x13y11_framestrobe_o[2] , \tile_x13y11_framestrobe_o[1] , \tile_x13y11_framestrobe_o[0] }),
.n1beg({ \tile_x13y11_n1beg[3] , \tile_x13y11_n1beg[2] , \tile_x13y11_n1beg[1] , \tile_x13y11_n1beg[0] }),
.n1end({ \tile_x13y12_n1beg[3] , \tile_x13y12_n1beg[2] , \tile_x13y12_n1beg[1] , \tile_x13y12_n1beg[0] }),
.n2beg({ \tile_x13y11_n2beg[7] , \tile_x13y11_n2beg[6] , \tile_x13y11_n2beg[5] , \tile_x13y11_n2beg[4] , \tile_x13y11_n2beg[3] , \tile_x13y11_n2beg[2] , \tile_x13y11_n2beg[1] , \tile_x13y11_n2beg[0] }),
.n2begb({ \tile_x13y11_n2begb[7] , \tile_x13y11_n2begb[6] , \tile_x13y11_n2begb[5] , \tile_x13y11_n2begb[4] , \tile_x13y11_n2begb[3] , \tile_x13y11_n2begb[2] , \tile_x13y11_n2begb[1] , \tile_x13y11_n2begb[0] }),
.n2end({ \tile_x13y12_n2begb[7] , \tile_x13y12_n2begb[6] , \tile_x13y12_n2begb[5] , \tile_x13y12_n2begb[4] , \tile_x13y12_n2begb[3] , \tile_x13y12_n2begb[2] , \tile_x13y12_n2begb[1] , \tile_x13y12_n2begb[0] }),
.n2mid({ \tile_x13y12_n2beg[7] , \tile_x13y12_n2beg[6] , \tile_x13y12_n2beg[5] , \tile_x13y12_n2beg[4] , \tile_x13y12_n2beg[3] , \tile_x13y12_n2beg[2] , \tile_x13y12_n2beg[1] , \tile_x13y12_n2beg[0] }),
.n4beg({ \tile_x13y11_n4beg[15] , \tile_x13y11_n4beg[14] , \tile_x13y11_n4beg[13] , \tile_x13y11_n4beg[12] , \tile_x13y11_n4beg[11] , \tile_x13y11_n4beg[10] , \tile_x13y11_n4beg[9] , \tile_x13y11_n4beg[8] , \tile_x13y11_n4beg[7] , \tile_x13y11_n4beg[6] , \tile_x13y11_n4beg[5] , \tile_x13y11_n4beg[4] , \tile_x13y11_n4beg[3] , \tile_x13y11_n4beg[2] , \tile_x13y11_n4beg[1] , \tile_x13y11_n4beg[0] }),
.n4end({ \tile_x13y12_n4beg[15] , \tile_x13y12_n4beg[14] , \tile_x13y12_n4beg[13] , \tile_x13y12_n4beg[12] , \tile_x13y12_n4beg[11] , \tile_x13y12_n4beg[10] , \tile_x13y12_n4beg[9] , \tile_x13y12_n4beg[8] , \tile_x13y12_n4beg[7] , \tile_x13y12_n4beg[6] , \tile_x13y12_n4beg[5] , \tile_x13y12_n4beg[4] , \tile_x13y12_n4beg[3] , \tile_x13y12_n4beg[2] , \tile_x13y12_n4beg[1] , \tile_x13y12_n4beg[0] }),
.nn4beg({ \tile_x13y11_nn4beg[15] , \tile_x13y11_nn4beg[14] , \tile_x13y11_nn4beg[13] , \tile_x13y11_nn4beg[12] , \tile_x13y11_nn4beg[11] , \tile_x13y11_nn4beg[10] , \tile_x13y11_nn4beg[9] , \tile_x13y11_nn4beg[8] , \tile_x13y11_nn4beg[7] , \tile_x13y11_nn4beg[6] , \tile_x13y11_nn4beg[5] , \tile_x13y11_nn4beg[4] , \tile_x13y11_nn4beg[3] , \tile_x13y11_nn4beg[2] , \tile_x13y11_nn4beg[1] , \tile_x13y11_nn4beg[0] }),
.nn4end({ \tile_x13y12_nn4beg[15] , \tile_x13y12_nn4beg[14] , \tile_x13y12_nn4beg[13] , \tile_x13y12_nn4beg[12] , \tile_x13y12_nn4beg[11] , \tile_x13y12_nn4beg[10] , \tile_x13y12_nn4beg[9] , \tile_x13y12_nn4beg[8] , \tile_x13y12_nn4beg[7] , \tile_x13y12_nn4beg[6] , \tile_x13y12_nn4beg[5] , \tile_x13y12_nn4beg[4] , \tile_x13y12_nn4beg[3] , \tile_x13y12_nn4beg[2] , \tile_x13y12_nn4beg[1] , \tile_x13y12_nn4beg[0] }),
.s1beg({ \tile_x13y11_s1beg[3] , \tile_x13y11_s1beg[2] , \tile_x13y11_s1beg[1] , \tile_x13y11_s1beg[0] }),
.s1end({ \tile_x13y10_s1beg[3] , \tile_x13y10_s1beg[2] , \tile_x13y10_s1beg[1] , \tile_x13y10_s1beg[0] }),
.s2beg({ \tile_x13y11_s2beg[7] , \tile_x13y11_s2beg[6] , \tile_x13y11_s2beg[5] , \tile_x13y11_s2beg[4] , \tile_x13y11_s2beg[3] , \tile_x13y11_s2beg[2] , \tile_x13y11_s2beg[1] , \tile_x13y11_s2beg[0] }),
.s2begb({ \tile_x13y11_s2begb[7] , \tile_x13y11_s2begb[6] , \tile_x13y11_s2begb[5] , \tile_x13y11_s2begb[4] , \tile_x13y11_s2begb[3] , \tile_x13y11_s2begb[2] , \tile_x13y11_s2begb[1] , \tile_x13y11_s2begb[0] }),
.s2end({ \tile_x13y10_s2begb[7] , \tile_x13y10_s2begb[6] , \tile_x13y10_s2begb[5] , \tile_x13y10_s2begb[4] , \tile_x13y10_s2begb[3] , \tile_x13y10_s2begb[2] , \tile_x13y10_s2begb[1] , \tile_x13y10_s2begb[0] }),
.s2mid({ \tile_x13y10_s2beg[7] , \tile_x13y10_s2beg[6] , \tile_x13y10_s2beg[5] , \tile_x13y10_s2beg[4] , \tile_x13y10_s2beg[3] , \tile_x13y10_s2beg[2] , \tile_x13y10_s2beg[1] , \tile_x13y10_s2beg[0] }),
.s4beg({ \tile_x13y11_s4beg[15] , \tile_x13y11_s4beg[14] , \tile_x13y11_s4beg[13] , \tile_x13y11_s4beg[12] , \tile_x13y11_s4beg[11] , \tile_x13y11_s4beg[10] , \tile_x13y11_s4beg[9] , \tile_x13y11_s4beg[8] , \tile_x13y11_s4beg[7] , \tile_x13y11_s4beg[6] , \tile_x13y11_s4beg[5] , \tile_x13y11_s4beg[4] , \tile_x13y11_s4beg[3] , \tile_x13y11_s4beg[2] , \tile_x13y11_s4beg[1] , \tile_x13y11_s4beg[0] }),
.s4end({ \tile_x13y10_s4beg[15] , \tile_x13y10_s4beg[14] , \tile_x13y10_s4beg[13] , \tile_x13y10_s4beg[12] , \tile_x13y10_s4beg[11] , \tile_x13y10_s4beg[10] , \tile_x13y10_s4beg[9] , \tile_x13y10_s4beg[8] , \tile_x13y10_s4beg[7] , \tile_x13y10_s4beg[6] , \tile_x13y10_s4beg[5] , \tile_x13y10_s4beg[4] , \tile_x13y10_s4beg[3] , \tile_x13y10_s4beg[2] , \tile_x13y10_s4beg[1] , \tile_x13y10_s4beg[0] }),
.ss4beg({ \tile_x13y11_ss4beg[15] , \tile_x13y11_ss4beg[14] , \tile_x13y11_ss4beg[13] , \tile_x13y11_ss4beg[12] , \tile_x13y11_ss4beg[11] , \tile_x13y11_ss4beg[10] , \tile_x13y11_ss4beg[9] , \tile_x13y11_ss4beg[8] , \tile_x13y11_ss4beg[7] , \tile_x13y11_ss4beg[6] , \tile_x13y11_ss4beg[5] , \tile_x13y11_ss4beg[4] , \tile_x13y11_ss4beg[3] , \tile_x13y11_ss4beg[2] , \tile_x13y11_ss4beg[1] , \tile_x13y11_ss4beg[0] }),
.ss4end({ \tile_x13y10_ss4beg[15] , \tile_x13y10_ss4beg[14] , \tile_x13y10_ss4beg[13] , \tile_x13y10_ss4beg[12] , \tile_x13y10_ss4beg[11] , \tile_x13y10_ss4beg[10] , \tile_x13y10_ss4beg[9] , \tile_x13y10_ss4beg[8] , \tile_x13y10_ss4beg[7] , \tile_x13y10_ss4beg[6] , \tile_x13y10_ss4beg[5] , \tile_x13y10_ss4beg[4] , \tile_x13y10_ss4beg[3] , \tile_x13y10_ss4beg[2] , \tile_x13y10_ss4beg[1] , \tile_x13y10_ss4beg[0] }),
.userclk(tile_x13y12_userclko),
.userclko(tile_x13y11_userclko),
.w1beg({ \tile_x13y11_w1beg[3] , \tile_x13y11_w1beg[2] , \tile_x13y11_w1beg[1] , \tile_x13y11_w1beg[0] }),
.w1end({ \tile_x14y11_w1beg[3] , \tile_x14y11_w1beg[2] , \tile_x14y11_w1beg[1] , \tile_x14y11_w1beg[0] }),
.w2beg({ \tile_x13y11_w2beg[7] , \tile_x13y11_w2beg[6] , \tile_x13y11_w2beg[5] , \tile_x13y11_w2beg[4] , \tile_x13y11_w2beg[3] , \tile_x13y11_w2beg[2] , \tile_x13y11_w2beg[1] , \tile_x13y11_w2beg[0] }),
.w2begb({ \tile_x13y11_w2begb[7] , \tile_x13y11_w2begb[6] , \tile_x13y11_w2begb[5] , \tile_x13y11_w2begb[4] , \tile_x13y11_w2begb[3] , \tile_x13y11_w2begb[2] , \tile_x13y11_w2begb[1] , \tile_x13y11_w2begb[0] }),
.w2end({ \tile_x14y11_w2begb[7] , \tile_x14y11_w2begb[6] , \tile_x14y11_w2begb[5] , \tile_x14y11_w2begb[4] , \tile_x14y11_w2begb[3] , \tile_x14y11_w2begb[2] , \tile_x14y11_w2begb[1] , \tile_x14y11_w2begb[0] }),
.w2mid({ \tile_x14y11_w2beg[7] , \tile_x14y11_w2beg[6] , \tile_x14y11_w2beg[5] , \tile_x14y11_w2beg[4] , \tile_x14y11_w2beg[3] , \tile_x14y11_w2beg[2] , \tile_x14y11_w2beg[1] , \tile_x14y11_w2beg[0] }),
.w6beg({ \tile_x13y11_w6beg[11] , \tile_x13y11_w6beg[10] , \tile_x13y11_w6beg[9] , \tile_x13y11_w6beg[8] , \tile_x13y11_w6beg[7] , \tile_x13y11_w6beg[6] , \tile_x13y11_w6beg[5] , \tile_x13y11_w6beg[4] , \tile_x13y11_w6beg[3] , \tile_x13y11_w6beg[2] , \tile_x13y11_w6beg[1] , \tile_x13y11_w6beg[0] }),
.w6end({ \tile_x14y11_w6beg[11] , \tile_x14y11_w6beg[10] , \tile_x14y11_w6beg[9] , \tile_x14y11_w6beg[8] , \tile_x14y11_w6beg[7] , \tile_x14y11_w6beg[6] , \tile_x14y11_w6beg[5] , \tile_x14y11_w6beg[4] , \tile_x14y11_w6beg[3] , \tile_x14y11_w6beg[2] , \tile_x14y11_w6beg[1] , \tile_x14y11_w6beg[0] }),
.ww4beg({ \tile_x13y11_ww4beg[15] , \tile_x13y11_ww4beg[14] , \tile_x13y11_ww4beg[13] , \tile_x13y11_ww4beg[12] , \tile_x13y11_ww4beg[11] , \tile_x13y11_ww4beg[10] , \tile_x13y11_ww4beg[9] , \tile_x13y11_ww4beg[8] , \tile_x13y11_ww4beg[7] , \tile_x13y11_ww4beg[6] , \tile_x13y11_ww4beg[5] , \tile_x13y11_ww4beg[4] , \tile_x13y11_ww4beg[3] , \tile_x13y11_ww4beg[2] , \tile_x13y11_ww4beg[1] , \tile_x13y11_ww4beg[0] }),
.ww4end({ \tile_x14y11_ww4beg[15] , \tile_x14y11_ww4beg[14] , \tile_x14y11_ww4beg[13] , \tile_x14y11_ww4beg[12] , \tile_x14y11_ww4beg[11] , \tile_x14y11_ww4beg[10] , \tile_x14y11_ww4beg[9] , \tile_x14y11_ww4beg[8] , \tile_x14y11_ww4beg[7] , \tile_x14y11_ww4beg[6] , \tile_x14y11_ww4beg[5] , \tile_x14y11_ww4beg[4] , \tile_x14y11_ww4beg[3] , \tile_x14y11_ww4beg[2] , \tile_x14y11_ww4beg[1] , \tile_x14y11_ww4beg[0] })
);
lut4ab tile_x13y12_lut4ab (
.ci(tile_x13y13_co),
.co(tile_x13y12_co),
.e1beg({ \tile_x13y12_e1beg[3] , \tile_x13y12_e1beg[2] , \tile_x13y12_e1beg[1] , \tile_x13y12_e1beg[0] }),
.e1end({ \tile_x12y12_e1beg[3] , \tile_x12y12_e1beg[2] , \tile_x12y12_e1beg[1] , \tile_x12y12_e1beg[0] }),
.e2beg({ \tile_x13y12_e2beg[7] , \tile_x13y12_e2beg[6] , \tile_x13y12_e2beg[5] , \tile_x13y12_e2beg[4] , \tile_x13y12_e2beg[3] , \tile_x13y12_e2beg[2] , \tile_x13y12_e2beg[1] , \tile_x13y12_e2beg[0] }),
.e2begb({ \tile_x13y12_e2begb[7] , \tile_x13y12_e2begb[6] , \tile_x13y12_e2begb[5] , \tile_x13y12_e2begb[4] , \tile_x13y12_e2begb[3] , \tile_x13y12_e2begb[2] , \tile_x13y12_e2begb[1] , \tile_x13y12_e2begb[0] }),
.e2end({ \tile_x12y12_e2begb[7] , \tile_x12y12_e2begb[6] , \tile_x12y12_e2begb[5] , \tile_x12y12_e2begb[4] , \tile_x12y12_e2begb[3] , \tile_x12y12_e2begb[2] , \tile_x12y12_e2begb[1] , \tile_x12y12_e2begb[0] }),
.e2mid({ \tile_x12y12_e2beg[7] , \tile_x12y12_e2beg[6] , \tile_x12y12_e2beg[5] , \tile_x12y12_e2beg[4] , \tile_x12y12_e2beg[3] , \tile_x12y12_e2beg[2] , \tile_x12y12_e2beg[1] , \tile_x12y12_e2beg[0] }),
.e6beg({ \tile_x13y12_e6beg[11] , \tile_x13y12_e6beg[10] , \tile_x13y12_e6beg[9] , \tile_x13y12_e6beg[8] , \tile_x13y12_e6beg[7] , \tile_x13y12_e6beg[6] , \tile_x13y12_e6beg[5] , \tile_x13y12_e6beg[4] , \tile_x13y12_e6beg[3] , \tile_x13y12_e6beg[2] , \tile_x13y12_e6beg[1] , \tile_x13y12_e6beg[0] }),
.e6end({ \tile_x12y12_e6beg[11] , \tile_x12y12_e6beg[10] , \tile_x12y12_e6beg[9] , \tile_x12y12_e6beg[8] , \tile_x12y12_e6beg[7] , \tile_x12y12_e6beg[6] , \tile_x12y12_e6beg[5] , \tile_x12y12_e6beg[4] , \tile_x12y12_e6beg[3] , \tile_x12y12_e6beg[2] , \tile_x12y12_e6beg[1] , \tile_x12y12_e6beg[0] }),
.ee4beg({ \tile_x13y12_ee4beg[15] , \tile_x13y12_ee4beg[14] , \tile_x13y12_ee4beg[13] , \tile_x13y12_ee4beg[12] , \tile_x13y12_ee4beg[11] , \tile_x13y12_ee4beg[10] , \tile_x13y12_ee4beg[9] , \tile_x13y12_ee4beg[8] , \tile_x13y12_ee4beg[7] , \tile_x13y12_ee4beg[6] , \tile_x13y12_ee4beg[5] , \tile_x13y12_ee4beg[4] , \tile_x13y12_ee4beg[3] , \tile_x13y12_ee4beg[2] , \tile_x13y12_ee4beg[1] , \tile_x13y12_ee4beg[0] }),
.ee4end({ \tile_x12y12_ee4beg[15] , \tile_x12y12_ee4beg[14] , \tile_x12y12_ee4beg[13] , \tile_x12y12_ee4beg[12] , \tile_x12y12_ee4beg[11] , \tile_x12y12_ee4beg[10] , \tile_x12y12_ee4beg[9] , \tile_x12y12_ee4beg[8] , \tile_x12y12_ee4beg[7] , \tile_x12y12_ee4beg[6] , \tile_x12y12_ee4beg[5] , \tile_x12y12_ee4beg[4] , \tile_x12y12_ee4beg[3] , \tile_x12y12_ee4beg[2] , \tile_x12y12_ee4beg[1] , \tile_x12y12_ee4beg[0] }),
.framedata({ \tile_x12y12_framedata_o[31] , \tile_x12y12_framedata_o[30] , \tile_x12y12_framedata_o[29] , \tile_x12y12_framedata_o[28] , \tile_x12y12_framedata_o[27] , \tile_x12y12_framedata_o[26] , \tile_x12y12_framedata_o[25] , \tile_x12y12_framedata_o[24] , \tile_x12y12_framedata_o[23] , \tile_x12y12_framedata_o[22] , \tile_x12y12_framedata_o[21] , \tile_x12y12_framedata_o[20] , \tile_x12y12_framedata_o[19] , \tile_x12y12_framedata_o[18] , \tile_x12y12_framedata_o[17] , \tile_x12y12_framedata_o[16] , \tile_x12y12_framedata_o[15] , \tile_x12y12_framedata_o[14] , \tile_x12y12_framedata_o[13] , \tile_x12y12_framedata_o[12] , \tile_x12y12_framedata_o[11] , \tile_x12y12_framedata_o[10] , \tile_x12y12_framedata_o[9] , \tile_x12y12_framedata_o[8] , \tile_x12y12_framedata_o[7] , \tile_x12y12_framedata_o[6] , \tile_x12y12_framedata_o[5] , \tile_x12y12_framedata_o[4] , \tile_x12y12_framedata_o[3] , \tile_x12y12_framedata_o[2] , \tile_x12y12_framedata_o[1] , \tile_x12y12_framedata_o[0] }),
.framedata_o({ \tile_x13y12_framedata_o[31] , \tile_x13y12_framedata_o[30] , \tile_x13y12_framedata_o[29] , \tile_x13y12_framedata_o[28] , \tile_x13y12_framedata_o[27] , \tile_x13y12_framedata_o[26] , \tile_x13y12_framedata_o[25] , \tile_x13y12_framedata_o[24] , \tile_x13y12_framedata_o[23] , \tile_x13y12_framedata_o[22] , \tile_x13y12_framedata_o[21] , \tile_x13y12_framedata_o[20] , \tile_x13y12_framedata_o[19] , \tile_x13y12_framedata_o[18] , \tile_x13y12_framedata_o[17] , \tile_x13y12_framedata_o[16] , \tile_x13y12_framedata_o[15] , \tile_x13y12_framedata_o[14] , \tile_x13y12_framedata_o[13] , \tile_x13y12_framedata_o[12] , \tile_x13y12_framedata_o[11] , \tile_x13y12_framedata_o[10] , \tile_x13y12_framedata_o[9] , \tile_x13y12_framedata_o[8] , \tile_x13y12_framedata_o[7] , \tile_x13y12_framedata_o[6] , \tile_x13y12_framedata_o[5] , \tile_x13y12_framedata_o[4] , \tile_x13y12_framedata_o[3] , \tile_x13y12_framedata_o[2] , \tile_x13y12_framedata_o[1] , \tile_x13y12_framedata_o[0] }),
.framestrobe({ \tile_x13y13_framestrobe_o[19] , \tile_x13y13_framestrobe_o[18] , \tile_x13y13_framestrobe_o[17] , \tile_x13y13_framestrobe_o[16] , \tile_x13y13_framestrobe_o[15] , \tile_x13y13_framestrobe_o[14] , \tile_x13y13_framestrobe_o[13] , \tile_x13y13_framestrobe_o[12] , \tile_x13y13_framestrobe_o[11] , \tile_x13y13_framestrobe_o[10] , \tile_x13y13_framestrobe_o[9] , \tile_x13y13_framestrobe_o[8] , \tile_x13y13_framestrobe_o[7] , \tile_x13y13_framestrobe_o[6] , \tile_x13y13_framestrobe_o[5] , \tile_x13y13_framestrobe_o[4] , \tile_x13y13_framestrobe_o[3] , \tile_x13y13_framestrobe_o[2] , \tile_x13y13_framestrobe_o[1] , \tile_x13y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x13y12_framestrobe_o[19] , \tile_x13y12_framestrobe_o[18] , \tile_x13y12_framestrobe_o[17] , \tile_x13y12_framestrobe_o[16] , \tile_x13y12_framestrobe_o[15] , \tile_x13y12_framestrobe_o[14] , \tile_x13y12_framestrobe_o[13] , \tile_x13y12_framestrobe_o[12] , \tile_x13y12_framestrobe_o[11] , \tile_x13y12_framestrobe_o[10] , \tile_x13y12_framestrobe_o[9] , \tile_x13y12_framestrobe_o[8] , \tile_x13y12_framestrobe_o[7] , \tile_x13y12_framestrobe_o[6] , \tile_x13y12_framestrobe_o[5] , \tile_x13y12_framestrobe_o[4] , \tile_x13y12_framestrobe_o[3] , \tile_x13y12_framestrobe_o[2] , \tile_x13y12_framestrobe_o[1] , \tile_x13y12_framestrobe_o[0] }),
.n1beg({ \tile_x13y12_n1beg[3] , \tile_x13y12_n1beg[2] , \tile_x13y12_n1beg[1] , \tile_x13y12_n1beg[0] }),
.n1end({ \tile_x13y13_n1beg[3] , \tile_x13y13_n1beg[2] , \tile_x13y13_n1beg[1] , \tile_x13y13_n1beg[0] }),
.n2beg({ \tile_x13y12_n2beg[7] , \tile_x13y12_n2beg[6] , \tile_x13y12_n2beg[5] , \tile_x13y12_n2beg[4] , \tile_x13y12_n2beg[3] , \tile_x13y12_n2beg[2] , \tile_x13y12_n2beg[1] , \tile_x13y12_n2beg[0] }),
.n2begb({ \tile_x13y12_n2begb[7] , \tile_x13y12_n2begb[6] , \tile_x13y12_n2begb[5] , \tile_x13y12_n2begb[4] , \tile_x13y12_n2begb[3] , \tile_x13y12_n2begb[2] , \tile_x13y12_n2begb[1] , \tile_x13y12_n2begb[0] }),
.n2end({ \tile_x13y13_n2begb[7] , \tile_x13y13_n2begb[6] , \tile_x13y13_n2begb[5] , \tile_x13y13_n2begb[4] , \tile_x13y13_n2begb[3] , \tile_x13y13_n2begb[2] , \tile_x13y13_n2begb[1] , \tile_x13y13_n2begb[0] }),
.n2mid({ \tile_x13y13_n2beg[7] , \tile_x13y13_n2beg[6] , \tile_x13y13_n2beg[5] , \tile_x13y13_n2beg[4] , \tile_x13y13_n2beg[3] , \tile_x13y13_n2beg[2] , \tile_x13y13_n2beg[1] , \tile_x13y13_n2beg[0] }),
.n4beg({ \tile_x13y12_n4beg[15] , \tile_x13y12_n4beg[14] , \tile_x13y12_n4beg[13] , \tile_x13y12_n4beg[12] , \tile_x13y12_n4beg[11] , \tile_x13y12_n4beg[10] , \tile_x13y12_n4beg[9] , \tile_x13y12_n4beg[8] , \tile_x13y12_n4beg[7] , \tile_x13y12_n4beg[6] , \tile_x13y12_n4beg[5] , \tile_x13y12_n4beg[4] , \tile_x13y12_n4beg[3] , \tile_x13y12_n4beg[2] , \tile_x13y12_n4beg[1] , \tile_x13y12_n4beg[0] }),
.n4end({ \tile_x13y13_n4beg[15] , \tile_x13y13_n4beg[14] , \tile_x13y13_n4beg[13] , \tile_x13y13_n4beg[12] , \tile_x13y13_n4beg[11] , \tile_x13y13_n4beg[10] , \tile_x13y13_n4beg[9] , \tile_x13y13_n4beg[8] , \tile_x13y13_n4beg[7] , \tile_x13y13_n4beg[6] , \tile_x13y13_n4beg[5] , \tile_x13y13_n4beg[4] , \tile_x13y13_n4beg[3] , \tile_x13y13_n4beg[2] , \tile_x13y13_n4beg[1] , \tile_x13y13_n4beg[0] }),
.nn4beg({ \tile_x13y12_nn4beg[15] , \tile_x13y12_nn4beg[14] , \tile_x13y12_nn4beg[13] , \tile_x13y12_nn4beg[12] , \tile_x13y12_nn4beg[11] , \tile_x13y12_nn4beg[10] , \tile_x13y12_nn4beg[9] , \tile_x13y12_nn4beg[8] , \tile_x13y12_nn4beg[7] , \tile_x13y12_nn4beg[6] , \tile_x13y12_nn4beg[5] , \tile_x13y12_nn4beg[4] , \tile_x13y12_nn4beg[3] , \tile_x13y12_nn4beg[2] , \tile_x13y12_nn4beg[1] , \tile_x13y12_nn4beg[0] }),
.nn4end({ \tile_x13y13_nn4beg[15] , \tile_x13y13_nn4beg[14] , \tile_x13y13_nn4beg[13] , \tile_x13y13_nn4beg[12] , \tile_x13y13_nn4beg[11] , \tile_x13y13_nn4beg[10] , \tile_x13y13_nn4beg[9] , \tile_x13y13_nn4beg[8] , \tile_x13y13_nn4beg[7] , \tile_x13y13_nn4beg[6] , \tile_x13y13_nn4beg[5] , \tile_x13y13_nn4beg[4] , \tile_x13y13_nn4beg[3] , \tile_x13y13_nn4beg[2] , \tile_x13y13_nn4beg[1] , \tile_x13y13_nn4beg[0] }),
.s1beg({ \tile_x13y12_s1beg[3] , \tile_x13y12_s1beg[2] , \tile_x13y12_s1beg[1] , \tile_x13y12_s1beg[0] }),
.s1end({ \tile_x13y11_s1beg[3] , \tile_x13y11_s1beg[2] , \tile_x13y11_s1beg[1] , \tile_x13y11_s1beg[0] }),
.s2beg({ \tile_x13y12_s2beg[7] , \tile_x13y12_s2beg[6] , \tile_x13y12_s2beg[5] , \tile_x13y12_s2beg[4] , \tile_x13y12_s2beg[3] , \tile_x13y12_s2beg[2] , \tile_x13y12_s2beg[1] , \tile_x13y12_s2beg[0] }),
.s2begb({ \tile_x13y12_s2begb[7] , \tile_x13y12_s2begb[6] , \tile_x13y12_s2begb[5] , \tile_x13y12_s2begb[4] , \tile_x13y12_s2begb[3] , \tile_x13y12_s2begb[2] , \tile_x13y12_s2begb[1] , \tile_x13y12_s2begb[0] }),
.s2end({ \tile_x13y11_s2begb[7] , \tile_x13y11_s2begb[6] , \tile_x13y11_s2begb[5] , \tile_x13y11_s2begb[4] , \tile_x13y11_s2begb[3] , \tile_x13y11_s2begb[2] , \tile_x13y11_s2begb[1] , \tile_x13y11_s2begb[0] }),
.s2mid({ \tile_x13y11_s2beg[7] , \tile_x13y11_s2beg[6] , \tile_x13y11_s2beg[5] , \tile_x13y11_s2beg[4] , \tile_x13y11_s2beg[3] , \tile_x13y11_s2beg[2] , \tile_x13y11_s2beg[1] , \tile_x13y11_s2beg[0] }),
.s4beg({ \tile_x13y12_s4beg[15] , \tile_x13y12_s4beg[14] , \tile_x13y12_s4beg[13] , \tile_x13y12_s4beg[12] , \tile_x13y12_s4beg[11] , \tile_x13y12_s4beg[10] , \tile_x13y12_s4beg[9] , \tile_x13y12_s4beg[8] , \tile_x13y12_s4beg[7] , \tile_x13y12_s4beg[6] , \tile_x13y12_s4beg[5] , \tile_x13y12_s4beg[4] , \tile_x13y12_s4beg[3] , \tile_x13y12_s4beg[2] , \tile_x13y12_s4beg[1] , \tile_x13y12_s4beg[0] }),
.s4end({ \tile_x13y11_s4beg[15] , \tile_x13y11_s4beg[14] , \tile_x13y11_s4beg[13] , \tile_x13y11_s4beg[12] , \tile_x13y11_s4beg[11] , \tile_x13y11_s4beg[10] , \tile_x13y11_s4beg[9] , \tile_x13y11_s4beg[8] , \tile_x13y11_s4beg[7] , \tile_x13y11_s4beg[6] , \tile_x13y11_s4beg[5] , \tile_x13y11_s4beg[4] , \tile_x13y11_s4beg[3] , \tile_x13y11_s4beg[2] , \tile_x13y11_s4beg[1] , \tile_x13y11_s4beg[0] }),
.ss4beg({ \tile_x13y12_ss4beg[15] , \tile_x13y12_ss4beg[14] , \tile_x13y12_ss4beg[13] , \tile_x13y12_ss4beg[12] , \tile_x13y12_ss4beg[11] , \tile_x13y12_ss4beg[10] , \tile_x13y12_ss4beg[9] , \tile_x13y12_ss4beg[8] , \tile_x13y12_ss4beg[7] , \tile_x13y12_ss4beg[6] , \tile_x13y12_ss4beg[5] , \tile_x13y12_ss4beg[4] , \tile_x13y12_ss4beg[3] , \tile_x13y12_ss4beg[2] , \tile_x13y12_ss4beg[1] , \tile_x13y12_ss4beg[0] }),
.ss4end({ \tile_x13y11_ss4beg[15] , \tile_x13y11_ss4beg[14] , \tile_x13y11_ss4beg[13] , \tile_x13y11_ss4beg[12] , \tile_x13y11_ss4beg[11] , \tile_x13y11_ss4beg[10] , \tile_x13y11_ss4beg[9] , \tile_x13y11_ss4beg[8] , \tile_x13y11_ss4beg[7] , \tile_x13y11_ss4beg[6] , \tile_x13y11_ss4beg[5] , \tile_x13y11_ss4beg[4] , \tile_x13y11_ss4beg[3] , \tile_x13y11_ss4beg[2] , \tile_x13y11_ss4beg[1] , \tile_x13y11_ss4beg[0] }),
.userclk(tile_x13y13_userclko),
.userclko(tile_x13y12_userclko),
.w1beg({ \tile_x13y12_w1beg[3] , \tile_x13y12_w1beg[2] , \tile_x13y12_w1beg[1] , \tile_x13y12_w1beg[0] }),
.w1end({ \tile_x14y12_w1beg[3] , \tile_x14y12_w1beg[2] , \tile_x14y12_w1beg[1] , \tile_x14y12_w1beg[0] }),
.w2beg({ \tile_x13y12_w2beg[7] , \tile_x13y12_w2beg[6] , \tile_x13y12_w2beg[5] , \tile_x13y12_w2beg[4] , \tile_x13y12_w2beg[3] , \tile_x13y12_w2beg[2] , \tile_x13y12_w2beg[1] , \tile_x13y12_w2beg[0] }),
.w2begb({ \tile_x13y12_w2begb[7] , \tile_x13y12_w2begb[6] , \tile_x13y12_w2begb[5] , \tile_x13y12_w2begb[4] , \tile_x13y12_w2begb[3] , \tile_x13y12_w2begb[2] , \tile_x13y12_w2begb[1] , \tile_x13y12_w2begb[0] }),
.w2end({ \tile_x14y12_w2begb[7] , \tile_x14y12_w2begb[6] , \tile_x14y12_w2begb[5] , \tile_x14y12_w2begb[4] , \tile_x14y12_w2begb[3] , \tile_x14y12_w2begb[2] , \tile_x14y12_w2begb[1] , \tile_x14y12_w2begb[0] }),
.w2mid({ \tile_x14y12_w2beg[7] , \tile_x14y12_w2beg[6] , \tile_x14y12_w2beg[5] , \tile_x14y12_w2beg[4] , \tile_x14y12_w2beg[3] , \tile_x14y12_w2beg[2] , \tile_x14y12_w2beg[1] , \tile_x14y12_w2beg[0] }),
.w6beg({ \tile_x13y12_w6beg[11] , \tile_x13y12_w6beg[10] , \tile_x13y12_w6beg[9] , \tile_x13y12_w6beg[8] , \tile_x13y12_w6beg[7] , \tile_x13y12_w6beg[6] , \tile_x13y12_w6beg[5] , \tile_x13y12_w6beg[4] , \tile_x13y12_w6beg[3] , \tile_x13y12_w6beg[2] , \tile_x13y12_w6beg[1] , \tile_x13y12_w6beg[0] }),
.w6end({ \tile_x14y12_w6beg[11] , \tile_x14y12_w6beg[10] , \tile_x14y12_w6beg[9] , \tile_x14y12_w6beg[8] , \tile_x14y12_w6beg[7] , \tile_x14y12_w6beg[6] , \tile_x14y12_w6beg[5] , \tile_x14y12_w6beg[4] , \tile_x14y12_w6beg[3] , \tile_x14y12_w6beg[2] , \tile_x14y12_w6beg[1] , \tile_x14y12_w6beg[0] }),
.ww4beg({ \tile_x13y12_ww4beg[15] , \tile_x13y12_ww4beg[14] , \tile_x13y12_ww4beg[13] , \tile_x13y12_ww4beg[12] , \tile_x13y12_ww4beg[11] , \tile_x13y12_ww4beg[10] , \tile_x13y12_ww4beg[9] , \tile_x13y12_ww4beg[8] , \tile_x13y12_ww4beg[7] , \tile_x13y12_ww4beg[6] , \tile_x13y12_ww4beg[5] , \tile_x13y12_ww4beg[4] , \tile_x13y12_ww4beg[3] , \tile_x13y12_ww4beg[2] , \tile_x13y12_ww4beg[1] , \tile_x13y12_ww4beg[0] }),
.ww4end({ \tile_x14y12_ww4beg[15] , \tile_x14y12_ww4beg[14] , \tile_x14y12_ww4beg[13] , \tile_x14y12_ww4beg[12] , \tile_x14y12_ww4beg[11] , \tile_x14y12_ww4beg[10] , \tile_x14y12_ww4beg[9] , \tile_x14y12_ww4beg[8] , \tile_x14y12_ww4beg[7] , \tile_x14y12_ww4beg[6] , \tile_x14y12_ww4beg[5] , \tile_x14y12_ww4beg[4] , \tile_x14y12_ww4beg[3] , \tile_x14y12_ww4beg[2] , \tile_x14y12_ww4beg[1] , \tile_x14y12_ww4beg[0] })
);
lut4ab tile_x13y13_lut4ab (
.ci(tile_x13y14_co),
.co(tile_x13y13_co),
.e1beg({ \tile_x13y13_e1beg[3] , \tile_x13y13_e1beg[2] , \tile_x13y13_e1beg[1] , \tile_x13y13_e1beg[0] }),
.e1end({ \tile_x12y13_e1beg[3] , \tile_x12y13_e1beg[2] , \tile_x12y13_e1beg[1] , \tile_x12y13_e1beg[0] }),
.e2beg({ \tile_x13y13_e2beg[7] , \tile_x13y13_e2beg[6] , \tile_x13y13_e2beg[5] , \tile_x13y13_e2beg[4] , \tile_x13y13_e2beg[3] , \tile_x13y13_e2beg[2] , \tile_x13y13_e2beg[1] , \tile_x13y13_e2beg[0] }),
.e2begb({ \tile_x13y13_e2begb[7] , \tile_x13y13_e2begb[6] , \tile_x13y13_e2begb[5] , \tile_x13y13_e2begb[4] , \tile_x13y13_e2begb[3] , \tile_x13y13_e2begb[2] , \tile_x13y13_e2begb[1] , \tile_x13y13_e2begb[0] }),
.e2end({ \tile_x12y13_e2begb[7] , \tile_x12y13_e2begb[6] , \tile_x12y13_e2begb[5] , \tile_x12y13_e2begb[4] , \tile_x12y13_e2begb[3] , \tile_x12y13_e2begb[2] , \tile_x12y13_e2begb[1] , \tile_x12y13_e2begb[0] }),
.e2mid({ \tile_x12y13_e2beg[7] , \tile_x12y13_e2beg[6] , \tile_x12y13_e2beg[5] , \tile_x12y13_e2beg[4] , \tile_x12y13_e2beg[3] , \tile_x12y13_e2beg[2] , \tile_x12y13_e2beg[1] , \tile_x12y13_e2beg[0] }),
.e6beg({ \tile_x13y13_e6beg[11] , \tile_x13y13_e6beg[10] , \tile_x13y13_e6beg[9] , \tile_x13y13_e6beg[8] , \tile_x13y13_e6beg[7] , \tile_x13y13_e6beg[6] , \tile_x13y13_e6beg[5] , \tile_x13y13_e6beg[4] , \tile_x13y13_e6beg[3] , \tile_x13y13_e6beg[2] , \tile_x13y13_e6beg[1] , \tile_x13y13_e6beg[0] }),
.e6end({ \tile_x12y13_e6beg[11] , \tile_x12y13_e6beg[10] , \tile_x12y13_e6beg[9] , \tile_x12y13_e6beg[8] , \tile_x12y13_e6beg[7] , \tile_x12y13_e6beg[6] , \tile_x12y13_e6beg[5] , \tile_x12y13_e6beg[4] , \tile_x12y13_e6beg[3] , \tile_x12y13_e6beg[2] , \tile_x12y13_e6beg[1] , \tile_x12y13_e6beg[0] }),
.ee4beg({ \tile_x13y13_ee4beg[15] , \tile_x13y13_ee4beg[14] , \tile_x13y13_ee4beg[13] , \tile_x13y13_ee4beg[12] , \tile_x13y13_ee4beg[11] , \tile_x13y13_ee4beg[10] , \tile_x13y13_ee4beg[9] , \tile_x13y13_ee4beg[8] , \tile_x13y13_ee4beg[7] , \tile_x13y13_ee4beg[6] , \tile_x13y13_ee4beg[5] , \tile_x13y13_ee4beg[4] , \tile_x13y13_ee4beg[3] , \tile_x13y13_ee4beg[2] , \tile_x13y13_ee4beg[1] , \tile_x13y13_ee4beg[0] }),
.ee4end({ \tile_x12y13_ee4beg[15] , \tile_x12y13_ee4beg[14] , \tile_x12y13_ee4beg[13] , \tile_x12y13_ee4beg[12] , \tile_x12y13_ee4beg[11] , \tile_x12y13_ee4beg[10] , \tile_x12y13_ee4beg[9] , \tile_x12y13_ee4beg[8] , \tile_x12y13_ee4beg[7] , \tile_x12y13_ee4beg[6] , \tile_x12y13_ee4beg[5] , \tile_x12y13_ee4beg[4] , \tile_x12y13_ee4beg[3] , \tile_x12y13_ee4beg[2] , \tile_x12y13_ee4beg[1] , \tile_x12y13_ee4beg[0] }),
.framedata({ \tile_x12y13_framedata_o[31] , \tile_x12y13_framedata_o[30] , \tile_x12y13_framedata_o[29] , \tile_x12y13_framedata_o[28] , \tile_x12y13_framedata_o[27] , \tile_x12y13_framedata_o[26] , \tile_x12y13_framedata_o[25] , \tile_x12y13_framedata_o[24] , \tile_x12y13_framedata_o[23] , \tile_x12y13_framedata_o[22] , \tile_x12y13_framedata_o[21] , \tile_x12y13_framedata_o[20] , \tile_x12y13_framedata_o[19] , \tile_x12y13_framedata_o[18] , \tile_x12y13_framedata_o[17] , \tile_x12y13_framedata_o[16] , \tile_x12y13_framedata_o[15] , \tile_x12y13_framedata_o[14] , \tile_x12y13_framedata_o[13] , \tile_x12y13_framedata_o[12] , \tile_x12y13_framedata_o[11] , \tile_x12y13_framedata_o[10] , \tile_x12y13_framedata_o[9] , \tile_x12y13_framedata_o[8] , \tile_x12y13_framedata_o[7] , \tile_x12y13_framedata_o[6] , \tile_x12y13_framedata_o[5] , \tile_x12y13_framedata_o[4] , \tile_x12y13_framedata_o[3] , \tile_x12y13_framedata_o[2] , \tile_x12y13_framedata_o[1] , \tile_x12y13_framedata_o[0] }),
.framedata_o({ \tile_x13y13_framedata_o[31] , \tile_x13y13_framedata_o[30] , \tile_x13y13_framedata_o[29] , \tile_x13y13_framedata_o[28] , \tile_x13y13_framedata_o[27] , \tile_x13y13_framedata_o[26] , \tile_x13y13_framedata_o[25] , \tile_x13y13_framedata_o[24] , \tile_x13y13_framedata_o[23] , \tile_x13y13_framedata_o[22] , \tile_x13y13_framedata_o[21] , \tile_x13y13_framedata_o[20] , \tile_x13y13_framedata_o[19] , \tile_x13y13_framedata_o[18] , \tile_x13y13_framedata_o[17] , \tile_x13y13_framedata_o[16] , \tile_x13y13_framedata_o[15] , \tile_x13y13_framedata_o[14] , \tile_x13y13_framedata_o[13] , \tile_x13y13_framedata_o[12] , \tile_x13y13_framedata_o[11] , \tile_x13y13_framedata_o[10] , \tile_x13y13_framedata_o[9] , \tile_x13y13_framedata_o[8] , \tile_x13y13_framedata_o[7] , \tile_x13y13_framedata_o[6] , \tile_x13y13_framedata_o[5] , \tile_x13y13_framedata_o[4] , \tile_x13y13_framedata_o[3] , \tile_x13y13_framedata_o[2] , \tile_x13y13_framedata_o[1] , \tile_x13y13_framedata_o[0] }),
.framestrobe({ \tile_x13y14_framestrobe_o[19] , \tile_x13y14_framestrobe_o[18] , \tile_x13y14_framestrobe_o[17] , \tile_x13y14_framestrobe_o[16] , \tile_x13y14_framestrobe_o[15] , \tile_x13y14_framestrobe_o[14] , \tile_x13y14_framestrobe_o[13] , \tile_x13y14_framestrobe_o[12] , \tile_x13y14_framestrobe_o[11] , \tile_x13y14_framestrobe_o[10] , \tile_x13y14_framestrobe_o[9] , \tile_x13y14_framestrobe_o[8] , \tile_x13y14_framestrobe_o[7] , \tile_x13y14_framestrobe_o[6] , \tile_x13y14_framestrobe_o[5] , \tile_x13y14_framestrobe_o[4] , \tile_x13y14_framestrobe_o[3] , \tile_x13y14_framestrobe_o[2] , \tile_x13y14_framestrobe_o[1] , \tile_x13y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x13y13_framestrobe_o[19] , \tile_x13y13_framestrobe_o[18] , \tile_x13y13_framestrobe_o[17] , \tile_x13y13_framestrobe_o[16] , \tile_x13y13_framestrobe_o[15] , \tile_x13y13_framestrobe_o[14] , \tile_x13y13_framestrobe_o[13] , \tile_x13y13_framestrobe_o[12] , \tile_x13y13_framestrobe_o[11] , \tile_x13y13_framestrobe_o[10] , \tile_x13y13_framestrobe_o[9] , \tile_x13y13_framestrobe_o[8] , \tile_x13y13_framestrobe_o[7] , \tile_x13y13_framestrobe_o[6] , \tile_x13y13_framestrobe_o[5] , \tile_x13y13_framestrobe_o[4] , \tile_x13y13_framestrobe_o[3] , \tile_x13y13_framestrobe_o[2] , \tile_x13y13_framestrobe_o[1] , \tile_x13y13_framestrobe_o[0] }),
.n1beg({ \tile_x13y13_n1beg[3] , \tile_x13y13_n1beg[2] , \tile_x13y13_n1beg[1] , \tile_x13y13_n1beg[0] }),
.n1end({ \tile_x13y14_n1beg[3] , \tile_x13y14_n1beg[2] , \tile_x13y14_n1beg[1] , \tile_x13y14_n1beg[0] }),
.n2beg({ \tile_x13y13_n2beg[7] , \tile_x13y13_n2beg[6] , \tile_x13y13_n2beg[5] , \tile_x13y13_n2beg[4] , \tile_x13y13_n2beg[3] , \tile_x13y13_n2beg[2] , \tile_x13y13_n2beg[1] , \tile_x13y13_n2beg[0] }),
.n2begb({ \tile_x13y13_n2begb[7] , \tile_x13y13_n2begb[6] , \tile_x13y13_n2begb[5] , \tile_x13y13_n2begb[4] , \tile_x13y13_n2begb[3] , \tile_x13y13_n2begb[2] , \tile_x13y13_n2begb[1] , \tile_x13y13_n2begb[0] }),
.n2end({ \tile_x13y14_n2begb[7] , \tile_x13y14_n2begb[6] , \tile_x13y14_n2begb[5] , \tile_x13y14_n2begb[4] , \tile_x13y14_n2begb[3] , \tile_x13y14_n2begb[2] , \tile_x13y14_n2begb[1] , \tile_x13y14_n2begb[0] }),
.n2mid({ \tile_x13y14_n2beg[7] , \tile_x13y14_n2beg[6] , \tile_x13y14_n2beg[5] , \tile_x13y14_n2beg[4] , \tile_x13y14_n2beg[3] , \tile_x13y14_n2beg[2] , \tile_x13y14_n2beg[1] , \tile_x13y14_n2beg[0] }),
.n4beg({ \tile_x13y13_n4beg[15] , \tile_x13y13_n4beg[14] , \tile_x13y13_n4beg[13] , \tile_x13y13_n4beg[12] , \tile_x13y13_n4beg[11] , \tile_x13y13_n4beg[10] , \tile_x13y13_n4beg[9] , \tile_x13y13_n4beg[8] , \tile_x13y13_n4beg[7] , \tile_x13y13_n4beg[6] , \tile_x13y13_n4beg[5] , \tile_x13y13_n4beg[4] , \tile_x13y13_n4beg[3] , \tile_x13y13_n4beg[2] , \tile_x13y13_n4beg[1] , \tile_x13y13_n4beg[0] }),
.n4end({ \tile_x13y14_n4beg[15] , \tile_x13y14_n4beg[14] , \tile_x13y14_n4beg[13] , \tile_x13y14_n4beg[12] , \tile_x13y14_n4beg[11] , \tile_x13y14_n4beg[10] , \tile_x13y14_n4beg[9] , \tile_x13y14_n4beg[8] , \tile_x13y14_n4beg[7] , \tile_x13y14_n4beg[6] , \tile_x13y14_n4beg[5] , \tile_x13y14_n4beg[4] , \tile_x13y14_n4beg[3] , \tile_x13y14_n4beg[2] , \tile_x13y14_n4beg[1] , \tile_x13y14_n4beg[0] }),
.nn4beg({ \tile_x13y13_nn4beg[15] , \tile_x13y13_nn4beg[14] , \tile_x13y13_nn4beg[13] , \tile_x13y13_nn4beg[12] , \tile_x13y13_nn4beg[11] , \tile_x13y13_nn4beg[10] , \tile_x13y13_nn4beg[9] , \tile_x13y13_nn4beg[8] , \tile_x13y13_nn4beg[7] , \tile_x13y13_nn4beg[6] , \tile_x13y13_nn4beg[5] , \tile_x13y13_nn4beg[4] , \tile_x13y13_nn4beg[3] , \tile_x13y13_nn4beg[2] , \tile_x13y13_nn4beg[1] , \tile_x13y13_nn4beg[0] }),
.nn4end({ \tile_x13y14_nn4beg[15] , \tile_x13y14_nn4beg[14] , \tile_x13y14_nn4beg[13] , \tile_x13y14_nn4beg[12] , \tile_x13y14_nn4beg[11] , \tile_x13y14_nn4beg[10] , \tile_x13y14_nn4beg[9] , \tile_x13y14_nn4beg[8] , \tile_x13y14_nn4beg[7] , \tile_x13y14_nn4beg[6] , \tile_x13y14_nn4beg[5] , \tile_x13y14_nn4beg[4] , \tile_x13y14_nn4beg[3] , \tile_x13y14_nn4beg[2] , \tile_x13y14_nn4beg[1] , \tile_x13y14_nn4beg[0] }),
.s1beg({ \tile_x13y13_s1beg[3] , \tile_x13y13_s1beg[2] , \tile_x13y13_s1beg[1] , \tile_x13y13_s1beg[0] }),
.s1end({ \tile_x13y12_s1beg[3] , \tile_x13y12_s1beg[2] , \tile_x13y12_s1beg[1] , \tile_x13y12_s1beg[0] }),
.s2beg({ \tile_x13y13_s2beg[7] , \tile_x13y13_s2beg[6] , \tile_x13y13_s2beg[5] , \tile_x13y13_s2beg[4] , \tile_x13y13_s2beg[3] , \tile_x13y13_s2beg[2] , \tile_x13y13_s2beg[1] , \tile_x13y13_s2beg[0] }),
.s2begb({ \tile_x13y13_s2begb[7] , \tile_x13y13_s2begb[6] , \tile_x13y13_s2begb[5] , \tile_x13y13_s2begb[4] , \tile_x13y13_s2begb[3] , \tile_x13y13_s2begb[2] , \tile_x13y13_s2begb[1] , \tile_x13y13_s2begb[0] }),
.s2end({ \tile_x13y12_s2begb[7] , \tile_x13y12_s2begb[6] , \tile_x13y12_s2begb[5] , \tile_x13y12_s2begb[4] , \tile_x13y12_s2begb[3] , \tile_x13y12_s2begb[2] , \tile_x13y12_s2begb[1] , \tile_x13y12_s2begb[0] }),
.s2mid({ \tile_x13y12_s2beg[7] , \tile_x13y12_s2beg[6] , \tile_x13y12_s2beg[5] , \tile_x13y12_s2beg[4] , \tile_x13y12_s2beg[3] , \tile_x13y12_s2beg[2] , \tile_x13y12_s2beg[1] , \tile_x13y12_s2beg[0] }),
.s4beg({ \tile_x13y13_s4beg[15] , \tile_x13y13_s4beg[14] , \tile_x13y13_s4beg[13] , \tile_x13y13_s4beg[12] , \tile_x13y13_s4beg[11] , \tile_x13y13_s4beg[10] , \tile_x13y13_s4beg[9] , \tile_x13y13_s4beg[8] , \tile_x13y13_s4beg[7] , \tile_x13y13_s4beg[6] , \tile_x13y13_s4beg[5] , \tile_x13y13_s4beg[4] , \tile_x13y13_s4beg[3] , \tile_x13y13_s4beg[2] , \tile_x13y13_s4beg[1] , \tile_x13y13_s4beg[0] }),
.s4end({ \tile_x13y12_s4beg[15] , \tile_x13y12_s4beg[14] , \tile_x13y12_s4beg[13] , \tile_x13y12_s4beg[12] , \tile_x13y12_s4beg[11] , \tile_x13y12_s4beg[10] , \tile_x13y12_s4beg[9] , \tile_x13y12_s4beg[8] , \tile_x13y12_s4beg[7] , \tile_x13y12_s4beg[6] , \tile_x13y12_s4beg[5] , \tile_x13y12_s4beg[4] , \tile_x13y12_s4beg[3] , \tile_x13y12_s4beg[2] , \tile_x13y12_s4beg[1] , \tile_x13y12_s4beg[0] }),
.ss4beg({ \tile_x13y13_ss4beg[15] , \tile_x13y13_ss4beg[14] , \tile_x13y13_ss4beg[13] , \tile_x13y13_ss4beg[12] , \tile_x13y13_ss4beg[11] , \tile_x13y13_ss4beg[10] , \tile_x13y13_ss4beg[9] , \tile_x13y13_ss4beg[8] , \tile_x13y13_ss4beg[7] , \tile_x13y13_ss4beg[6] , \tile_x13y13_ss4beg[5] , \tile_x13y13_ss4beg[4] , \tile_x13y13_ss4beg[3] , \tile_x13y13_ss4beg[2] , \tile_x13y13_ss4beg[1] , \tile_x13y13_ss4beg[0] }),
.ss4end({ \tile_x13y12_ss4beg[15] , \tile_x13y12_ss4beg[14] , \tile_x13y12_ss4beg[13] , \tile_x13y12_ss4beg[12] , \tile_x13y12_ss4beg[11] , \tile_x13y12_ss4beg[10] , \tile_x13y12_ss4beg[9] , \tile_x13y12_ss4beg[8] , \tile_x13y12_ss4beg[7] , \tile_x13y12_ss4beg[6] , \tile_x13y12_ss4beg[5] , \tile_x13y12_ss4beg[4] , \tile_x13y12_ss4beg[3] , \tile_x13y12_ss4beg[2] , \tile_x13y12_ss4beg[1] , \tile_x13y12_ss4beg[0] }),
.userclk(tile_x13y14_userclko),
.userclko(tile_x13y13_userclko),
.w1beg({ \tile_x13y13_w1beg[3] , \tile_x13y13_w1beg[2] , \tile_x13y13_w1beg[1] , \tile_x13y13_w1beg[0] }),
.w1end({ \tile_x14y13_w1beg[3] , \tile_x14y13_w1beg[2] , \tile_x14y13_w1beg[1] , \tile_x14y13_w1beg[0] }),
.w2beg({ \tile_x13y13_w2beg[7] , \tile_x13y13_w2beg[6] , \tile_x13y13_w2beg[5] , \tile_x13y13_w2beg[4] , \tile_x13y13_w2beg[3] , \tile_x13y13_w2beg[2] , \tile_x13y13_w2beg[1] , \tile_x13y13_w2beg[0] }),
.w2begb({ \tile_x13y13_w2begb[7] , \tile_x13y13_w2begb[6] , \tile_x13y13_w2begb[5] , \tile_x13y13_w2begb[4] , \tile_x13y13_w2begb[3] , \tile_x13y13_w2begb[2] , \tile_x13y13_w2begb[1] , \tile_x13y13_w2begb[0] }),
.w2end({ \tile_x14y13_w2begb[7] , \tile_x14y13_w2begb[6] , \tile_x14y13_w2begb[5] , \tile_x14y13_w2begb[4] , \tile_x14y13_w2begb[3] , \tile_x14y13_w2begb[2] , \tile_x14y13_w2begb[1] , \tile_x14y13_w2begb[0] }),
.w2mid({ \tile_x14y13_w2beg[7] , \tile_x14y13_w2beg[6] , \tile_x14y13_w2beg[5] , \tile_x14y13_w2beg[4] , \tile_x14y13_w2beg[3] , \tile_x14y13_w2beg[2] , \tile_x14y13_w2beg[1] , \tile_x14y13_w2beg[0] }),
.w6beg({ \tile_x13y13_w6beg[11] , \tile_x13y13_w6beg[10] , \tile_x13y13_w6beg[9] , \tile_x13y13_w6beg[8] , \tile_x13y13_w6beg[7] , \tile_x13y13_w6beg[6] , \tile_x13y13_w6beg[5] , \tile_x13y13_w6beg[4] , \tile_x13y13_w6beg[3] , \tile_x13y13_w6beg[2] , \tile_x13y13_w6beg[1] , \tile_x13y13_w6beg[0] }),
.w6end({ \tile_x14y13_w6beg[11] , \tile_x14y13_w6beg[10] , \tile_x14y13_w6beg[9] , \tile_x14y13_w6beg[8] , \tile_x14y13_w6beg[7] , \tile_x14y13_w6beg[6] , \tile_x14y13_w6beg[5] , \tile_x14y13_w6beg[4] , \tile_x14y13_w6beg[3] , \tile_x14y13_w6beg[2] , \tile_x14y13_w6beg[1] , \tile_x14y13_w6beg[0] }),
.ww4beg({ \tile_x13y13_ww4beg[15] , \tile_x13y13_ww4beg[14] , \tile_x13y13_ww4beg[13] , \tile_x13y13_ww4beg[12] , \tile_x13y13_ww4beg[11] , \tile_x13y13_ww4beg[10] , \tile_x13y13_ww4beg[9] , \tile_x13y13_ww4beg[8] , \tile_x13y13_ww4beg[7] , \tile_x13y13_ww4beg[6] , \tile_x13y13_ww4beg[5] , \tile_x13y13_ww4beg[4] , \tile_x13y13_ww4beg[3] , \tile_x13y13_ww4beg[2] , \tile_x13y13_ww4beg[1] , \tile_x13y13_ww4beg[0] }),
.ww4end({ \tile_x14y13_ww4beg[15] , \tile_x14y13_ww4beg[14] , \tile_x14y13_ww4beg[13] , \tile_x14y13_ww4beg[12] , \tile_x14y13_ww4beg[11] , \tile_x14y13_ww4beg[10] , \tile_x14y13_ww4beg[9] , \tile_x14y13_ww4beg[8] , \tile_x14y13_ww4beg[7] , \tile_x14y13_ww4beg[6] , \tile_x14y13_ww4beg[5] , \tile_x14y13_ww4beg[4] , \tile_x14y13_ww4beg[3] , \tile_x14y13_ww4beg[2] , \tile_x14y13_ww4beg[1] , \tile_x14y13_ww4beg[0] })
);
lut4ab tile_x13y14_lut4ab (
.ci(tile_x13y15_co),
.co(tile_x13y14_co),
.e1beg({ \tile_x13y14_e1beg[3] , \tile_x13y14_e1beg[2] , \tile_x13y14_e1beg[1] , \tile_x13y14_e1beg[0] }),
.e1end({ \tile_x12y14_e1beg[3] , \tile_x12y14_e1beg[2] , \tile_x12y14_e1beg[1] , \tile_x12y14_e1beg[0] }),
.e2beg({ \tile_x13y14_e2beg[7] , \tile_x13y14_e2beg[6] , \tile_x13y14_e2beg[5] , \tile_x13y14_e2beg[4] , \tile_x13y14_e2beg[3] , \tile_x13y14_e2beg[2] , \tile_x13y14_e2beg[1] , \tile_x13y14_e2beg[0] }),
.e2begb({ \tile_x13y14_e2begb[7] , \tile_x13y14_e2begb[6] , \tile_x13y14_e2begb[5] , \tile_x13y14_e2begb[4] , \tile_x13y14_e2begb[3] , \tile_x13y14_e2begb[2] , \tile_x13y14_e2begb[1] , \tile_x13y14_e2begb[0] }),
.e2end({ \tile_x12y14_e2begb[7] , \tile_x12y14_e2begb[6] , \tile_x12y14_e2begb[5] , \tile_x12y14_e2begb[4] , \tile_x12y14_e2begb[3] , \tile_x12y14_e2begb[2] , \tile_x12y14_e2begb[1] , \tile_x12y14_e2begb[0] }),
.e2mid({ \tile_x12y14_e2beg[7] , \tile_x12y14_e2beg[6] , \tile_x12y14_e2beg[5] , \tile_x12y14_e2beg[4] , \tile_x12y14_e2beg[3] , \tile_x12y14_e2beg[2] , \tile_x12y14_e2beg[1] , \tile_x12y14_e2beg[0] }),
.e6beg({ \tile_x13y14_e6beg[11] , \tile_x13y14_e6beg[10] , \tile_x13y14_e6beg[9] , \tile_x13y14_e6beg[8] , \tile_x13y14_e6beg[7] , \tile_x13y14_e6beg[6] , \tile_x13y14_e6beg[5] , \tile_x13y14_e6beg[4] , \tile_x13y14_e6beg[3] , \tile_x13y14_e6beg[2] , \tile_x13y14_e6beg[1] , \tile_x13y14_e6beg[0] }),
.e6end({ \tile_x12y14_e6beg[11] , \tile_x12y14_e6beg[10] , \tile_x12y14_e6beg[9] , \tile_x12y14_e6beg[8] , \tile_x12y14_e6beg[7] , \tile_x12y14_e6beg[6] , \tile_x12y14_e6beg[5] , \tile_x12y14_e6beg[4] , \tile_x12y14_e6beg[3] , \tile_x12y14_e6beg[2] , \tile_x12y14_e6beg[1] , \tile_x12y14_e6beg[0] }),
.ee4beg({ \tile_x13y14_ee4beg[15] , \tile_x13y14_ee4beg[14] , \tile_x13y14_ee4beg[13] , \tile_x13y14_ee4beg[12] , \tile_x13y14_ee4beg[11] , \tile_x13y14_ee4beg[10] , \tile_x13y14_ee4beg[9] , \tile_x13y14_ee4beg[8] , \tile_x13y14_ee4beg[7] , \tile_x13y14_ee4beg[6] , \tile_x13y14_ee4beg[5] , \tile_x13y14_ee4beg[4] , \tile_x13y14_ee4beg[3] , \tile_x13y14_ee4beg[2] , \tile_x13y14_ee4beg[1] , \tile_x13y14_ee4beg[0] }),
.ee4end({ \tile_x12y14_ee4beg[15] , \tile_x12y14_ee4beg[14] , \tile_x12y14_ee4beg[13] , \tile_x12y14_ee4beg[12] , \tile_x12y14_ee4beg[11] , \tile_x12y14_ee4beg[10] , \tile_x12y14_ee4beg[9] , \tile_x12y14_ee4beg[8] , \tile_x12y14_ee4beg[7] , \tile_x12y14_ee4beg[6] , \tile_x12y14_ee4beg[5] , \tile_x12y14_ee4beg[4] , \tile_x12y14_ee4beg[3] , \tile_x12y14_ee4beg[2] , \tile_x12y14_ee4beg[1] , \tile_x12y14_ee4beg[0] }),
.framedata({ \tile_x12y14_framedata_o[31] , \tile_x12y14_framedata_o[30] , \tile_x12y14_framedata_o[29] , \tile_x12y14_framedata_o[28] , \tile_x12y14_framedata_o[27] , \tile_x12y14_framedata_o[26] , \tile_x12y14_framedata_o[25] , \tile_x12y14_framedata_o[24] , \tile_x12y14_framedata_o[23] , \tile_x12y14_framedata_o[22] , \tile_x12y14_framedata_o[21] , \tile_x12y14_framedata_o[20] , \tile_x12y14_framedata_o[19] , \tile_x12y14_framedata_o[18] , \tile_x12y14_framedata_o[17] , \tile_x12y14_framedata_o[16] , \tile_x12y14_framedata_o[15] , \tile_x12y14_framedata_o[14] , \tile_x12y14_framedata_o[13] , \tile_x12y14_framedata_o[12] , \tile_x12y14_framedata_o[11] , \tile_x12y14_framedata_o[10] , \tile_x12y14_framedata_o[9] , \tile_x12y14_framedata_o[8] , \tile_x12y14_framedata_o[7] , \tile_x12y14_framedata_o[6] , \tile_x12y14_framedata_o[5] , \tile_x12y14_framedata_o[4] , \tile_x12y14_framedata_o[3] , \tile_x12y14_framedata_o[2] , \tile_x12y14_framedata_o[1] , \tile_x12y14_framedata_o[0] }),
.framedata_o({ \tile_x13y14_framedata_o[31] , \tile_x13y14_framedata_o[30] , \tile_x13y14_framedata_o[29] , \tile_x13y14_framedata_o[28] , \tile_x13y14_framedata_o[27] , \tile_x13y14_framedata_o[26] , \tile_x13y14_framedata_o[25] , \tile_x13y14_framedata_o[24] , \tile_x13y14_framedata_o[23] , \tile_x13y14_framedata_o[22] , \tile_x13y14_framedata_o[21] , \tile_x13y14_framedata_o[20] , \tile_x13y14_framedata_o[19] , \tile_x13y14_framedata_o[18] , \tile_x13y14_framedata_o[17] , \tile_x13y14_framedata_o[16] , \tile_x13y14_framedata_o[15] , \tile_x13y14_framedata_o[14] , \tile_x13y14_framedata_o[13] , \tile_x13y14_framedata_o[12] , \tile_x13y14_framedata_o[11] , \tile_x13y14_framedata_o[10] , \tile_x13y14_framedata_o[9] , \tile_x13y14_framedata_o[8] , \tile_x13y14_framedata_o[7] , \tile_x13y14_framedata_o[6] , \tile_x13y14_framedata_o[5] , \tile_x13y14_framedata_o[4] , \tile_x13y14_framedata_o[3] , \tile_x13y14_framedata_o[2] , \tile_x13y14_framedata_o[1] , \tile_x13y14_framedata_o[0] }),
.framestrobe({ \tile_x13y15_framestrobe_o[19] , \tile_x13y15_framestrobe_o[18] , \tile_x13y15_framestrobe_o[17] , \tile_x13y15_framestrobe_o[16] , \tile_x13y15_framestrobe_o[15] , \tile_x13y15_framestrobe_o[14] , \tile_x13y15_framestrobe_o[13] , \tile_x13y15_framestrobe_o[12] , \tile_x13y15_framestrobe_o[11] , \tile_x13y15_framestrobe_o[10] , \tile_x13y15_framestrobe_o[9] , \tile_x13y15_framestrobe_o[8] , \tile_x13y15_framestrobe_o[7] , \tile_x13y15_framestrobe_o[6] , \tile_x13y15_framestrobe_o[5] , \tile_x13y15_framestrobe_o[4] , \tile_x13y15_framestrobe_o[3] , \tile_x13y15_framestrobe_o[2] , \tile_x13y15_framestrobe_o[1] , \tile_x13y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x13y14_framestrobe_o[19] , \tile_x13y14_framestrobe_o[18] , \tile_x13y14_framestrobe_o[17] , \tile_x13y14_framestrobe_o[16] , \tile_x13y14_framestrobe_o[15] , \tile_x13y14_framestrobe_o[14] , \tile_x13y14_framestrobe_o[13] , \tile_x13y14_framestrobe_o[12] , \tile_x13y14_framestrobe_o[11] , \tile_x13y14_framestrobe_o[10] , \tile_x13y14_framestrobe_o[9] , \tile_x13y14_framestrobe_o[8] , \tile_x13y14_framestrobe_o[7] , \tile_x13y14_framestrobe_o[6] , \tile_x13y14_framestrobe_o[5] , \tile_x13y14_framestrobe_o[4] , \tile_x13y14_framestrobe_o[3] , \tile_x13y14_framestrobe_o[2] , \tile_x13y14_framestrobe_o[1] , \tile_x13y14_framestrobe_o[0] }),
.n1beg({ \tile_x13y14_n1beg[3] , \tile_x13y14_n1beg[2] , \tile_x13y14_n1beg[1] , \tile_x13y14_n1beg[0] }),
.n1end({ \tile_x13y15_n1beg[3] , \tile_x13y15_n1beg[2] , \tile_x13y15_n1beg[1] , \tile_x13y15_n1beg[0] }),
.n2beg({ \tile_x13y14_n2beg[7] , \tile_x13y14_n2beg[6] , \tile_x13y14_n2beg[5] , \tile_x13y14_n2beg[4] , \tile_x13y14_n2beg[3] , \tile_x13y14_n2beg[2] , \tile_x13y14_n2beg[1] , \tile_x13y14_n2beg[0] }),
.n2begb({ \tile_x13y14_n2begb[7] , \tile_x13y14_n2begb[6] , \tile_x13y14_n2begb[5] , \tile_x13y14_n2begb[4] , \tile_x13y14_n2begb[3] , \tile_x13y14_n2begb[2] , \tile_x13y14_n2begb[1] , \tile_x13y14_n2begb[0] }),
.n2end({ \tile_x13y15_n2begb[7] , \tile_x13y15_n2begb[6] , \tile_x13y15_n2begb[5] , \tile_x13y15_n2begb[4] , \tile_x13y15_n2begb[3] , \tile_x13y15_n2begb[2] , \tile_x13y15_n2begb[1] , \tile_x13y15_n2begb[0] }),
.n2mid({ \tile_x13y15_n2beg[7] , \tile_x13y15_n2beg[6] , \tile_x13y15_n2beg[5] , \tile_x13y15_n2beg[4] , \tile_x13y15_n2beg[3] , \tile_x13y15_n2beg[2] , \tile_x13y15_n2beg[1] , \tile_x13y15_n2beg[0] }),
.n4beg({ \tile_x13y14_n4beg[15] , \tile_x13y14_n4beg[14] , \tile_x13y14_n4beg[13] , \tile_x13y14_n4beg[12] , \tile_x13y14_n4beg[11] , \tile_x13y14_n4beg[10] , \tile_x13y14_n4beg[9] , \tile_x13y14_n4beg[8] , \tile_x13y14_n4beg[7] , \tile_x13y14_n4beg[6] , \tile_x13y14_n4beg[5] , \tile_x13y14_n4beg[4] , \tile_x13y14_n4beg[3] , \tile_x13y14_n4beg[2] , \tile_x13y14_n4beg[1] , \tile_x13y14_n4beg[0] }),
.n4end({ \tile_x13y15_n4beg[15] , \tile_x13y15_n4beg[14] , \tile_x13y15_n4beg[13] , \tile_x13y15_n4beg[12] , \tile_x13y15_n4beg[11] , \tile_x13y15_n4beg[10] , \tile_x13y15_n4beg[9] , \tile_x13y15_n4beg[8] , \tile_x13y15_n4beg[7] , \tile_x13y15_n4beg[6] , \tile_x13y15_n4beg[5] , \tile_x13y15_n4beg[4] , \tile_x13y15_n4beg[3] , \tile_x13y15_n4beg[2] , \tile_x13y15_n4beg[1] , \tile_x13y15_n4beg[0] }),
.nn4beg({ \tile_x13y14_nn4beg[15] , \tile_x13y14_nn4beg[14] , \tile_x13y14_nn4beg[13] , \tile_x13y14_nn4beg[12] , \tile_x13y14_nn4beg[11] , \tile_x13y14_nn4beg[10] , \tile_x13y14_nn4beg[9] , \tile_x13y14_nn4beg[8] , \tile_x13y14_nn4beg[7] , \tile_x13y14_nn4beg[6] , \tile_x13y14_nn4beg[5] , \tile_x13y14_nn4beg[4] , \tile_x13y14_nn4beg[3] , \tile_x13y14_nn4beg[2] , \tile_x13y14_nn4beg[1] , \tile_x13y14_nn4beg[0] }),
.nn4end({ \tile_x13y15_nn4beg[15] , \tile_x13y15_nn4beg[14] , \tile_x13y15_nn4beg[13] , \tile_x13y15_nn4beg[12] , \tile_x13y15_nn4beg[11] , \tile_x13y15_nn4beg[10] , \tile_x13y15_nn4beg[9] , \tile_x13y15_nn4beg[8] , \tile_x13y15_nn4beg[7] , \tile_x13y15_nn4beg[6] , \tile_x13y15_nn4beg[5] , \tile_x13y15_nn4beg[4] , \tile_x13y15_nn4beg[3] , \tile_x13y15_nn4beg[2] , \tile_x13y15_nn4beg[1] , \tile_x13y15_nn4beg[0] }),
.s1beg({ \tile_x13y14_s1beg[3] , \tile_x13y14_s1beg[2] , \tile_x13y14_s1beg[1] , \tile_x13y14_s1beg[0] }),
.s1end({ \tile_x13y13_s1beg[3] , \tile_x13y13_s1beg[2] , \tile_x13y13_s1beg[1] , \tile_x13y13_s1beg[0] }),
.s2beg({ \tile_x13y14_s2beg[7] , \tile_x13y14_s2beg[6] , \tile_x13y14_s2beg[5] , \tile_x13y14_s2beg[4] , \tile_x13y14_s2beg[3] , \tile_x13y14_s2beg[2] , \tile_x13y14_s2beg[1] , \tile_x13y14_s2beg[0] }),
.s2begb({ \tile_x13y14_s2begb[7] , \tile_x13y14_s2begb[6] , \tile_x13y14_s2begb[5] , \tile_x13y14_s2begb[4] , \tile_x13y14_s2begb[3] , \tile_x13y14_s2begb[2] , \tile_x13y14_s2begb[1] , \tile_x13y14_s2begb[0] }),
.s2end({ \tile_x13y13_s2begb[7] , \tile_x13y13_s2begb[6] , \tile_x13y13_s2begb[5] , \tile_x13y13_s2begb[4] , \tile_x13y13_s2begb[3] , \tile_x13y13_s2begb[2] , \tile_x13y13_s2begb[1] , \tile_x13y13_s2begb[0] }),
.s2mid({ \tile_x13y13_s2beg[7] , \tile_x13y13_s2beg[6] , \tile_x13y13_s2beg[5] , \tile_x13y13_s2beg[4] , \tile_x13y13_s2beg[3] , \tile_x13y13_s2beg[2] , \tile_x13y13_s2beg[1] , \tile_x13y13_s2beg[0] }),
.s4beg({ \tile_x13y14_s4beg[15] , \tile_x13y14_s4beg[14] , \tile_x13y14_s4beg[13] , \tile_x13y14_s4beg[12] , \tile_x13y14_s4beg[11] , \tile_x13y14_s4beg[10] , \tile_x13y14_s4beg[9] , \tile_x13y14_s4beg[8] , \tile_x13y14_s4beg[7] , \tile_x13y14_s4beg[6] , \tile_x13y14_s4beg[5] , \tile_x13y14_s4beg[4] , \tile_x13y14_s4beg[3] , \tile_x13y14_s4beg[2] , \tile_x13y14_s4beg[1] , \tile_x13y14_s4beg[0] }),
.s4end({ \tile_x13y13_s4beg[15] , \tile_x13y13_s4beg[14] , \tile_x13y13_s4beg[13] , \tile_x13y13_s4beg[12] , \tile_x13y13_s4beg[11] , \tile_x13y13_s4beg[10] , \tile_x13y13_s4beg[9] , \tile_x13y13_s4beg[8] , \tile_x13y13_s4beg[7] , \tile_x13y13_s4beg[6] , \tile_x13y13_s4beg[5] , \tile_x13y13_s4beg[4] , \tile_x13y13_s4beg[3] , \tile_x13y13_s4beg[2] , \tile_x13y13_s4beg[1] , \tile_x13y13_s4beg[0] }),
.ss4beg({ \tile_x13y14_ss4beg[15] , \tile_x13y14_ss4beg[14] , \tile_x13y14_ss4beg[13] , \tile_x13y14_ss4beg[12] , \tile_x13y14_ss4beg[11] , \tile_x13y14_ss4beg[10] , \tile_x13y14_ss4beg[9] , \tile_x13y14_ss4beg[8] , \tile_x13y14_ss4beg[7] , \tile_x13y14_ss4beg[6] , \tile_x13y14_ss4beg[5] , \tile_x13y14_ss4beg[4] , \tile_x13y14_ss4beg[3] , \tile_x13y14_ss4beg[2] , \tile_x13y14_ss4beg[1] , \tile_x13y14_ss4beg[0] }),
.ss4end({ \tile_x13y13_ss4beg[15] , \tile_x13y13_ss4beg[14] , \tile_x13y13_ss4beg[13] , \tile_x13y13_ss4beg[12] , \tile_x13y13_ss4beg[11] , \tile_x13y13_ss4beg[10] , \tile_x13y13_ss4beg[9] , \tile_x13y13_ss4beg[8] , \tile_x13y13_ss4beg[7] , \tile_x13y13_ss4beg[6] , \tile_x13y13_ss4beg[5] , \tile_x13y13_ss4beg[4] , \tile_x13y13_ss4beg[3] , \tile_x13y13_ss4beg[2] , \tile_x13y13_ss4beg[1] , \tile_x13y13_ss4beg[0] }),
.userclk(tile_x13y15_userclko),
.userclko(tile_x13y14_userclko),
.w1beg({ \tile_x13y14_w1beg[3] , \tile_x13y14_w1beg[2] , \tile_x13y14_w1beg[1] , \tile_x13y14_w1beg[0] }),
.w1end({ \tile_x14y14_w1beg[3] , \tile_x14y14_w1beg[2] , \tile_x14y14_w1beg[1] , \tile_x14y14_w1beg[0] }),
.w2beg({ \tile_x13y14_w2beg[7] , \tile_x13y14_w2beg[6] , \tile_x13y14_w2beg[5] , \tile_x13y14_w2beg[4] , \tile_x13y14_w2beg[3] , \tile_x13y14_w2beg[2] , \tile_x13y14_w2beg[1] , \tile_x13y14_w2beg[0] }),
.w2begb({ \tile_x13y14_w2begb[7] , \tile_x13y14_w2begb[6] , \tile_x13y14_w2begb[5] , \tile_x13y14_w2begb[4] , \tile_x13y14_w2begb[3] , \tile_x13y14_w2begb[2] , \tile_x13y14_w2begb[1] , \tile_x13y14_w2begb[0] }),
.w2end({ \tile_x14y14_w2begb[7] , \tile_x14y14_w2begb[6] , \tile_x14y14_w2begb[5] , \tile_x14y14_w2begb[4] , \tile_x14y14_w2begb[3] , \tile_x14y14_w2begb[2] , \tile_x14y14_w2begb[1] , \tile_x14y14_w2begb[0] }),
.w2mid({ \tile_x14y14_w2beg[7] , \tile_x14y14_w2beg[6] , \tile_x14y14_w2beg[5] , \tile_x14y14_w2beg[4] , \tile_x14y14_w2beg[3] , \tile_x14y14_w2beg[2] , \tile_x14y14_w2beg[1] , \tile_x14y14_w2beg[0] }),
.w6beg({ \tile_x13y14_w6beg[11] , \tile_x13y14_w6beg[10] , \tile_x13y14_w6beg[9] , \tile_x13y14_w6beg[8] , \tile_x13y14_w6beg[7] , \tile_x13y14_w6beg[6] , \tile_x13y14_w6beg[5] , \tile_x13y14_w6beg[4] , \tile_x13y14_w6beg[3] , \tile_x13y14_w6beg[2] , \tile_x13y14_w6beg[1] , \tile_x13y14_w6beg[0] }),
.w6end({ \tile_x14y14_w6beg[11] , \tile_x14y14_w6beg[10] , \tile_x14y14_w6beg[9] , \tile_x14y14_w6beg[8] , \tile_x14y14_w6beg[7] , \tile_x14y14_w6beg[6] , \tile_x14y14_w6beg[5] , \tile_x14y14_w6beg[4] , \tile_x14y14_w6beg[3] , \tile_x14y14_w6beg[2] , \tile_x14y14_w6beg[1] , \tile_x14y14_w6beg[0] }),
.ww4beg({ \tile_x13y14_ww4beg[15] , \tile_x13y14_ww4beg[14] , \tile_x13y14_ww4beg[13] , \tile_x13y14_ww4beg[12] , \tile_x13y14_ww4beg[11] , \tile_x13y14_ww4beg[10] , \tile_x13y14_ww4beg[9] , \tile_x13y14_ww4beg[8] , \tile_x13y14_ww4beg[7] , \tile_x13y14_ww4beg[6] , \tile_x13y14_ww4beg[5] , \tile_x13y14_ww4beg[4] , \tile_x13y14_ww4beg[3] , \tile_x13y14_ww4beg[2] , \tile_x13y14_ww4beg[1] , \tile_x13y14_ww4beg[0] }),
.ww4end({ \tile_x14y14_ww4beg[15] , \tile_x14y14_ww4beg[14] , \tile_x14y14_ww4beg[13] , \tile_x14y14_ww4beg[12] , \tile_x14y14_ww4beg[11] , \tile_x14y14_ww4beg[10] , \tile_x14y14_ww4beg[9] , \tile_x14y14_ww4beg[8] , \tile_x14y14_ww4beg[7] , \tile_x14y14_ww4beg[6] , \tile_x14y14_ww4beg[5] , \tile_x14y14_ww4beg[4] , \tile_x14y14_ww4beg[3] , \tile_x14y14_ww4beg[2] , \tile_x14y14_ww4beg[1] , \tile_x14y14_ww4beg[0] })
);
s_term_single tile_x13y15_s_term_single (
.co(tile_x13y15_co),
.framestrobe(framestrobe[279:260]),
.framestrobe_o({ \tile_x13y15_framestrobe_o[19] , \tile_x13y15_framestrobe_o[18] , \tile_x13y15_framestrobe_o[17] , \tile_x13y15_framestrobe_o[16] , \tile_x13y15_framestrobe_o[15] , \tile_x13y15_framestrobe_o[14] , \tile_x13y15_framestrobe_o[13] , \tile_x13y15_framestrobe_o[12] , \tile_x13y15_framestrobe_o[11] , \tile_x13y15_framestrobe_o[10] , \tile_x13y15_framestrobe_o[9] , \tile_x13y15_framestrobe_o[8] , \tile_x13y15_framestrobe_o[7] , \tile_x13y15_framestrobe_o[6] , \tile_x13y15_framestrobe_o[5] , \tile_x13y15_framestrobe_o[4] , \tile_x13y15_framestrobe_o[3] , \tile_x13y15_framestrobe_o[2] , \tile_x13y15_framestrobe_o[1] , \tile_x13y15_framestrobe_o[0] }),
.n1beg({ \tile_x13y15_n1beg[3] , \tile_x13y15_n1beg[2] , \tile_x13y15_n1beg[1] , \tile_x13y15_n1beg[0] }),
.n2beg({ \tile_x13y15_n2beg[7] , \tile_x13y15_n2beg[6] , \tile_x13y15_n2beg[5] , \tile_x13y15_n2beg[4] , \tile_x13y15_n2beg[3] , \tile_x13y15_n2beg[2] , \tile_x13y15_n2beg[1] , \tile_x13y15_n2beg[0] }),
.n2begb({ \tile_x13y15_n2begb[7] , \tile_x13y15_n2begb[6] , \tile_x13y15_n2begb[5] , \tile_x13y15_n2begb[4] , \tile_x13y15_n2begb[3] , \tile_x13y15_n2begb[2] , \tile_x13y15_n2begb[1] , \tile_x13y15_n2begb[0] }),
.n4beg({ \tile_x13y15_n4beg[15] , \tile_x13y15_n4beg[14] , \tile_x13y15_n4beg[13] , \tile_x13y15_n4beg[12] , \tile_x13y15_n4beg[11] , \tile_x13y15_n4beg[10] , \tile_x13y15_n4beg[9] , \tile_x13y15_n4beg[8] , \tile_x13y15_n4beg[7] , \tile_x13y15_n4beg[6] , \tile_x13y15_n4beg[5] , \tile_x13y15_n4beg[4] , \tile_x13y15_n4beg[3] , \tile_x13y15_n4beg[2] , \tile_x13y15_n4beg[1] , \tile_x13y15_n4beg[0] }),
.nn4beg({ \tile_x13y15_nn4beg[15] , \tile_x13y15_nn4beg[14] , \tile_x13y15_nn4beg[13] , \tile_x13y15_nn4beg[12] , \tile_x13y15_nn4beg[11] , \tile_x13y15_nn4beg[10] , \tile_x13y15_nn4beg[9] , \tile_x13y15_nn4beg[8] , \tile_x13y15_nn4beg[7] , \tile_x13y15_nn4beg[6] , \tile_x13y15_nn4beg[5] , \tile_x13y15_nn4beg[4] , \tile_x13y15_nn4beg[3] , \tile_x13y15_nn4beg[2] , \tile_x13y15_nn4beg[1] , \tile_x13y15_nn4beg[0] }),
.s1end({ \tile_x13y14_s1beg[3] , \tile_x13y14_s1beg[2] , \tile_x13y14_s1beg[1] , \tile_x13y14_s1beg[0] }),
.s2end({ \tile_x13y14_s2begb[7] , \tile_x13y14_s2begb[6] , \tile_x13y14_s2begb[5] , \tile_x13y14_s2begb[4] , \tile_x13y14_s2begb[3] , \tile_x13y14_s2begb[2] , \tile_x13y14_s2begb[1] , \tile_x13y14_s2begb[0] }),
.s2mid({ \tile_x13y14_s2beg[7] , \tile_x13y14_s2beg[6] , \tile_x13y14_s2beg[5] , \tile_x13y14_s2beg[4] , \tile_x13y14_s2beg[3] , \tile_x13y14_s2beg[2] , \tile_x13y14_s2beg[1] , \tile_x13y14_s2beg[0] }),
.s4end({ \tile_x13y14_s4beg[15] , \tile_x13y14_s4beg[14] , \tile_x13y14_s4beg[13] , \tile_x13y14_s4beg[12] , \tile_x13y14_s4beg[11] , \tile_x13y14_s4beg[10] , \tile_x13y14_s4beg[9] , \tile_x13y14_s4beg[8] , \tile_x13y14_s4beg[7] , \tile_x13y14_s4beg[6] , \tile_x13y14_s4beg[5] , \tile_x13y14_s4beg[4] , \tile_x13y14_s4beg[3] , \tile_x13y14_s4beg[2] , \tile_x13y14_s4beg[1] , \tile_x13y14_s4beg[0] }),
.ss4end({ \tile_x13y14_ss4beg[15] , \tile_x13y14_ss4beg[14] , \tile_x13y14_ss4beg[13] , \tile_x13y14_ss4beg[12] , \tile_x13y14_ss4beg[11] , \tile_x13y14_ss4beg[10] , \tile_x13y14_ss4beg[9] , \tile_x13y14_ss4beg[8] , \tile_x13y14_ss4beg[7] , \tile_x13y14_ss4beg[6] , \tile_x13y14_ss4beg[5] , \tile_x13y14_ss4beg[4] , \tile_x13y14_ss4beg[3] , \tile_x13y14_ss4beg[2] , \tile_x13y14_ss4beg[1] , \tile_x13y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x13y15_userclko)
);
n_term_single tile_x13y9_n_term_single (
.ci(tile_x13y10_co),
.framestrobe({ \tile_x13y10_framestrobe_o[19] , \tile_x13y10_framestrobe_o[18] , \tile_x13y10_framestrobe_o[17] , \tile_x13y10_framestrobe_o[16] , \tile_x13y10_framestrobe_o[15] , \tile_x13y10_framestrobe_o[14] , \tile_x13y10_framestrobe_o[13] , \tile_x13y10_framestrobe_o[12] , \tile_x13y10_framestrobe_o[11] , \tile_x13y10_framestrobe_o[10] , \tile_x13y10_framestrobe_o[9] , \tile_x13y10_framestrobe_o[8] , \tile_x13y10_framestrobe_o[7] , \tile_x13y10_framestrobe_o[6] , \tile_x13y10_framestrobe_o[5] , \tile_x13y10_framestrobe_o[4] , \tile_x13y10_framestrobe_o[3] , \tile_x13y10_framestrobe_o[2] , \tile_x13y10_framestrobe_o[1] , \tile_x13y10_framestrobe_o[0] }),
.framestrobe_o({ \tile_x13y9_framestrobe_o[19] , \tile_x13y9_framestrobe_o[18] , \tile_x13y9_framestrobe_o[17] , \tile_x13y9_framestrobe_o[16] , \tile_x13y9_framestrobe_o[15] , \tile_x13y9_framestrobe_o[14] , \tile_x13y9_framestrobe_o[13] , \tile_x13y9_framestrobe_o[12] , \tile_x13y9_framestrobe_o[11] , \tile_x13y9_framestrobe_o[10] , \tile_x13y9_framestrobe_o[9] , \tile_x13y9_framestrobe_o[8] , \tile_x13y9_framestrobe_o[7] , \tile_x13y9_framestrobe_o[6] , \tile_x13y9_framestrobe_o[5] , \tile_x13y9_framestrobe_o[4] , \tile_x13y9_framestrobe_o[3] , \tile_x13y9_framestrobe_o[2] , \tile_x13y9_framestrobe_o[1] , \tile_x13y9_framestrobe_o[0] }),
.n1end({ \tile_x13y10_n1beg[3] , \tile_x13y10_n1beg[2] , \tile_x13y10_n1beg[1] , \tile_x13y10_n1beg[0] }),
.n2end({ \tile_x13y10_n2begb[7] , \tile_x13y10_n2begb[6] , \tile_x13y10_n2begb[5] , \tile_x13y10_n2begb[4] , \tile_x13y10_n2begb[3] , \tile_x13y10_n2begb[2] , \tile_x13y10_n2begb[1] , \tile_x13y10_n2begb[0] }),
.n2mid({ \tile_x13y10_n2beg[7] , \tile_x13y10_n2beg[6] , \tile_x13y10_n2beg[5] , \tile_x13y10_n2beg[4] , \tile_x13y10_n2beg[3] , \tile_x13y10_n2beg[2] , \tile_x13y10_n2beg[1] , \tile_x13y10_n2beg[0] }),
.n4end({ \tile_x13y10_n4beg[15] , \tile_x13y10_n4beg[14] , \tile_x13y10_n4beg[13] , \tile_x13y10_n4beg[12] , \tile_x13y10_n4beg[11] , \tile_x13y10_n4beg[10] , \tile_x13y10_n4beg[9] , \tile_x13y10_n4beg[8] , \tile_x13y10_n4beg[7] , \tile_x13y10_n4beg[6] , \tile_x13y10_n4beg[5] , \tile_x13y10_n4beg[4] , \tile_x13y10_n4beg[3] , \tile_x13y10_n4beg[2] , \tile_x13y10_n4beg[1] , \tile_x13y10_n4beg[0] }),
.nn4end({ \tile_x13y10_nn4beg[15] , \tile_x13y10_nn4beg[14] , \tile_x13y10_nn4beg[13] , \tile_x13y10_nn4beg[12] , \tile_x13y10_nn4beg[11] , \tile_x13y10_nn4beg[10] , \tile_x13y10_nn4beg[9] , \tile_x13y10_nn4beg[8] , \tile_x13y10_nn4beg[7] , \tile_x13y10_nn4beg[6] , \tile_x13y10_nn4beg[5] , \tile_x13y10_nn4beg[4] , \tile_x13y10_nn4beg[3] , \tile_x13y10_nn4beg[2] , \tile_x13y10_nn4beg[1] , \tile_x13y10_nn4beg[0] }),
.s1beg({ \tile_x13y9_s1beg[3] , \tile_x13y9_s1beg[2] , \tile_x13y9_s1beg[1] , \tile_x13y9_s1beg[0] }),
.s2beg({ \tile_x13y9_s2beg[7] , \tile_x13y9_s2beg[6] , \tile_x13y9_s2beg[5] , \tile_x13y9_s2beg[4] , \tile_x13y9_s2beg[3] , \tile_x13y9_s2beg[2] , \tile_x13y9_s2beg[1] , \tile_x13y9_s2beg[0] }),
.s2begb({ \tile_x13y9_s2begb[7] , \tile_x13y9_s2begb[6] , \tile_x13y9_s2begb[5] , \tile_x13y9_s2begb[4] , \tile_x13y9_s2begb[3] , \tile_x13y9_s2begb[2] , \tile_x13y9_s2begb[1] , \tile_x13y9_s2begb[0] }),
.s4beg({ \tile_x13y9_s4beg[15] , \tile_x13y9_s4beg[14] , \tile_x13y9_s4beg[13] , \tile_x13y9_s4beg[12] , \tile_x13y9_s4beg[11] , \tile_x13y9_s4beg[10] , \tile_x13y9_s4beg[9] , \tile_x13y9_s4beg[8] , \tile_x13y9_s4beg[7] , \tile_x13y9_s4beg[6] , \tile_x13y9_s4beg[5] , \tile_x13y9_s4beg[4] , \tile_x13y9_s4beg[3] , \tile_x13y9_s4beg[2] , \tile_x13y9_s4beg[1] , \tile_x13y9_s4beg[0] }),
.ss4beg({ \tile_x13y9_ss4beg[15] , \tile_x13y9_ss4beg[14] , \tile_x13y9_ss4beg[13] , \tile_x13y9_ss4beg[12] , \tile_x13y9_ss4beg[11] , \tile_x13y9_ss4beg[10] , \tile_x13y9_ss4beg[9] , \tile_x13y9_ss4beg[8] , \tile_x13y9_ss4beg[7] , \tile_x13y9_ss4beg[6] , \tile_x13y9_ss4beg[5] , \tile_x13y9_ss4beg[4] , \tile_x13y9_ss4beg[3] , \tile_x13y9_ss4beg[2] , \tile_x13y9_ss4beg[1] , \tile_x13y9_ss4beg[0] }),
.userclk(tile_x13y10_userclko),
.userclko(tile_x13y9_userclko)
);
ram_io tile_x14y10_ram_io (
.config_accessc_bit0(tile_x14y10_config_accessc_bit0),
.config_accessc_bit1(tile_x14y10_config_accessc_bit1),
.config_accessc_bit2(tile_x14y10_config_accessc_bit2),
.config_accessc_bit3(tile_x14y10_config_accessc_bit3),
.e1end({ \tile_x13y10_e1beg[3] , \tile_x13y10_e1beg[2] , \tile_x13y10_e1beg[1] , \tile_x13y10_e1beg[0] }),
.e2end({ \tile_x13y10_e2begb[7] , \tile_x13y10_e2begb[6] , \tile_x13y10_e2begb[5] , \tile_x13y10_e2begb[4] , \tile_x13y10_e2begb[3] , \tile_x13y10_e2begb[2] , \tile_x13y10_e2begb[1] , \tile_x13y10_e2begb[0] }),
.e2mid({ \tile_x13y10_e2beg[7] , \tile_x13y10_e2beg[6] , \tile_x13y10_e2beg[5] , \tile_x13y10_e2beg[4] , \tile_x13y10_e2beg[3] , \tile_x13y10_e2beg[2] , \tile_x13y10_e2beg[1] , \tile_x13y10_e2beg[0] }),
.e6end({ \tile_x13y10_e6beg[11] , \tile_x13y10_e6beg[10] , \tile_x13y10_e6beg[9] , \tile_x13y10_e6beg[8] , \tile_x13y10_e6beg[7] , \tile_x13y10_e6beg[6] , \tile_x13y10_e6beg[5] , \tile_x13y10_e6beg[4] , \tile_x13y10_e6beg[3] , \tile_x13y10_e6beg[2] , \tile_x13y10_e6beg[1] , \tile_x13y10_e6beg[0] }),
.ee4end({ \tile_x13y10_ee4beg[15] , \tile_x13y10_ee4beg[14] , \tile_x13y10_ee4beg[13] , \tile_x13y10_ee4beg[12] , \tile_x13y10_ee4beg[11] , \tile_x13y10_ee4beg[10] , \tile_x13y10_ee4beg[9] , \tile_x13y10_ee4beg[8] , \tile_x13y10_ee4beg[7] , \tile_x13y10_ee4beg[6] , \tile_x13y10_ee4beg[5] , \tile_x13y10_ee4beg[4] , \tile_x13y10_ee4beg[3] , \tile_x13y10_ee4beg[2] , \tile_x13y10_ee4beg[1] , \tile_x13y10_ee4beg[0] }),
.fab2ram_a0_o0(tile_x14y10_fab2ram_a0_o0),
.fab2ram_a0_o1(tile_x14y10_fab2ram_a0_o1),
.fab2ram_a0_o2(tile_x14y10_fab2ram_a0_o2),
.fab2ram_a0_o3(tile_x14y10_fab2ram_a0_o3),
.fab2ram_a1_o0(tile_x14y10_fab2ram_a1_o0),
.fab2ram_a1_o1(tile_x14y10_fab2ram_a1_o1),
.fab2ram_a1_o2(tile_x14y10_fab2ram_a1_o2),
.fab2ram_a1_o3(tile_x14y10_fab2ram_a1_o3),
.fab2ram_c_o0(tile_x14y10_fab2ram_c_o0),
.fab2ram_c_o1(tile_x14y10_fab2ram_c_o1),
.fab2ram_c_o2(tile_x14y10_fab2ram_c_o2),
.fab2ram_c_o3(tile_x14y10_fab2ram_c_o3),
.fab2ram_d0_o0(tile_x14y10_fab2ram_d0_o0),
.fab2ram_d0_o1(tile_x14y10_fab2ram_d0_o1),
.fab2ram_d0_o2(tile_x14y10_fab2ram_d0_o2),
.fab2ram_d0_o3(tile_x14y10_fab2ram_d0_o3),
.fab2ram_d1_o0(tile_x14y10_fab2ram_d1_o0),
.fab2ram_d1_o1(tile_x14y10_fab2ram_d1_o1),
.fab2ram_d1_o2(tile_x14y10_fab2ram_d1_o2),
.fab2ram_d1_o3(tile_x14y10_fab2ram_d1_o3),
.fab2ram_d2_o0(tile_x14y10_fab2ram_d2_o0),
.fab2ram_d2_o1(tile_x14y10_fab2ram_d2_o1),
.fab2ram_d2_o2(tile_x14y10_fab2ram_d2_o2),
.fab2ram_d2_o3(tile_x14y10_fab2ram_d2_o3),
.fab2ram_d3_o0(tile_x14y10_fab2ram_d3_o0),
.fab2ram_d3_o1(tile_x14y10_fab2ram_d3_o1),
.fab2ram_d3_o2(tile_x14y10_fab2ram_d3_o2),
.fab2ram_d3_o3(tile_x14y10_fab2ram_d3_o3),
.framedata({ \tile_x13y10_framedata_o[31] , \tile_x13y10_framedata_o[30] , \tile_x13y10_framedata_o[29] , \tile_x13y10_framedata_o[28] , \tile_x13y10_framedata_o[27] , \tile_x13y10_framedata_o[26] , \tile_x13y10_framedata_o[25] , \tile_x13y10_framedata_o[24] , \tile_x13y10_framedata_o[23] , \tile_x13y10_framedata_o[22] , \tile_x13y10_framedata_o[21] , \tile_x13y10_framedata_o[20] , \tile_x13y10_framedata_o[19] , \tile_x13y10_framedata_o[18] , \tile_x13y10_framedata_o[17] , \tile_x13y10_framedata_o[16] , \tile_x13y10_framedata_o[15] , \tile_x13y10_framedata_o[14] , \tile_x13y10_framedata_o[13] , \tile_x13y10_framedata_o[12] , \tile_x13y10_framedata_o[11] , \tile_x13y10_framedata_o[10] , \tile_x13y10_framedata_o[9] , \tile_x13y10_framedata_o[8] , \tile_x13y10_framedata_o[7] , \tile_x13y10_framedata_o[6] , \tile_x13y10_framedata_o[5] , \tile_x13y10_framedata_o[4] , \tile_x13y10_framedata_o[3] , \tile_x13y10_framedata_o[2] , \tile_x13y10_framedata_o[1] , \tile_x13y10_framedata_o[0] }),
.framedata_o({ \tile_x14y10_framedata_o[31] , \tile_x14y10_framedata_o[30] , \tile_x14y10_framedata_o[29] , \tile_x14y10_framedata_o[28] , \tile_x14y10_framedata_o[27] , \tile_x14y10_framedata_o[26] , \tile_x14y10_framedata_o[25] , \tile_x14y10_framedata_o[24] , \tile_x14y10_framedata_o[23] , \tile_x14y10_framedata_o[22] , \tile_x14y10_framedata_o[21] , \tile_x14y10_framedata_o[20] , \tile_x14y10_framedata_o[19] , \tile_x14y10_framedata_o[18] , \tile_x14y10_framedata_o[17] , \tile_x14y10_framedata_o[16] , \tile_x14y10_framedata_o[15] , \tile_x14y10_framedata_o[14] , \tile_x14y10_framedata_o[13] , \tile_x14y10_framedata_o[12] , \tile_x14y10_framedata_o[11] , \tile_x14y10_framedata_o[10] , \tile_x14y10_framedata_o[9] , \tile_x14y10_framedata_o[8] , \tile_x14y10_framedata_o[7] , \tile_x14y10_framedata_o[6] , \tile_x14y10_framedata_o[5] , \tile_x14y10_framedata_o[4] , \tile_x14y10_framedata_o[3] , \tile_x14y10_framedata_o[2] , \tile_x14y10_framedata_o[1] , \tile_x14y10_framedata_o[0] }),
.framestrobe({ \tile_x14y11_framestrobe_o[19] , \tile_x14y11_framestrobe_o[18] , \tile_x14y11_framestrobe_o[17] , \tile_x14y11_framestrobe_o[16] , \tile_x14y11_framestrobe_o[15] , \tile_x14y11_framestrobe_o[14] , \tile_x14y11_framestrobe_o[13] , \tile_x14y11_framestrobe_o[12] , \tile_x14y11_framestrobe_o[11] , \tile_x14y11_framestrobe_o[10] , \tile_x14y11_framestrobe_o[9] , \tile_x14y11_framestrobe_o[8] , \tile_x14y11_framestrobe_o[7] , \tile_x14y11_framestrobe_o[6] , \tile_x14y11_framestrobe_o[5] , \tile_x14y11_framestrobe_o[4] , \tile_x14y11_framestrobe_o[3] , \tile_x14y11_framestrobe_o[2] , \tile_x14y11_framestrobe_o[1] , \tile_x14y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x14y10_framestrobe_o[19] , \tile_x14y10_framestrobe_o[18] , \tile_x14y10_framestrobe_o[17] , \tile_x14y10_framestrobe_o[16] , \tile_x14y10_framestrobe_o[15] , \tile_x14y10_framestrobe_o[14] , \tile_x14y10_framestrobe_o[13] , \tile_x14y10_framestrobe_o[12] , \tile_x14y10_framestrobe_o[11] , \tile_x14y10_framestrobe_o[10] , \tile_x14y10_framestrobe_o[9] , \tile_x14y10_framestrobe_o[8] , \tile_x14y10_framestrobe_o[7] , \tile_x14y10_framestrobe_o[6] , \tile_x14y10_framestrobe_o[5] , \tile_x14y10_framestrobe_o[4] , \tile_x14y10_framestrobe_o[3] , \tile_x14y10_framestrobe_o[2] , \tile_x14y10_framestrobe_o[1] , \tile_x14y10_framestrobe_o[0] }),
.n1beg({ \tile_x14y10_n1beg[3] , \tile_x14y10_n1beg[2] , \tile_x14y10_n1beg[1] , \tile_x14y10_n1beg[0] }),
.n1end({ \tile_x14y11_n1beg[3] , \tile_x14y11_n1beg[2] , \tile_x14y11_n1beg[1] , \tile_x14y11_n1beg[0] }),
.n2beg({ \tile_x14y10_n2beg[7] , \tile_x14y10_n2beg[6] , \tile_x14y10_n2beg[5] , \tile_x14y10_n2beg[4] , \tile_x14y10_n2beg[3] , \tile_x14y10_n2beg[2] , \tile_x14y10_n2beg[1] , \tile_x14y10_n2beg[0] }),
.n2begb({ \tile_x14y10_n2begb[7] , \tile_x14y10_n2begb[6] , \tile_x14y10_n2begb[5] , \tile_x14y10_n2begb[4] , \tile_x14y10_n2begb[3] , \tile_x14y10_n2begb[2] , \tile_x14y10_n2begb[1] , \tile_x14y10_n2begb[0] }),
.n2end({ \tile_x14y11_n2begb[7] , \tile_x14y11_n2begb[6] , \tile_x14y11_n2begb[5] , \tile_x14y11_n2begb[4] , \tile_x14y11_n2begb[3] , \tile_x14y11_n2begb[2] , \tile_x14y11_n2begb[1] , \tile_x14y11_n2begb[0] }),
.n2mid({ \tile_x14y11_n2beg[7] , \tile_x14y11_n2beg[6] , \tile_x14y11_n2beg[5] , \tile_x14y11_n2beg[4] , \tile_x14y11_n2beg[3] , \tile_x14y11_n2beg[2] , \tile_x14y11_n2beg[1] , \tile_x14y11_n2beg[0] }),
.n4beg({ \tile_x14y10_n4beg[15] , \tile_x14y10_n4beg[14] , \tile_x14y10_n4beg[13] , \tile_x14y10_n4beg[12] , \tile_x14y10_n4beg[11] , \tile_x14y10_n4beg[10] , \tile_x14y10_n4beg[9] , \tile_x14y10_n4beg[8] , \tile_x14y10_n4beg[7] , \tile_x14y10_n4beg[6] , \tile_x14y10_n4beg[5] , \tile_x14y10_n4beg[4] , \tile_x14y10_n4beg[3] , \tile_x14y10_n4beg[2] , \tile_x14y10_n4beg[1] , \tile_x14y10_n4beg[0] }),
.n4end({ \tile_x14y11_n4beg[15] , \tile_x14y11_n4beg[14] , \tile_x14y11_n4beg[13] , \tile_x14y11_n4beg[12] , \tile_x14y11_n4beg[11] , \tile_x14y11_n4beg[10] , \tile_x14y11_n4beg[9] , \tile_x14y11_n4beg[8] , \tile_x14y11_n4beg[7] , \tile_x14y11_n4beg[6] , \tile_x14y11_n4beg[5] , \tile_x14y11_n4beg[4] , \tile_x14y11_n4beg[3] , \tile_x14y11_n4beg[2] , \tile_x14y11_n4beg[1] , \tile_x14y11_n4beg[0] }),
.ram2fab_d0_i0(tile_x14y10_ram2fab_d0_i0),
.ram2fab_d0_i1(tile_x14y10_ram2fab_d0_i1),
.ram2fab_d0_i2(tile_x14y10_ram2fab_d0_i2),
.ram2fab_d0_i3(tile_x14y10_ram2fab_d0_i3),
.ram2fab_d1_i0(tile_x14y10_ram2fab_d1_i0),
.ram2fab_d1_i1(tile_x14y10_ram2fab_d1_i1),
.ram2fab_d1_i2(tile_x14y10_ram2fab_d1_i2),
.ram2fab_d1_i3(tile_x14y10_ram2fab_d1_i3),
.ram2fab_d2_i0(tile_x14y10_ram2fab_d2_i0),
.ram2fab_d2_i1(tile_x14y10_ram2fab_d2_i1),
.ram2fab_d2_i2(tile_x14y10_ram2fab_d2_i2),
.ram2fab_d2_i3(tile_x14y10_ram2fab_d2_i3),
.ram2fab_d3_i0(tile_x14y10_ram2fab_d3_i0),
.ram2fab_d3_i1(tile_x14y10_ram2fab_d3_i1),
.ram2fab_d3_i2(tile_x14y10_ram2fab_d3_i2),
.ram2fab_d3_i3(tile_x14y10_ram2fab_d3_i3),
.s1beg({ \tile_x14y10_s1beg[3] , \tile_x14y10_s1beg[2] , \tile_x14y10_s1beg[1] , \tile_x14y10_s1beg[0] }),
.s1end({ \tile_x14y9_s1beg[3] , \tile_x14y9_s1beg[2] , \tile_x14y9_s1beg[1] , \tile_x14y9_s1beg[0] }),
.s2beg({ \tile_x14y10_s2beg[7] , \tile_x14y10_s2beg[6] , \tile_x14y10_s2beg[5] , \tile_x14y10_s2beg[4] , \tile_x14y10_s2beg[3] , \tile_x14y10_s2beg[2] , \tile_x14y10_s2beg[1] , \tile_x14y10_s2beg[0] }),
.s2begb({ \tile_x14y10_s2begb[7] , \tile_x14y10_s2begb[6] , \tile_x14y10_s2begb[5] , \tile_x14y10_s2begb[4] , \tile_x14y10_s2begb[3] , \tile_x14y10_s2begb[2] , \tile_x14y10_s2begb[1] , \tile_x14y10_s2begb[0] }),
.s2end({ \tile_x14y9_s2begb[7] , \tile_x14y9_s2begb[6] , \tile_x14y9_s2begb[5] , \tile_x14y9_s2begb[4] , \tile_x14y9_s2begb[3] , \tile_x14y9_s2begb[2] , \tile_x14y9_s2begb[1] , \tile_x14y9_s2begb[0] }),
.s2mid({ \tile_x14y9_s2beg[7] , \tile_x14y9_s2beg[6] , \tile_x14y9_s2beg[5] , \tile_x14y9_s2beg[4] , \tile_x14y9_s2beg[3] , \tile_x14y9_s2beg[2] , \tile_x14y9_s2beg[1] , \tile_x14y9_s2beg[0] }),
.s4beg({ \tile_x14y10_s4beg[15] , \tile_x14y10_s4beg[14] , \tile_x14y10_s4beg[13] , \tile_x14y10_s4beg[12] , \tile_x14y10_s4beg[11] , \tile_x14y10_s4beg[10] , \tile_x14y10_s4beg[9] , \tile_x14y10_s4beg[8] , \tile_x14y10_s4beg[7] , \tile_x14y10_s4beg[6] , \tile_x14y10_s4beg[5] , \tile_x14y10_s4beg[4] , \tile_x14y10_s4beg[3] , \tile_x14y10_s4beg[2] , \tile_x14y10_s4beg[1] , \tile_x14y10_s4beg[0] }),
.s4end({ \tile_x14y9_s4beg[15] , \tile_x14y9_s4beg[14] , \tile_x14y9_s4beg[13] , \tile_x14y9_s4beg[12] , \tile_x14y9_s4beg[11] , \tile_x14y9_s4beg[10] , \tile_x14y9_s4beg[9] , \tile_x14y9_s4beg[8] , \tile_x14y9_s4beg[7] , \tile_x14y9_s4beg[6] , \tile_x14y9_s4beg[5] , \tile_x14y9_s4beg[4] , \tile_x14y9_s4beg[3] , \tile_x14y9_s4beg[2] , \tile_x14y9_s4beg[1] , \tile_x14y9_s4beg[0] }),
.userclk(tile_x14y11_userclko),
.userclko(tile_x14y10_userclko),
.w1beg({ \tile_x14y10_w1beg[3] , \tile_x14y10_w1beg[2] , \tile_x14y10_w1beg[1] , \tile_x14y10_w1beg[0] }),
.w2beg({ \tile_x14y10_w2beg[7] , \tile_x14y10_w2beg[6] , \tile_x14y10_w2beg[5] , \tile_x14y10_w2beg[4] , \tile_x14y10_w2beg[3] , \tile_x14y10_w2beg[2] , \tile_x14y10_w2beg[1] , \tile_x14y10_w2beg[0] }),
.w2begb({ \tile_x14y10_w2begb[7] , \tile_x14y10_w2begb[6] , \tile_x14y10_w2begb[5] , \tile_x14y10_w2begb[4] , \tile_x14y10_w2begb[3] , \tile_x14y10_w2begb[2] , \tile_x14y10_w2begb[1] , \tile_x14y10_w2begb[0] }),
.w6beg({ \tile_x14y10_w6beg[11] , \tile_x14y10_w6beg[10] , \tile_x14y10_w6beg[9] , \tile_x14y10_w6beg[8] , \tile_x14y10_w6beg[7] , \tile_x14y10_w6beg[6] , \tile_x14y10_w6beg[5] , \tile_x14y10_w6beg[4] , \tile_x14y10_w6beg[3] , \tile_x14y10_w6beg[2] , \tile_x14y10_w6beg[1] , \tile_x14y10_w6beg[0] }),
.ww4beg({ \tile_x14y10_ww4beg[15] , \tile_x14y10_ww4beg[14] , \tile_x14y10_ww4beg[13] , \tile_x14y10_ww4beg[12] , \tile_x14y10_ww4beg[11] , \tile_x14y10_ww4beg[10] , \tile_x14y10_ww4beg[9] , \tile_x14y10_ww4beg[8] , \tile_x14y10_ww4beg[7] , \tile_x14y10_ww4beg[6] , \tile_x14y10_ww4beg[5] , \tile_x14y10_ww4beg[4] , \tile_x14y10_ww4beg[3] , \tile_x14y10_ww4beg[2] , \tile_x14y10_ww4beg[1] , \tile_x14y10_ww4beg[0] })
);
ram_io tile_x14y11_ram_io (
.config_accessc_bit0(tile_x14y11_config_accessc_bit0),
.config_accessc_bit1(tile_x14y11_config_accessc_bit1),
.config_accessc_bit2(tile_x14y11_config_accessc_bit2),
.config_accessc_bit3(tile_x14y11_config_accessc_bit3),
.e1end({ \tile_x13y11_e1beg[3] , \tile_x13y11_e1beg[2] , \tile_x13y11_e1beg[1] , \tile_x13y11_e1beg[0] }),
.e2end({ \tile_x13y11_e2begb[7] , \tile_x13y11_e2begb[6] , \tile_x13y11_e2begb[5] , \tile_x13y11_e2begb[4] , \tile_x13y11_e2begb[3] , \tile_x13y11_e2begb[2] , \tile_x13y11_e2begb[1] , \tile_x13y11_e2begb[0] }),
.e2mid({ \tile_x13y11_e2beg[7] , \tile_x13y11_e2beg[6] , \tile_x13y11_e2beg[5] , \tile_x13y11_e2beg[4] , \tile_x13y11_e2beg[3] , \tile_x13y11_e2beg[2] , \tile_x13y11_e2beg[1] , \tile_x13y11_e2beg[0] }),
.e6end({ \tile_x13y11_e6beg[11] , \tile_x13y11_e6beg[10] , \tile_x13y11_e6beg[9] , \tile_x13y11_e6beg[8] , \tile_x13y11_e6beg[7] , \tile_x13y11_e6beg[6] , \tile_x13y11_e6beg[5] , \tile_x13y11_e6beg[4] , \tile_x13y11_e6beg[3] , \tile_x13y11_e6beg[2] , \tile_x13y11_e6beg[1] , \tile_x13y11_e6beg[0] }),
.ee4end({ \tile_x13y11_ee4beg[15] , \tile_x13y11_ee4beg[14] , \tile_x13y11_ee4beg[13] , \tile_x13y11_ee4beg[12] , \tile_x13y11_ee4beg[11] , \tile_x13y11_ee4beg[10] , \tile_x13y11_ee4beg[9] , \tile_x13y11_ee4beg[8] , \tile_x13y11_ee4beg[7] , \tile_x13y11_ee4beg[6] , \tile_x13y11_ee4beg[5] , \tile_x13y11_ee4beg[4] , \tile_x13y11_ee4beg[3] , \tile_x13y11_ee4beg[2] , \tile_x13y11_ee4beg[1] , \tile_x13y11_ee4beg[0] }),
.fab2ram_a0_o0(tile_x14y11_fab2ram_a0_o0),
.fab2ram_a0_o1(tile_x14y11_fab2ram_a0_o1),
.fab2ram_a0_o2(tile_x14y11_fab2ram_a0_o2),
.fab2ram_a0_o3(tile_x14y11_fab2ram_a0_o3),
.fab2ram_a1_o0(tile_x14y11_fab2ram_a1_o0),
.fab2ram_a1_o1(tile_x14y11_fab2ram_a1_o1),
.fab2ram_a1_o2(tile_x14y11_fab2ram_a1_o2),
.fab2ram_a1_o3(tile_x14y11_fab2ram_a1_o3),
.fab2ram_c_o0(tile_x14y11_fab2ram_c_o0),
.fab2ram_c_o1(tile_x14y11_fab2ram_c_o1),
.fab2ram_c_o2(tile_x14y11_fab2ram_c_o2),
.fab2ram_c_o3(tile_x14y11_fab2ram_c_o3),
.fab2ram_d0_o0(tile_x14y11_fab2ram_d0_o0),
.fab2ram_d0_o1(tile_x14y11_fab2ram_d0_o1),
.fab2ram_d0_o2(tile_x14y11_fab2ram_d0_o2),
.fab2ram_d0_o3(tile_x14y11_fab2ram_d0_o3),
.fab2ram_d1_o0(tile_x14y11_fab2ram_d1_o0),
.fab2ram_d1_o1(tile_x14y11_fab2ram_d1_o1),
.fab2ram_d1_o2(tile_x14y11_fab2ram_d1_o2),
.fab2ram_d1_o3(tile_x14y11_fab2ram_d1_o3),
.fab2ram_d2_o0(tile_x14y11_fab2ram_d2_o0),
.fab2ram_d2_o1(tile_x14y11_fab2ram_d2_o1),
.fab2ram_d2_o2(tile_x14y11_fab2ram_d2_o2),
.fab2ram_d2_o3(tile_x14y11_fab2ram_d2_o3),
.fab2ram_d3_o0(tile_x14y11_fab2ram_d3_o0),
.fab2ram_d3_o1(tile_x14y11_fab2ram_d3_o1),
.fab2ram_d3_o2(tile_x14y11_fab2ram_d3_o2),
.fab2ram_d3_o3(tile_x14y11_fab2ram_d3_o3),
.framedata({ \tile_x13y11_framedata_o[31] , \tile_x13y11_framedata_o[30] , \tile_x13y11_framedata_o[29] , \tile_x13y11_framedata_o[28] , \tile_x13y11_framedata_o[27] , \tile_x13y11_framedata_o[26] , \tile_x13y11_framedata_o[25] , \tile_x13y11_framedata_o[24] , \tile_x13y11_framedata_o[23] , \tile_x13y11_framedata_o[22] , \tile_x13y11_framedata_o[21] , \tile_x13y11_framedata_o[20] , \tile_x13y11_framedata_o[19] , \tile_x13y11_framedata_o[18] , \tile_x13y11_framedata_o[17] , \tile_x13y11_framedata_o[16] , \tile_x13y11_framedata_o[15] , \tile_x13y11_framedata_o[14] , \tile_x13y11_framedata_o[13] , \tile_x13y11_framedata_o[12] , \tile_x13y11_framedata_o[11] , \tile_x13y11_framedata_o[10] , \tile_x13y11_framedata_o[9] , \tile_x13y11_framedata_o[8] , \tile_x13y11_framedata_o[7] , \tile_x13y11_framedata_o[6] , \tile_x13y11_framedata_o[5] , \tile_x13y11_framedata_o[4] , \tile_x13y11_framedata_o[3] , \tile_x13y11_framedata_o[2] , \tile_x13y11_framedata_o[1] , \tile_x13y11_framedata_o[0] }),
.framedata_o({ \tile_x14y11_framedata_o[31] , \tile_x14y11_framedata_o[30] , \tile_x14y11_framedata_o[29] , \tile_x14y11_framedata_o[28] , \tile_x14y11_framedata_o[27] , \tile_x14y11_framedata_o[26] , \tile_x14y11_framedata_o[25] , \tile_x14y11_framedata_o[24] , \tile_x14y11_framedata_o[23] , \tile_x14y11_framedata_o[22] , \tile_x14y11_framedata_o[21] , \tile_x14y11_framedata_o[20] , \tile_x14y11_framedata_o[19] , \tile_x14y11_framedata_o[18] , \tile_x14y11_framedata_o[17] , \tile_x14y11_framedata_o[16] , \tile_x14y11_framedata_o[15] , \tile_x14y11_framedata_o[14] , \tile_x14y11_framedata_o[13] , \tile_x14y11_framedata_o[12] , \tile_x14y11_framedata_o[11] , \tile_x14y11_framedata_o[10] , \tile_x14y11_framedata_o[9] , \tile_x14y11_framedata_o[8] , \tile_x14y11_framedata_o[7] , \tile_x14y11_framedata_o[6] , \tile_x14y11_framedata_o[5] , \tile_x14y11_framedata_o[4] , \tile_x14y11_framedata_o[3] , \tile_x14y11_framedata_o[2] , \tile_x14y11_framedata_o[1] , \tile_x14y11_framedata_o[0] }),
.framestrobe({ \tile_x14y12_framestrobe_o[19] , \tile_x14y12_framestrobe_o[18] , \tile_x14y12_framestrobe_o[17] , \tile_x14y12_framestrobe_o[16] , \tile_x14y12_framestrobe_o[15] , \tile_x14y12_framestrobe_o[14] , \tile_x14y12_framestrobe_o[13] , \tile_x14y12_framestrobe_o[12] , \tile_x14y12_framestrobe_o[11] , \tile_x14y12_framestrobe_o[10] , \tile_x14y12_framestrobe_o[9] , \tile_x14y12_framestrobe_o[8] , \tile_x14y12_framestrobe_o[7] , \tile_x14y12_framestrobe_o[6] , \tile_x14y12_framestrobe_o[5] , \tile_x14y12_framestrobe_o[4] , \tile_x14y12_framestrobe_o[3] , \tile_x14y12_framestrobe_o[2] , \tile_x14y12_framestrobe_o[1] , \tile_x14y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x14y11_framestrobe_o[19] , \tile_x14y11_framestrobe_o[18] , \tile_x14y11_framestrobe_o[17] , \tile_x14y11_framestrobe_o[16] , \tile_x14y11_framestrobe_o[15] , \tile_x14y11_framestrobe_o[14] , \tile_x14y11_framestrobe_o[13] , \tile_x14y11_framestrobe_o[12] , \tile_x14y11_framestrobe_o[11] , \tile_x14y11_framestrobe_o[10] , \tile_x14y11_framestrobe_o[9] , \tile_x14y11_framestrobe_o[8] , \tile_x14y11_framestrobe_o[7] , \tile_x14y11_framestrobe_o[6] , \tile_x14y11_framestrobe_o[5] , \tile_x14y11_framestrobe_o[4] , \tile_x14y11_framestrobe_o[3] , \tile_x14y11_framestrobe_o[2] , \tile_x14y11_framestrobe_o[1] , \tile_x14y11_framestrobe_o[0] }),
.n1beg({ \tile_x14y11_n1beg[3] , \tile_x14y11_n1beg[2] , \tile_x14y11_n1beg[1] , \tile_x14y11_n1beg[0] }),
.n1end({ \tile_x14y12_n1beg[3] , \tile_x14y12_n1beg[2] , \tile_x14y12_n1beg[1] , \tile_x14y12_n1beg[0] }),
.n2beg({ \tile_x14y11_n2beg[7] , \tile_x14y11_n2beg[6] , \tile_x14y11_n2beg[5] , \tile_x14y11_n2beg[4] , \tile_x14y11_n2beg[3] , \tile_x14y11_n2beg[2] , \tile_x14y11_n2beg[1] , \tile_x14y11_n2beg[0] }),
.n2begb({ \tile_x14y11_n2begb[7] , \tile_x14y11_n2begb[6] , \tile_x14y11_n2begb[5] , \tile_x14y11_n2begb[4] , \tile_x14y11_n2begb[3] , \tile_x14y11_n2begb[2] , \tile_x14y11_n2begb[1] , \tile_x14y11_n2begb[0] }),
.n2end({ \tile_x14y12_n2begb[7] , \tile_x14y12_n2begb[6] , \tile_x14y12_n2begb[5] , \tile_x14y12_n2begb[4] , \tile_x14y12_n2begb[3] , \tile_x14y12_n2begb[2] , \tile_x14y12_n2begb[1] , \tile_x14y12_n2begb[0] }),
.n2mid({ \tile_x14y12_n2beg[7] , \tile_x14y12_n2beg[6] , \tile_x14y12_n2beg[5] , \tile_x14y12_n2beg[4] , \tile_x14y12_n2beg[3] , \tile_x14y12_n2beg[2] , \tile_x14y12_n2beg[1] , \tile_x14y12_n2beg[0] }),
.n4beg({ \tile_x14y11_n4beg[15] , \tile_x14y11_n4beg[14] , \tile_x14y11_n4beg[13] , \tile_x14y11_n4beg[12] , \tile_x14y11_n4beg[11] , \tile_x14y11_n4beg[10] , \tile_x14y11_n4beg[9] , \tile_x14y11_n4beg[8] , \tile_x14y11_n4beg[7] , \tile_x14y11_n4beg[6] , \tile_x14y11_n4beg[5] , \tile_x14y11_n4beg[4] , \tile_x14y11_n4beg[3] , \tile_x14y11_n4beg[2] , \tile_x14y11_n4beg[1] , \tile_x14y11_n4beg[0] }),
.n4end({ \tile_x14y12_n4beg[15] , \tile_x14y12_n4beg[14] , \tile_x14y12_n4beg[13] , \tile_x14y12_n4beg[12] , \tile_x14y12_n4beg[11] , \tile_x14y12_n4beg[10] , \tile_x14y12_n4beg[9] , \tile_x14y12_n4beg[8] , \tile_x14y12_n4beg[7] , \tile_x14y12_n4beg[6] , \tile_x14y12_n4beg[5] , \tile_x14y12_n4beg[4] , \tile_x14y12_n4beg[3] , \tile_x14y12_n4beg[2] , \tile_x14y12_n4beg[1] , \tile_x14y12_n4beg[0] }),
.ram2fab_d0_i0(tile_x14y11_ram2fab_d0_i0),
.ram2fab_d0_i1(tile_x14y11_ram2fab_d0_i1),
.ram2fab_d0_i2(tile_x14y11_ram2fab_d0_i2),
.ram2fab_d0_i3(tile_x14y11_ram2fab_d0_i3),
.ram2fab_d1_i0(tile_x14y11_ram2fab_d1_i0),
.ram2fab_d1_i1(tile_x14y11_ram2fab_d1_i1),
.ram2fab_d1_i2(tile_x14y11_ram2fab_d1_i2),
.ram2fab_d1_i3(tile_x14y11_ram2fab_d1_i3),
.ram2fab_d2_i0(tile_x14y11_ram2fab_d2_i0),
.ram2fab_d2_i1(tile_x14y11_ram2fab_d2_i1),
.ram2fab_d2_i2(tile_x14y11_ram2fab_d2_i2),
.ram2fab_d2_i3(tile_x14y11_ram2fab_d2_i3),
.ram2fab_d3_i0(tile_x14y11_ram2fab_d3_i0),
.ram2fab_d3_i1(tile_x14y11_ram2fab_d3_i1),
.ram2fab_d3_i2(tile_x14y11_ram2fab_d3_i2),
.ram2fab_d3_i3(tile_x14y11_ram2fab_d3_i3),
.s1beg({ \tile_x14y11_s1beg[3] , \tile_x14y11_s1beg[2] , \tile_x14y11_s1beg[1] , \tile_x14y11_s1beg[0] }),
.s1end({ \tile_x14y10_s1beg[3] , \tile_x14y10_s1beg[2] , \tile_x14y10_s1beg[1] , \tile_x14y10_s1beg[0] }),
.s2beg({ \tile_x14y11_s2beg[7] , \tile_x14y11_s2beg[6] , \tile_x14y11_s2beg[5] , \tile_x14y11_s2beg[4] , \tile_x14y11_s2beg[3] , \tile_x14y11_s2beg[2] , \tile_x14y11_s2beg[1] , \tile_x14y11_s2beg[0] }),
.s2begb({ \tile_x14y11_s2begb[7] , \tile_x14y11_s2begb[6] , \tile_x14y11_s2begb[5] , \tile_x14y11_s2begb[4] , \tile_x14y11_s2begb[3] , \tile_x14y11_s2begb[2] , \tile_x14y11_s2begb[1] , \tile_x14y11_s2begb[0] }),
.s2end({ \tile_x14y10_s2begb[7] , \tile_x14y10_s2begb[6] , \tile_x14y10_s2begb[5] , \tile_x14y10_s2begb[4] , \tile_x14y10_s2begb[3] , \tile_x14y10_s2begb[2] , \tile_x14y10_s2begb[1] , \tile_x14y10_s2begb[0] }),
.s2mid({ \tile_x14y10_s2beg[7] , \tile_x14y10_s2beg[6] , \tile_x14y10_s2beg[5] , \tile_x14y10_s2beg[4] , \tile_x14y10_s2beg[3] , \tile_x14y10_s2beg[2] , \tile_x14y10_s2beg[1] , \tile_x14y10_s2beg[0] }),
.s4beg({ \tile_x14y11_s4beg[15] , \tile_x14y11_s4beg[14] , \tile_x14y11_s4beg[13] , \tile_x14y11_s4beg[12] , \tile_x14y11_s4beg[11] , \tile_x14y11_s4beg[10] , \tile_x14y11_s4beg[9] , \tile_x14y11_s4beg[8] , \tile_x14y11_s4beg[7] , \tile_x14y11_s4beg[6] , \tile_x14y11_s4beg[5] , \tile_x14y11_s4beg[4] , \tile_x14y11_s4beg[3] , \tile_x14y11_s4beg[2] , \tile_x14y11_s4beg[1] , \tile_x14y11_s4beg[0] }),
.s4end({ \tile_x14y10_s4beg[15] , \tile_x14y10_s4beg[14] , \tile_x14y10_s4beg[13] , \tile_x14y10_s4beg[12] , \tile_x14y10_s4beg[11] , \tile_x14y10_s4beg[10] , \tile_x14y10_s4beg[9] , \tile_x14y10_s4beg[8] , \tile_x14y10_s4beg[7] , \tile_x14y10_s4beg[6] , \tile_x14y10_s4beg[5] , \tile_x14y10_s4beg[4] , \tile_x14y10_s4beg[3] , \tile_x14y10_s4beg[2] , \tile_x14y10_s4beg[1] , \tile_x14y10_s4beg[0] }),
.userclk(tile_x14y12_userclko),
.userclko(tile_x14y11_userclko),
.w1beg({ \tile_x14y11_w1beg[3] , \tile_x14y11_w1beg[2] , \tile_x14y11_w1beg[1] , \tile_x14y11_w1beg[0] }),
.w2beg({ \tile_x14y11_w2beg[7] , \tile_x14y11_w2beg[6] , \tile_x14y11_w2beg[5] , \tile_x14y11_w2beg[4] , \tile_x14y11_w2beg[3] , \tile_x14y11_w2beg[2] , \tile_x14y11_w2beg[1] , \tile_x14y11_w2beg[0] }),
.w2begb({ \tile_x14y11_w2begb[7] , \tile_x14y11_w2begb[6] , \tile_x14y11_w2begb[5] , \tile_x14y11_w2begb[4] , \tile_x14y11_w2begb[3] , \tile_x14y11_w2begb[2] , \tile_x14y11_w2begb[1] , \tile_x14y11_w2begb[0] }),
.w6beg({ \tile_x14y11_w6beg[11] , \tile_x14y11_w6beg[10] , \tile_x14y11_w6beg[9] , \tile_x14y11_w6beg[8] , \tile_x14y11_w6beg[7] , \tile_x14y11_w6beg[6] , \tile_x14y11_w6beg[5] , \tile_x14y11_w6beg[4] , \tile_x14y11_w6beg[3] , \tile_x14y11_w6beg[2] , \tile_x14y11_w6beg[1] , \tile_x14y11_w6beg[0] }),
.ww4beg({ \tile_x14y11_ww4beg[15] , \tile_x14y11_ww4beg[14] , \tile_x14y11_ww4beg[13] , \tile_x14y11_ww4beg[12] , \tile_x14y11_ww4beg[11] , \tile_x14y11_ww4beg[10] , \tile_x14y11_ww4beg[9] , \tile_x14y11_ww4beg[8] , \tile_x14y11_ww4beg[7] , \tile_x14y11_ww4beg[6] , \tile_x14y11_ww4beg[5] , \tile_x14y11_ww4beg[4] , \tile_x14y11_ww4beg[3] , \tile_x14y11_ww4beg[2] , \tile_x14y11_ww4beg[1] , \tile_x14y11_ww4beg[0] })
);
ram_io tile_x14y12_ram_io (
.config_accessc_bit0(tile_x14y12_config_accessc_bit0),
.config_accessc_bit1(tile_x14y12_config_accessc_bit1),
.config_accessc_bit2(tile_x14y12_config_accessc_bit2),
.config_accessc_bit3(tile_x14y12_config_accessc_bit3),
.e1end({ \tile_x13y12_e1beg[3] , \tile_x13y12_e1beg[2] , \tile_x13y12_e1beg[1] , \tile_x13y12_e1beg[0] }),
.e2end({ \tile_x13y12_e2begb[7] , \tile_x13y12_e2begb[6] , \tile_x13y12_e2begb[5] , \tile_x13y12_e2begb[4] , \tile_x13y12_e2begb[3] , \tile_x13y12_e2begb[2] , \tile_x13y12_e2begb[1] , \tile_x13y12_e2begb[0] }),
.e2mid({ \tile_x13y12_e2beg[7] , \tile_x13y12_e2beg[6] , \tile_x13y12_e2beg[5] , \tile_x13y12_e2beg[4] , \tile_x13y12_e2beg[3] , \tile_x13y12_e2beg[2] , \tile_x13y12_e2beg[1] , \tile_x13y12_e2beg[0] }),
.e6end({ \tile_x13y12_e6beg[11] , \tile_x13y12_e6beg[10] , \tile_x13y12_e6beg[9] , \tile_x13y12_e6beg[8] , \tile_x13y12_e6beg[7] , \tile_x13y12_e6beg[6] , \tile_x13y12_e6beg[5] , \tile_x13y12_e6beg[4] , \tile_x13y12_e6beg[3] , \tile_x13y12_e6beg[2] , \tile_x13y12_e6beg[1] , \tile_x13y12_e6beg[0] }),
.ee4end({ \tile_x13y12_ee4beg[15] , \tile_x13y12_ee4beg[14] , \tile_x13y12_ee4beg[13] , \tile_x13y12_ee4beg[12] , \tile_x13y12_ee4beg[11] , \tile_x13y12_ee4beg[10] , \tile_x13y12_ee4beg[9] , \tile_x13y12_ee4beg[8] , \tile_x13y12_ee4beg[7] , \tile_x13y12_ee4beg[6] , \tile_x13y12_ee4beg[5] , \tile_x13y12_ee4beg[4] , \tile_x13y12_ee4beg[3] , \tile_x13y12_ee4beg[2] , \tile_x13y12_ee4beg[1] , \tile_x13y12_ee4beg[0] }),
.fab2ram_a0_o0(tile_x14y12_fab2ram_a0_o0),
.fab2ram_a0_o1(tile_x14y12_fab2ram_a0_o1),
.fab2ram_a0_o2(tile_x14y12_fab2ram_a0_o2),
.fab2ram_a0_o3(tile_x14y12_fab2ram_a0_o3),
.fab2ram_a1_o0(tile_x14y12_fab2ram_a1_o0),
.fab2ram_a1_o1(tile_x14y12_fab2ram_a1_o1),
.fab2ram_a1_o2(tile_x14y12_fab2ram_a1_o2),
.fab2ram_a1_o3(tile_x14y12_fab2ram_a1_o3),
.fab2ram_c_o0(tile_x14y12_fab2ram_c_o0),
.fab2ram_c_o1(tile_x14y12_fab2ram_c_o1),
.fab2ram_c_o2(tile_x14y12_fab2ram_c_o2),
.fab2ram_c_o3(tile_x14y12_fab2ram_c_o3),
.fab2ram_d0_o0(tile_x14y12_fab2ram_d0_o0),
.fab2ram_d0_o1(tile_x14y12_fab2ram_d0_o1),
.fab2ram_d0_o2(tile_x14y12_fab2ram_d0_o2),
.fab2ram_d0_o3(tile_x14y12_fab2ram_d0_o3),
.fab2ram_d1_o0(tile_x14y12_fab2ram_d1_o0),
.fab2ram_d1_o1(tile_x14y12_fab2ram_d1_o1),
.fab2ram_d1_o2(tile_x14y12_fab2ram_d1_o2),
.fab2ram_d1_o3(tile_x14y12_fab2ram_d1_o3),
.fab2ram_d2_o0(tile_x14y12_fab2ram_d2_o0),
.fab2ram_d2_o1(tile_x14y12_fab2ram_d2_o1),
.fab2ram_d2_o2(tile_x14y12_fab2ram_d2_o2),
.fab2ram_d2_o3(tile_x14y12_fab2ram_d2_o3),
.fab2ram_d3_o0(tile_x14y12_fab2ram_d3_o0),
.fab2ram_d3_o1(tile_x14y12_fab2ram_d3_o1),
.fab2ram_d3_o2(tile_x14y12_fab2ram_d3_o2),
.fab2ram_d3_o3(tile_x14y12_fab2ram_d3_o3),
.framedata({ \tile_x13y12_framedata_o[31] , \tile_x13y12_framedata_o[30] , \tile_x13y12_framedata_o[29] , \tile_x13y12_framedata_o[28] , \tile_x13y12_framedata_o[27] , \tile_x13y12_framedata_o[26] , \tile_x13y12_framedata_o[25] , \tile_x13y12_framedata_o[24] , \tile_x13y12_framedata_o[23] , \tile_x13y12_framedata_o[22] , \tile_x13y12_framedata_o[21] , \tile_x13y12_framedata_o[20] , \tile_x13y12_framedata_o[19] , \tile_x13y12_framedata_o[18] , \tile_x13y12_framedata_o[17] , \tile_x13y12_framedata_o[16] , \tile_x13y12_framedata_o[15] , \tile_x13y12_framedata_o[14] , \tile_x13y12_framedata_o[13] , \tile_x13y12_framedata_o[12] , \tile_x13y12_framedata_o[11] , \tile_x13y12_framedata_o[10] , \tile_x13y12_framedata_o[9] , \tile_x13y12_framedata_o[8] , \tile_x13y12_framedata_o[7] , \tile_x13y12_framedata_o[6] , \tile_x13y12_framedata_o[5] , \tile_x13y12_framedata_o[4] , \tile_x13y12_framedata_o[3] , \tile_x13y12_framedata_o[2] , \tile_x13y12_framedata_o[1] , \tile_x13y12_framedata_o[0] }),
.framedata_o({ \tile_x14y12_framedata_o[31] , \tile_x14y12_framedata_o[30] , \tile_x14y12_framedata_o[29] , \tile_x14y12_framedata_o[28] , \tile_x14y12_framedata_o[27] , \tile_x14y12_framedata_o[26] , \tile_x14y12_framedata_o[25] , \tile_x14y12_framedata_o[24] , \tile_x14y12_framedata_o[23] , \tile_x14y12_framedata_o[22] , \tile_x14y12_framedata_o[21] , \tile_x14y12_framedata_o[20] , \tile_x14y12_framedata_o[19] , \tile_x14y12_framedata_o[18] , \tile_x14y12_framedata_o[17] , \tile_x14y12_framedata_o[16] , \tile_x14y12_framedata_o[15] , \tile_x14y12_framedata_o[14] , \tile_x14y12_framedata_o[13] , \tile_x14y12_framedata_o[12] , \tile_x14y12_framedata_o[11] , \tile_x14y12_framedata_o[10] , \tile_x14y12_framedata_o[9] , \tile_x14y12_framedata_o[8] , \tile_x14y12_framedata_o[7] , \tile_x14y12_framedata_o[6] , \tile_x14y12_framedata_o[5] , \tile_x14y12_framedata_o[4] , \tile_x14y12_framedata_o[3] , \tile_x14y12_framedata_o[2] , \tile_x14y12_framedata_o[1] , \tile_x14y12_framedata_o[0] }),
.framestrobe({ \tile_x14y13_framestrobe_o[19] , \tile_x14y13_framestrobe_o[18] , \tile_x14y13_framestrobe_o[17] , \tile_x14y13_framestrobe_o[16] , \tile_x14y13_framestrobe_o[15] , \tile_x14y13_framestrobe_o[14] , \tile_x14y13_framestrobe_o[13] , \tile_x14y13_framestrobe_o[12] , \tile_x14y13_framestrobe_o[11] , \tile_x14y13_framestrobe_o[10] , \tile_x14y13_framestrobe_o[9] , \tile_x14y13_framestrobe_o[8] , \tile_x14y13_framestrobe_o[7] , \tile_x14y13_framestrobe_o[6] , \tile_x14y13_framestrobe_o[5] , \tile_x14y13_framestrobe_o[4] , \tile_x14y13_framestrobe_o[3] , \tile_x14y13_framestrobe_o[2] , \tile_x14y13_framestrobe_o[1] , \tile_x14y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x14y12_framestrobe_o[19] , \tile_x14y12_framestrobe_o[18] , \tile_x14y12_framestrobe_o[17] , \tile_x14y12_framestrobe_o[16] , \tile_x14y12_framestrobe_o[15] , \tile_x14y12_framestrobe_o[14] , \tile_x14y12_framestrobe_o[13] , \tile_x14y12_framestrobe_o[12] , \tile_x14y12_framestrobe_o[11] , \tile_x14y12_framestrobe_o[10] , \tile_x14y12_framestrobe_o[9] , \tile_x14y12_framestrobe_o[8] , \tile_x14y12_framestrobe_o[7] , \tile_x14y12_framestrobe_o[6] , \tile_x14y12_framestrobe_o[5] , \tile_x14y12_framestrobe_o[4] , \tile_x14y12_framestrobe_o[3] , \tile_x14y12_framestrobe_o[2] , \tile_x14y12_framestrobe_o[1] , \tile_x14y12_framestrobe_o[0] }),
.n1beg({ \tile_x14y12_n1beg[3] , \tile_x14y12_n1beg[2] , \tile_x14y12_n1beg[1] , \tile_x14y12_n1beg[0] }),
.n1end({ \tile_x14y13_n1beg[3] , \tile_x14y13_n1beg[2] , \tile_x14y13_n1beg[1] , \tile_x14y13_n1beg[0] }),
.n2beg({ \tile_x14y12_n2beg[7] , \tile_x14y12_n2beg[6] , \tile_x14y12_n2beg[5] , \tile_x14y12_n2beg[4] , \tile_x14y12_n2beg[3] , \tile_x14y12_n2beg[2] , \tile_x14y12_n2beg[1] , \tile_x14y12_n2beg[0] }),
.n2begb({ \tile_x14y12_n2begb[7] , \tile_x14y12_n2begb[6] , \tile_x14y12_n2begb[5] , \tile_x14y12_n2begb[4] , \tile_x14y12_n2begb[3] , \tile_x14y12_n2begb[2] , \tile_x14y12_n2begb[1] , \tile_x14y12_n2begb[0] }),
.n2end({ \tile_x14y13_n2begb[7] , \tile_x14y13_n2begb[6] , \tile_x14y13_n2begb[5] , \tile_x14y13_n2begb[4] , \tile_x14y13_n2begb[3] , \tile_x14y13_n2begb[2] , \tile_x14y13_n2begb[1] , \tile_x14y13_n2begb[0] }),
.n2mid({ \tile_x14y13_n2beg[7] , \tile_x14y13_n2beg[6] , \tile_x14y13_n2beg[5] , \tile_x14y13_n2beg[4] , \tile_x14y13_n2beg[3] , \tile_x14y13_n2beg[2] , \tile_x14y13_n2beg[1] , \tile_x14y13_n2beg[0] }),
.n4beg({ \tile_x14y12_n4beg[15] , \tile_x14y12_n4beg[14] , \tile_x14y12_n4beg[13] , \tile_x14y12_n4beg[12] , \tile_x14y12_n4beg[11] , \tile_x14y12_n4beg[10] , \tile_x14y12_n4beg[9] , \tile_x14y12_n4beg[8] , \tile_x14y12_n4beg[7] , \tile_x14y12_n4beg[6] , \tile_x14y12_n4beg[5] , \tile_x14y12_n4beg[4] , \tile_x14y12_n4beg[3] , \tile_x14y12_n4beg[2] , \tile_x14y12_n4beg[1] , \tile_x14y12_n4beg[0] }),
.n4end({ \tile_x14y13_n4beg[15] , \tile_x14y13_n4beg[14] , \tile_x14y13_n4beg[13] , \tile_x14y13_n4beg[12] , \tile_x14y13_n4beg[11] , \tile_x14y13_n4beg[10] , \tile_x14y13_n4beg[9] , \tile_x14y13_n4beg[8] , \tile_x14y13_n4beg[7] , \tile_x14y13_n4beg[6] , \tile_x14y13_n4beg[5] , \tile_x14y13_n4beg[4] , \tile_x14y13_n4beg[3] , \tile_x14y13_n4beg[2] , \tile_x14y13_n4beg[1] , \tile_x14y13_n4beg[0] }),
.ram2fab_d0_i0(tile_x14y12_ram2fab_d0_i0),
.ram2fab_d0_i1(tile_x14y12_ram2fab_d0_i1),
.ram2fab_d0_i2(tile_x14y12_ram2fab_d0_i2),
.ram2fab_d0_i3(tile_x14y12_ram2fab_d0_i3),
.ram2fab_d1_i0(tile_x14y12_ram2fab_d1_i0),
.ram2fab_d1_i1(tile_x14y12_ram2fab_d1_i1),
.ram2fab_d1_i2(tile_x14y12_ram2fab_d1_i2),
.ram2fab_d1_i3(tile_x14y12_ram2fab_d1_i3),
.ram2fab_d2_i0(tile_x14y12_ram2fab_d2_i0),
.ram2fab_d2_i1(tile_x14y12_ram2fab_d2_i1),
.ram2fab_d2_i2(tile_x14y12_ram2fab_d2_i2),
.ram2fab_d2_i3(tile_x14y12_ram2fab_d2_i3),
.ram2fab_d3_i0(tile_x14y12_ram2fab_d3_i0),
.ram2fab_d3_i1(tile_x14y12_ram2fab_d3_i1),
.ram2fab_d3_i2(tile_x14y12_ram2fab_d3_i2),
.ram2fab_d3_i3(tile_x14y12_ram2fab_d3_i3),
.s1beg({ \tile_x14y12_s1beg[3] , \tile_x14y12_s1beg[2] , \tile_x14y12_s1beg[1] , \tile_x14y12_s1beg[0] }),
.s1end({ \tile_x14y11_s1beg[3] , \tile_x14y11_s1beg[2] , \tile_x14y11_s1beg[1] , \tile_x14y11_s1beg[0] }),
.s2beg({ \tile_x14y12_s2beg[7] , \tile_x14y12_s2beg[6] , \tile_x14y12_s2beg[5] , \tile_x14y12_s2beg[4] , \tile_x14y12_s2beg[3] , \tile_x14y12_s2beg[2] , \tile_x14y12_s2beg[1] , \tile_x14y12_s2beg[0] }),
.s2begb({ \tile_x14y12_s2begb[7] , \tile_x14y12_s2begb[6] , \tile_x14y12_s2begb[5] , \tile_x14y12_s2begb[4] , \tile_x14y12_s2begb[3] , \tile_x14y12_s2begb[2] , \tile_x14y12_s2begb[1] , \tile_x14y12_s2begb[0] }),
.s2end({ \tile_x14y11_s2begb[7] , \tile_x14y11_s2begb[6] , \tile_x14y11_s2begb[5] , \tile_x14y11_s2begb[4] , \tile_x14y11_s2begb[3] , \tile_x14y11_s2begb[2] , \tile_x14y11_s2begb[1] , \tile_x14y11_s2begb[0] }),
.s2mid({ \tile_x14y11_s2beg[7] , \tile_x14y11_s2beg[6] , \tile_x14y11_s2beg[5] , \tile_x14y11_s2beg[4] , \tile_x14y11_s2beg[3] , \tile_x14y11_s2beg[2] , \tile_x14y11_s2beg[1] , \tile_x14y11_s2beg[0] }),
.s4beg({ \tile_x14y12_s4beg[15] , \tile_x14y12_s4beg[14] , \tile_x14y12_s4beg[13] , \tile_x14y12_s4beg[12] , \tile_x14y12_s4beg[11] , \tile_x14y12_s4beg[10] , \tile_x14y12_s4beg[9] , \tile_x14y12_s4beg[8] , \tile_x14y12_s4beg[7] , \tile_x14y12_s4beg[6] , \tile_x14y12_s4beg[5] , \tile_x14y12_s4beg[4] , \tile_x14y12_s4beg[3] , \tile_x14y12_s4beg[2] , \tile_x14y12_s4beg[1] , \tile_x14y12_s4beg[0] }),
.s4end({ \tile_x14y11_s4beg[15] , \tile_x14y11_s4beg[14] , \tile_x14y11_s4beg[13] , \tile_x14y11_s4beg[12] , \tile_x14y11_s4beg[11] , \tile_x14y11_s4beg[10] , \tile_x14y11_s4beg[9] , \tile_x14y11_s4beg[8] , \tile_x14y11_s4beg[7] , \tile_x14y11_s4beg[6] , \tile_x14y11_s4beg[5] , \tile_x14y11_s4beg[4] , \tile_x14y11_s4beg[3] , \tile_x14y11_s4beg[2] , \tile_x14y11_s4beg[1] , \tile_x14y11_s4beg[0] }),
.userclk(tile_x14y13_userclko),
.userclko(tile_x14y12_userclko),
.w1beg({ \tile_x14y12_w1beg[3] , \tile_x14y12_w1beg[2] , \tile_x14y12_w1beg[1] , \tile_x14y12_w1beg[0] }),
.w2beg({ \tile_x14y12_w2beg[7] , \tile_x14y12_w2beg[6] , \tile_x14y12_w2beg[5] , \tile_x14y12_w2beg[4] , \tile_x14y12_w2beg[3] , \tile_x14y12_w2beg[2] , \tile_x14y12_w2beg[1] , \tile_x14y12_w2beg[0] }),
.w2begb({ \tile_x14y12_w2begb[7] , \tile_x14y12_w2begb[6] , \tile_x14y12_w2begb[5] , \tile_x14y12_w2begb[4] , \tile_x14y12_w2begb[3] , \tile_x14y12_w2begb[2] , \tile_x14y12_w2begb[1] , \tile_x14y12_w2begb[0] }),
.w6beg({ \tile_x14y12_w6beg[11] , \tile_x14y12_w6beg[10] , \tile_x14y12_w6beg[9] , \tile_x14y12_w6beg[8] , \tile_x14y12_w6beg[7] , \tile_x14y12_w6beg[6] , \tile_x14y12_w6beg[5] , \tile_x14y12_w6beg[4] , \tile_x14y12_w6beg[3] , \tile_x14y12_w6beg[2] , \tile_x14y12_w6beg[1] , \tile_x14y12_w6beg[0] }),
.ww4beg({ \tile_x14y12_ww4beg[15] , \tile_x14y12_ww4beg[14] , \tile_x14y12_ww4beg[13] , \tile_x14y12_ww4beg[12] , \tile_x14y12_ww4beg[11] , \tile_x14y12_ww4beg[10] , \tile_x14y12_ww4beg[9] , \tile_x14y12_ww4beg[8] , \tile_x14y12_ww4beg[7] , \tile_x14y12_ww4beg[6] , \tile_x14y12_ww4beg[5] , \tile_x14y12_ww4beg[4] , \tile_x14y12_ww4beg[3] , \tile_x14y12_ww4beg[2] , \tile_x14y12_ww4beg[1] , \tile_x14y12_ww4beg[0] })
);
ram_io tile_x14y13_ram_io (
.config_accessc_bit0(tile_x14y13_config_accessc_bit0),
.config_accessc_bit1(tile_x14y13_config_accessc_bit1),
.config_accessc_bit2(tile_x14y13_config_accessc_bit2),
.config_accessc_bit3(tile_x14y13_config_accessc_bit3),
.e1end({ \tile_x13y13_e1beg[3] , \tile_x13y13_e1beg[2] , \tile_x13y13_e1beg[1] , \tile_x13y13_e1beg[0] }),
.e2end({ \tile_x13y13_e2begb[7] , \tile_x13y13_e2begb[6] , \tile_x13y13_e2begb[5] , \tile_x13y13_e2begb[4] , \tile_x13y13_e2begb[3] , \tile_x13y13_e2begb[2] , \tile_x13y13_e2begb[1] , \tile_x13y13_e2begb[0] }),
.e2mid({ \tile_x13y13_e2beg[7] , \tile_x13y13_e2beg[6] , \tile_x13y13_e2beg[5] , \tile_x13y13_e2beg[4] , \tile_x13y13_e2beg[3] , \tile_x13y13_e2beg[2] , \tile_x13y13_e2beg[1] , \tile_x13y13_e2beg[0] }),
.e6end({ \tile_x13y13_e6beg[11] , \tile_x13y13_e6beg[10] , \tile_x13y13_e6beg[9] , \tile_x13y13_e6beg[8] , \tile_x13y13_e6beg[7] , \tile_x13y13_e6beg[6] , \tile_x13y13_e6beg[5] , \tile_x13y13_e6beg[4] , \tile_x13y13_e6beg[3] , \tile_x13y13_e6beg[2] , \tile_x13y13_e6beg[1] , \tile_x13y13_e6beg[0] }),
.ee4end({ \tile_x13y13_ee4beg[15] , \tile_x13y13_ee4beg[14] , \tile_x13y13_ee4beg[13] , \tile_x13y13_ee4beg[12] , \tile_x13y13_ee4beg[11] , \tile_x13y13_ee4beg[10] , \tile_x13y13_ee4beg[9] , \tile_x13y13_ee4beg[8] , \tile_x13y13_ee4beg[7] , \tile_x13y13_ee4beg[6] , \tile_x13y13_ee4beg[5] , \tile_x13y13_ee4beg[4] , \tile_x13y13_ee4beg[3] , \tile_x13y13_ee4beg[2] , \tile_x13y13_ee4beg[1] , \tile_x13y13_ee4beg[0] }),
.fab2ram_a0_o0(tile_x14y13_fab2ram_a0_o0),
.fab2ram_a0_o1(tile_x14y13_fab2ram_a0_o1),
.fab2ram_a0_o2(tile_x14y13_fab2ram_a0_o2),
.fab2ram_a0_o3(tile_x14y13_fab2ram_a0_o3),
.fab2ram_a1_o0(tile_x14y13_fab2ram_a1_o0),
.fab2ram_a1_o1(tile_x14y13_fab2ram_a1_o1),
.fab2ram_a1_o2(tile_x14y13_fab2ram_a1_o2),
.fab2ram_a1_o3(tile_x14y13_fab2ram_a1_o3),
.fab2ram_c_o0(tile_x14y13_fab2ram_c_o0),
.fab2ram_c_o1(tile_x14y13_fab2ram_c_o1),
.fab2ram_c_o2(tile_x14y13_fab2ram_c_o2),
.fab2ram_c_o3(tile_x14y13_fab2ram_c_o3),
.fab2ram_d0_o0(tile_x14y13_fab2ram_d0_o0),
.fab2ram_d0_o1(tile_x14y13_fab2ram_d0_o1),
.fab2ram_d0_o2(tile_x14y13_fab2ram_d0_o2),
.fab2ram_d0_o3(tile_x14y13_fab2ram_d0_o3),
.fab2ram_d1_o0(tile_x14y13_fab2ram_d1_o0),
.fab2ram_d1_o1(tile_x14y13_fab2ram_d1_o1),
.fab2ram_d1_o2(tile_x14y13_fab2ram_d1_o2),
.fab2ram_d1_o3(tile_x14y13_fab2ram_d1_o3),
.fab2ram_d2_o0(tile_x14y13_fab2ram_d2_o0),
.fab2ram_d2_o1(tile_x14y13_fab2ram_d2_o1),
.fab2ram_d2_o2(tile_x14y13_fab2ram_d2_o2),
.fab2ram_d2_o3(tile_x14y13_fab2ram_d2_o3),
.fab2ram_d3_o0(tile_x14y13_fab2ram_d3_o0),
.fab2ram_d3_o1(tile_x14y13_fab2ram_d3_o1),
.fab2ram_d3_o2(tile_x14y13_fab2ram_d3_o2),
.fab2ram_d3_o3(tile_x14y13_fab2ram_d3_o3),
.framedata({ \tile_x13y13_framedata_o[31] , \tile_x13y13_framedata_o[30] , \tile_x13y13_framedata_o[29] , \tile_x13y13_framedata_o[28] , \tile_x13y13_framedata_o[27] , \tile_x13y13_framedata_o[26] , \tile_x13y13_framedata_o[25] , \tile_x13y13_framedata_o[24] , \tile_x13y13_framedata_o[23] , \tile_x13y13_framedata_o[22] , \tile_x13y13_framedata_o[21] , \tile_x13y13_framedata_o[20] , \tile_x13y13_framedata_o[19] , \tile_x13y13_framedata_o[18] , \tile_x13y13_framedata_o[17] , \tile_x13y13_framedata_o[16] , \tile_x13y13_framedata_o[15] , \tile_x13y13_framedata_o[14] , \tile_x13y13_framedata_o[13] , \tile_x13y13_framedata_o[12] , \tile_x13y13_framedata_o[11] , \tile_x13y13_framedata_o[10] , \tile_x13y13_framedata_o[9] , \tile_x13y13_framedata_o[8] , \tile_x13y13_framedata_o[7] , \tile_x13y13_framedata_o[6] , \tile_x13y13_framedata_o[5] , \tile_x13y13_framedata_o[4] , \tile_x13y13_framedata_o[3] , \tile_x13y13_framedata_o[2] , \tile_x13y13_framedata_o[1] , \tile_x13y13_framedata_o[0] }),
.framedata_o({ \tile_x14y13_framedata_o[31] , \tile_x14y13_framedata_o[30] , \tile_x14y13_framedata_o[29] , \tile_x14y13_framedata_o[28] , \tile_x14y13_framedata_o[27] , \tile_x14y13_framedata_o[26] , \tile_x14y13_framedata_o[25] , \tile_x14y13_framedata_o[24] , \tile_x14y13_framedata_o[23] , \tile_x14y13_framedata_o[22] , \tile_x14y13_framedata_o[21] , \tile_x14y13_framedata_o[20] , \tile_x14y13_framedata_o[19] , \tile_x14y13_framedata_o[18] , \tile_x14y13_framedata_o[17] , \tile_x14y13_framedata_o[16] , \tile_x14y13_framedata_o[15] , \tile_x14y13_framedata_o[14] , \tile_x14y13_framedata_o[13] , \tile_x14y13_framedata_o[12] , \tile_x14y13_framedata_o[11] , \tile_x14y13_framedata_o[10] , \tile_x14y13_framedata_o[9] , \tile_x14y13_framedata_o[8] , \tile_x14y13_framedata_o[7] , \tile_x14y13_framedata_o[6] , \tile_x14y13_framedata_o[5] , \tile_x14y13_framedata_o[4] , \tile_x14y13_framedata_o[3] , \tile_x14y13_framedata_o[2] , \tile_x14y13_framedata_o[1] , \tile_x14y13_framedata_o[0] }),
.framestrobe({ \tile_x14y14_framestrobe_o[19] , \tile_x14y14_framestrobe_o[18] , \tile_x14y14_framestrobe_o[17] , \tile_x14y14_framestrobe_o[16] , \tile_x14y14_framestrobe_o[15] , \tile_x14y14_framestrobe_o[14] , \tile_x14y14_framestrobe_o[13] , \tile_x14y14_framestrobe_o[12] , \tile_x14y14_framestrobe_o[11] , \tile_x14y14_framestrobe_o[10] , \tile_x14y14_framestrobe_o[9] , \tile_x14y14_framestrobe_o[8] , \tile_x14y14_framestrobe_o[7] , \tile_x14y14_framestrobe_o[6] , \tile_x14y14_framestrobe_o[5] , \tile_x14y14_framestrobe_o[4] , \tile_x14y14_framestrobe_o[3] , \tile_x14y14_framestrobe_o[2] , \tile_x14y14_framestrobe_o[1] , \tile_x14y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x14y13_framestrobe_o[19] , \tile_x14y13_framestrobe_o[18] , \tile_x14y13_framestrobe_o[17] , \tile_x14y13_framestrobe_o[16] , \tile_x14y13_framestrobe_o[15] , \tile_x14y13_framestrobe_o[14] , \tile_x14y13_framestrobe_o[13] , \tile_x14y13_framestrobe_o[12] , \tile_x14y13_framestrobe_o[11] , \tile_x14y13_framestrobe_o[10] , \tile_x14y13_framestrobe_o[9] , \tile_x14y13_framestrobe_o[8] , \tile_x14y13_framestrobe_o[7] , \tile_x14y13_framestrobe_o[6] , \tile_x14y13_framestrobe_o[5] , \tile_x14y13_framestrobe_o[4] , \tile_x14y13_framestrobe_o[3] , \tile_x14y13_framestrobe_o[2] , \tile_x14y13_framestrobe_o[1] , \tile_x14y13_framestrobe_o[0] }),
.n1beg({ \tile_x14y13_n1beg[3] , \tile_x14y13_n1beg[2] , \tile_x14y13_n1beg[1] , \tile_x14y13_n1beg[0] }),
.n1end({ \tile_x14y14_n1beg[3] , \tile_x14y14_n1beg[2] , \tile_x14y14_n1beg[1] , \tile_x14y14_n1beg[0] }),
.n2beg({ \tile_x14y13_n2beg[7] , \tile_x14y13_n2beg[6] , \tile_x14y13_n2beg[5] , \tile_x14y13_n2beg[4] , \tile_x14y13_n2beg[3] , \tile_x14y13_n2beg[2] , \tile_x14y13_n2beg[1] , \tile_x14y13_n2beg[0] }),
.n2begb({ \tile_x14y13_n2begb[7] , \tile_x14y13_n2begb[6] , \tile_x14y13_n2begb[5] , \tile_x14y13_n2begb[4] , \tile_x14y13_n2begb[3] , \tile_x14y13_n2begb[2] , \tile_x14y13_n2begb[1] , \tile_x14y13_n2begb[0] }),
.n2end({ \tile_x14y14_n2begb[7] , \tile_x14y14_n2begb[6] , \tile_x14y14_n2begb[5] , \tile_x14y14_n2begb[4] , \tile_x14y14_n2begb[3] , \tile_x14y14_n2begb[2] , \tile_x14y14_n2begb[1] , \tile_x14y14_n2begb[0] }),
.n2mid({ \tile_x14y14_n2beg[7] , \tile_x14y14_n2beg[6] , \tile_x14y14_n2beg[5] , \tile_x14y14_n2beg[4] , \tile_x14y14_n2beg[3] , \tile_x14y14_n2beg[2] , \tile_x14y14_n2beg[1] , \tile_x14y14_n2beg[0] }),
.n4beg({ \tile_x14y13_n4beg[15] , \tile_x14y13_n4beg[14] , \tile_x14y13_n4beg[13] , \tile_x14y13_n4beg[12] , \tile_x14y13_n4beg[11] , \tile_x14y13_n4beg[10] , \tile_x14y13_n4beg[9] , \tile_x14y13_n4beg[8] , \tile_x14y13_n4beg[7] , \tile_x14y13_n4beg[6] , \tile_x14y13_n4beg[5] , \tile_x14y13_n4beg[4] , \tile_x14y13_n4beg[3] , \tile_x14y13_n4beg[2] , \tile_x14y13_n4beg[1] , \tile_x14y13_n4beg[0] }),
.n4end({ \tile_x14y14_n4beg[15] , \tile_x14y14_n4beg[14] , \tile_x14y14_n4beg[13] , \tile_x14y14_n4beg[12] , \tile_x14y14_n4beg[11] , \tile_x14y14_n4beg[10] , \tile_x14y14_n4beg[9] , \tile_x14y14_n4beg[8] , \tile_x14y14_n4beg[7] , \tile_x14y14_n4beg[6] , \tile_x14y14_n4beg[5] , \tile_x14y14_n4beg[4] , \tile_x14y14_n4beg[3] , \tile_x14y14_n4beg[2] , \tile_x14y14_n4beg[1] , \tile_x14y14_n4beg[0] }),
.ram2fab_d0_i0(tile_x14y13_ram2fab_d0_i0),
.ram2fab_d0_i1(tile_x14y13_ram2fab_d0_i1),
.ram2fab_d0_i2(tile_x14y13_ram2fab_d0_i2),
.ram2fab_d0_i3(tile_x14y13_ram2fab_d0_i3),
.ram2fab_d1_i0(tile_x14y13_ram2fab_d1_i0),
.ram2fab_d1_i1(tile_x14y13_ram2fab_d1_i1),
.ram2fab_d1_i2(tile_x14y13_ram2fab_d1_i2),
.ram2fab_d1_i3(tile_x14y13_ram2fab_d1_i3),
.ram2fab_d2_i0(tile_x14y13_ram2fab_d2_i0),
.ram2fab_d2_i1(tile_x14y13_ram2fab_d2_i1),
.ram2fab_d2_i2(tile_x14y13_ram2fab_d2_i2),
.ram2fab_d2_i3(tile_x14y13_ram2fab_d2_i3),
.ram2fab_d3_i0(tile_x14y13_ram2fab_d3_i0),
.ram2fab_d3_i1(tile_x14y13_ram2fab_d3_i1),
.ram2fab_d3_i2(tile_x14y13_ram2fab_d3_i2),
.ram2fab_d3_i3(tile_x14y13_ram2fab_d3_i3),
.s1beg({ \tile_x14y13_s1beg[3] , \tile_x14y13_s1beg[2] , \tile_x14y13_s1beg[1] , \tile_x14y13_s1beg[0] }),
.s1end({ \tile_x14y12_s1beg[3] , \tile_x14y12_s1beg[2] , \tile_x14y12_s1beg[1] , \tile_x14y12_s1beg[0] }),
.s2beg({ \tile_x14y13_s2beg[7] , \tile_x14y13_s2beg[6] , \tile_x14y13_s2beg[5] , \tile_x14y13_s2beg[4] , \tile_x14y13_s2beg[3] , \tile_x14y13_s2beg[2] , \tile_x14y13_s2beg[1] , \tile_x14y13_s2beg[0] }),
.s2begb({ \tile_x14y13_s2begb[7] , \tile_x14y13_s2begb[6] , \tile_x14y13_s2begb[5] , \tile_x14y13_s2begb[4] , \tile_x14y13_s2begb[3] , \tile_x14y13_s2begb[2] , \tile_x14y13_s2begb[1] , \tile_x14y13_s2begb[0] }),
.s2end({ \tile_x14y12_s2begb[7] , \tile_x14y12_s2begb[6] , \tile_x14y12_s2begb[5] , \tile_x14y12_s2begb[4] , \tile_x14y12_s2begb[3] , \tile_x14y12_s2begb[2] , \tile_x14y12_s2begb[1] , \tile_x14y12_s2begb[0] }),
.s2mid({ \tile_x14y12_s2beg[7] , \tile_x14y12_s2beg[6] , \tile_x14y12_s2beg[5] , \tile_x14y12_s2beg[4] , \tile_x14y12_s2beg[3] , \tile_x14y12_s2beg[2] , \tile_x14y12_s2beg[1] , \tile_x14y12_s2beg[0] }),
.s4beg({ \tile_x14y13_s4beg[15] , \tile_x14y13_s4beg[14] , \tile_x14y13_s4beg[13] , \tile_x14y13_s4beg[12] , \tile_x14y13_s4beg[11] , \tile_x14y13_s4beg[10] , \tile_x14y13_s4beg[9] , \tile_x14y13_s4beg[8] , \tile_x14y13_s4beg[7] , \tile_x14y13_s4beg[6] , \tile_x14y13_s4beg[5] , \tile_x14y13_s4beg[4] , \tile_x14y13_s4beg[3] , \tile_x14y13_s4beg[2] , \tile_x14y13_s4beg[1] , \tile_x14y13_s4beg[0] }),
.s4end({ \tile_x14y12_s4beg[15] , \tile_x14y12_s4beg[14] , \tile_x14y12_s4beg[13] , \tile_x14y12_s4beg[12] , \tile_x14y12_s4beg[11] , \tile_x14y12_s4beg[10] , \tile_x14y12_s4beg[9] , \tile_x14y12_s4beg[8] , \tile_x14y12_s4beg[7] , \tile_x14y12_s4beg[6] , \tile_x14y12_s4beg[5] , \tile_x14y12_s4beg[4] , \tile_x14y12_s4beg[3] , \tile_x14y12_s4beg[2] , \tile_x14y12_s4beg[1] , \tile_x14y12_s4beg[0] }),
.userclk(tile_x14y14_userclko),
.userclko(tile_x14y13_userclko),
.w1beg({ \tile_x14y13_w1beg[3] , \tile_x14y13_w1beg[2] , \tile_x14y13_w1beg[1] , \tile_x14y13_w1beg[0] }),
.w2beg({ \tile_x14y13_w2beg[7] , \tile_x14y13_w2beg[6] , \tile_x14y13_w2beg[5] , \tile_x14y13_w2beg[4] , \tile_x14y13_w2beg[3] , \tile_x14y13_w2beg[2] , \tile_x14y13_w2beg[1] , \tile_x14y13_w2beg[0] }),
.w2begb({ \tile_x14y13_w2begb[7] , \tile_x14y13_w2begb[6] , \tile_x14y13_w2begb[5] , \tile_x14y13_w2begb[4] , \tile_x14y13_w2begb[3] , \tile_x14y13_w2begb[2] , \tile_x14y13_w2begb[1] , \tile_x14y13_w2begb[0] }),
.w6beg({ \tile_x14y13_w6beg[11] , \tile_x14y13_w6beg[10] , \tile_x14y13_w6beg[9] , \tile_x14y13_w6beg[8] , \tile_x14y13_w6beg[7] , \tile_x14y13_w6beg[6] , \tile_x14y13_w6beg[5] , \tile_x14y13_w6beg[4] , \tile_x14y13_w6beg[3] , \tile_x14y13_w6beg[2] , \tile_x14y13_w6beg[1] , \tile_x14y13_w6beg[0] }),
.ww4beg({ \tile_x14y13_ww4beg[15] , \tile_x14y13_ww4beg[14] , \tile_x14y13_ww4beg[13] , \tile_x14y13_ww4beg[12] , \tile_x14y13_ww4beg[11] , \tile_x14y13_ww4beg[10] , \tile_x14y13_ww4beg[9] , \tile_x14y13_ww4beg[8] , \tile_x14y13_ww4beg[7] , \tile_x14y13_ww4beg[6] , \tile_x14y13_ww4beg[5] , \tile_x14y13_ww4beg[4] , \tile_x14y13_ww4beg[3] , \tile_x14y13_ww4beg[2] , \tile_x14y13_ww4beg[1] , \tile_x14y13_ww4beg[0] })
);
ram_io tile_x14y14_ram_io (
.config_accessc_bit0(tile_x14y14_config_accessc_bit0),
.config_accessc_bit1(tile_x14y14_config_accessc_bit1),
.config_accessc_bit2(tile_x14y14_config_accessc_bit2),
.config_accessc_bit3(tile_x14y14_config_accessc_bit3),
.e1end({ \tile_x13y14_e1beg[3] , \tile_x13y14_e1beg[2] , \tile_x13y14_e1beg[1] , \tile_x13y14_e1beg[0] }),
.e2end({ \tile_x13y14_e2begb[7] , \tile_x13y14_e2begb[6] , \tile_x13y14_e2begb[5] , \tile_x13y14_e2begb[4] , \tile_x13y14_e2begb[3] , \tile_x13y14_e2begb[2] , \tile_x13y14_e2begb[1] , \tile_x13y14_e2begb[0] }),
.e2mid({ \tile_x13y14_e2beg[7] , \tile_x13y14_e2beg[6] , \tile_x13y14_e2beg[5] , \tile_x13y14_e2beg[4] , \tile_x13y14_e2beg[3] , \tile_x13y14_e2beg[2] , \tile_x13y14_e2beg[1] , \tile_x13y14_e2beg[0] }),
.e6end({ \tile_x13y14_e6beg[11] , \tile_x13y14_e6beg[10] , \tile_x13y14_e6beg[9] , \tile_x13y14_e6beg[8] , \tile_x13y14_e6beg[7] , \tile_x13y14_e6beg[6] , \tile_x13y14_e6beg[5] , \tile_x13y14_e6beg[4] , \tile_x13y14_e6beg[3] , \tile_x13y14_e6beg[2] , \tile_x13y14_e6beg[1] , \tile_x13y14_e6beg[0] }),
.ee4end({ \tile_x13y14_ee4beg[15] , \tile_x13y14_ee4beg[14] , \tile_x13y14_ee4beg[13] , \tile_x13y14_ee4beg[12] , \tile_x13y14_ee4beg[11] , \tile_x13y14_ee4beg[10] , \tile_x13y14_ee4beg[9] , \tile_x13y14_ee4beg[8] , \tile_x13y14_ee4beg[7] , \tile_x13y14_ee4beg[6] , \tile_x13y14_ee4beg[5] , \tile_x13y14_ee4beg[4] , \tile_x13y14_ee4beg[3] , \tile_x13y14_ee4beg[2] , \tile_x13y14_ee4beg[1] , \tile_x13y14_ee4beg[0] }),
.fab2ram_a0_o0(tile_x14y14_fab2ram_a0_o0),
.fab2ram_a0_o1(tile_x14y14_fab2ram_a0_o1),
.fab2ram_a0_o2(tile_x14y14_fab2ram_a0_o2),
.fab2ram_a0_o3(tile_x14y14_fab2ram_a0_o3),
.fab2ram_a1_o0(tile_x14y14_fab2ram_a1_o0),
.fab2ram_a1_o1(tile_x14y14_fab2ram_a1_o1),
.fab2ram_a1_o2(tile_x14y14_fab2ram_a1_o2),
.fab2ram_a1_o3(tile_x14y14_fab2ram_a1_o3),
.fab2ram_c_o0(tile_x14y14_fab2ram_c_o0),
.fab2ram_c_o1(tile_x14y14_fab2ram_c_o1),
.fab2ram_c_o2(tile_x14y14_fab2ram_c_o2),
.fab2ram_c_o3(tile_x14y14_fab2ram_c_o3),
.fab2ram_d0_o0(tile_x14y14_fab2ram_d0_o0),
.fab2ram_d0_o1(tile_x14y14_fab2ram_d0_o1),
.fab2ram_d0_o2(tile_x14y14_fab2ram_d0_o2),
.fab2ram_d0_o3(tile_x14y14_fab2ram_d0_o3),
.fab2ram_d1_o0(tile_x14y14_fab2ram_d1_o0),
.fab2ram_d1_o1(tile_x14y14_fab2ram_d1_o1),
.fab2ram_d1_o2(tile_x14y14_fab2ram_d1_o2),
.fab2ram_d1_o3(tile_x14y14_fab2ram_d1_o3),
.fab2ram_d2_o0(tile_x14y14_fab2ram_d2_o0),
.fab2ram_d2_o1(tile_x14y14_fab2ram_d2_o1),
.fab2ram_d2_o2(tile_x14y14_fab2ram_d2_o2),
.fab2ram_d2_o3(tile_x14y14_fab2ram_d2_o3),
.fab2ram_d3_o0(tile_x14y14_fab2ram_d3_o0),
.fab2ram_d3_o1(tile_x14y14_fab2ram_d3_o1),
.fab2ram_d3_o2(tile_x14y14_fab2ram_d3_o2),
.fab2ram_d3_o3(tile_x14y14_fab2ram_d3_o3),
.framedata({ \tile_x13y14_framedata_o[31] , \tile_x13y14_framedata_o[30] , \tile_x13y14_framedata_o[29] , \tile_x13y14_framedata_o[28] , \tile_x13y14_framedata_o[27] , \tile_x13y14_framedata_o[26] , \tile_x13y14_framedata_o[25] , \tile_x13y14_framedata_o[24] , \tile_x13y14_framedata_o[23] , \tile_x13y14_framedata_o[22] , \tile_x13y14_framedata_o[21] , \tile_x13y14_framedata_o[20] , \tile_x13y14_framedata_o[19] , \tile_x13y14_framedata_o[18] , \tile_x13y14_framedata_o[17] , \tile_x13y14_framedata_o[16] , \tile_x13y14_framedata_o[15] , \tile_x13y14_framedata_o[14] , \tile_x13y14_framedata_o[13] , \tile_x13y14_framedata_o[12] , \tile_x13y14_framedata_o[11] , \tile_x13y14_framedata_o[10] , \tile_x13y14_framedata_o[9] , \tile_x13y14_framedata_o[8] , \tile_x13y14_framedata_o[7] , \tile_x13y14_framedata_o[6] , \tile_x13y14_framedata_o[5] , \tile_x13y14_framedata_o[4] , \tile_x13y14_framedata_o[3] , \tile_x13y14_framedata_o[2] , \tile_x13y14_framedata_o[1] , \tile_x13y14_framedata_o[0] }),
.framedata_o({ \tile_x14y14_framedata_o[31] , \tile_x14y14_framedata_o[30] , \tile_x14y14_framedata_o[29] , \tile_x14y14_framedata_o[28] , \tile_x14y14_framedata_o[27] , \tile_x14y14_framedata_o[26] , \tile_x14y14_framedata_o[25] , \tile_x14y14_framedata_o[24] , \tile_x14y14_framedata_o[23] , \tile_x14y14_framedata_o[22] , \tile_x14y14_framedata_o[21] , \tile_x14y14_framedata_o[20] , \tile_x14y14_framedata_o[19] , \tile_x14y14_framedata_o[18] , \tile_x14y14_framedata_o[17] , \tile_x14y14_framedata_o[16] , \tile_x14y14_framedata_o[15] , \tile_x14y14_framedata_o[14] , \tile_x14y14_framedata_o[13] , \tile_x14y14_framedata_o[12] , \tile_x14y14_framedata_o[11] , \tile_x14y14_framedata_o[10] , \tile_x14y14_framedata_o[9] , \tile_x14y14_framedata_o[8] , \tile_x14y14_framedata_o[7] , \tile_x14y14_framedata_o[6] , \tile_x14y14_framedata_o[5] , \tile_x14y14_framedata_o[4] , \tile_x14y14_framedata_o[3] , \tile_x14y14_framedata_o[2] , \tile_x14y14_framedata_o[1] , \tile_x14y14_framedata_o[0] }),
.framestrobe({ \tile_x14y15_framestrobe_o[19] , \tile_x14y15_framestrobe_o[18] , \tile_x14y15_framestrobe_o[17] , \tile_x14y15_framestrobe_o[16] , \tile_x14y15_framestrobe_o[15] , \tile_x14y15_framestrobe_o[14] , \tile_x14y15_framestrobe_o[13] , \tile_x14y15_framestrobe_o[12] , \tile_x14y15_framestrobe_o[11] , \tile_x14y15_framestrobe_o[10] , \tile_x14y15_framestrobe_o[9] , \tile_x14y15_framestrobe_o[8] , \tile_x14y15_framestrobe_o[7] , \tile_x14y15_framestrobe_o[6] , \tile_x14y15_framestrobe_o[5] , \tile_x14y15_framestrobe_o[4] , \tile_x14y15_framestrobe_o[3] , \tile_x14y15_framestrobe_o[2] , \tile_x14y15_framestrobe_o[1] , \tile_x14y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x14y14_framestrobe_o[19] , \tile_x14y14_framestrobe_o[18] , \tile_x14y14_framestrobe_o[17] , \tile_x14y14_framestrobe_o[16] , \tile_x14y14_framestrobe_o[15] , \tile_x14y14_framestrobe_o[14] , \tile_x14y14_framestrobe_o[13] , \tile_x14y14_framestrobe_o[12] , \tile_x14y14_framestrobe_o[11] , \tile_x14y14_framestrobe_o[10] , \tile_x14y14_framestrobe_o[9] , \tile_x14y14_framestrobe_o[8] , \tile_x14y14_framestrobe_o[7] , \tile_x14y14_framestrobe_o[6] , \tile_x14y14_framestrobe_o[5] , \tile_x14y14_framestrobe_o[4] , \tile_x14y14_framestrobe_o[3] , \tile_x14y14_framestrobe_o[2] , \tile_x14y14_framestrobe_o[1] , \tile_x14y14_framestrobe_o[0] }),
.n1beg({ \tile_x14y14_n1beg[3] , \tile_x14y14_n1beg[2] , \tile_x14y14_n1beg[1] , \tile_x14y14_n1beg[0] }),
.n1end({ \tile_x14y15_n1beg[3] , \tile_x14y15_n1beg[2] , \tile_x14y15_n1beg[1] , \tile_x14y15_n1beg[0] }),
.n2beg({ \tile_x14y14_n2beg[7] , \tile_x14y14_n2beg[6] , \tile_x14y14_n2beg[5] , \tile_x14y14_n2beg[4] , \tile_x14y14_n2beg[3] , \tile_x14y14_n2beg[2] , \tile_x14y14_n2beg[1] , \tile_x14y14_n2beg[0] }),
.n2begb({ \tile_x14y14_n2begb[7] , \tile_x14y14_n2begb[6] , \tile_x14y14_n2begb[5] , \tile_x14y14_n2begb[4] , \tile_x14y14_n2begb[3] , \tile_x14y14_n2begb[2] , \tile_x14y14_n2begb[1] , \tile_x14y14_n2begb[0] }),
.n2end({ \tile_x14y15_n2begb[7] , \tile_x14y15_n2begb[6] , \tile_x14y15_n2begb[5] , \tile_x14y15_n2begb[4] , \tile_x14y15_n2begb[3] , \tile_x14y15_n2begb[2] , \tile_x14y15_n2begb[1] , \tile_x14y15_n2begb[0] }),
.n2mid({ \tile_x14y15_n2beg[7] , \tile_x14y15_n2beg[6] , \tile_x14y15_n2beg[5] , \tile_x14y15_n2beg[4] , \tile_x14y15_n2beg[3] , \tile_x14y15_n2beg[2] , \tile_x14y15_n2beg[1] , \tile_x14y15_n2beg[0] }),
.n4beg({ \tile_x14y14_n4beg[15] , \tile_x14y14_n4beg[14] , \tile_x14y14_n4beg[13] , \tile_x14y14_n4beg[12] , \tile_x14y14_n4beg[11] , \tile_x14y14_n4beg[10] , \tile_x14y14_n4beg[9] , \tile_x14y14_n4beg[8] , \tile_x14y14_n4beg[7] , \tile_x14y14_n4beg[6] , \tile_x14y14_n4beg[5] , \tile_x14y14_n4beg[4] , \tile_x14y14_n4beg[3] , \tile_x14y14_n4beg[2] , \tile_x14y14_n4beg[1] , \tile_x14y14_n4beg[0] }),
.n4end({ \tile_x14y15_n4beg[15] , \tile_x14y15_n4beg[14] , \tile_x14y15_n4beg[13] , \tile_x14y15_n4beg[12] , \tile_x14y15_n4beg[11] , \tile_x14y15_n4beg[10] , \tile_x14y15_n4beg[9] , \tile_x14y15_n4beg[8] , \tile_x14y15_n4beg[7] , \tile_x14y15_n4beg[6] , \tile_x14y15_n4beg[5] , \tile_x14y15_n4beg[4] , \tile_x14y15_n4beg[3] , \tile_x14y15_n4beg[2] , \tile_x14y15_n4beg[1] , \tile_x14y15_n4beg[0] }),
.ram2fab_d0_i0(tile_x14y14_ram2fab_d0_i0),
.ram2fab_d0_i1(tile_x14y14_ram2fab_d0_i1),
.ram2fab_d0_i2(tile_x14y14_ram2fab_d0_i2),
.ram2fab_d0_i3(tile_x14y14_ram2fab_d0_i3),
.ram2fab_d1_i0(tile_x14y14_ram2fab_d1_i0),
.ram2fab_d1_i1(tile_x14y14_ram2fab_d1_i1),
.ram2fab_d1_i2(tile_x14y14_ram2fab_d1_i2),
.ram2fab_d1_i3(tile_x14y14_ram2fab_d1_i3),
.ram2fab_d2_i0(tile_x14y14_ram2fab_d2_i0),
.ram2fab_d2_i1(tile_x14y14_ram2fab_d2_i1),
.ram2fab_d2_i2(tile_x14y14_ram2fab_d2_i2),
.ram2fab_d2_i3(tile_x14y14_ram2fab_d2_i3),
.ram2fab_d3_i0(tile_x14y14_ram2fab_d3_i0),
.ram2fab_d3_i1(tile_x14y14_ram2fab_d3_i1),
.ram2fab_d3_i2(tile_x14y14_ram2fab_d3_i2),
.ram2fab_d3_i3(tile_x14y14_ram2fab_d3_i3),
.s1beg({ \tile_x14y14_s1beg[3] , \tile_x14y14_s1beg[2] , \tile_x14y14_s1beg[1] , \tile_x14y14_s1beg[0] }),
.s1end({ \tile_x14y13_s1beg[3] , \tile_x14y13_s1beg[2] , \tile_x14y13_s1beg[1] , \tile_x14y13_s1beg[0] }),
.s2beg({ \tile_x14y14_s2beg[7] , \tile_x14y14_s2beg[6] , \tile_x14y14_s2beg[5] , \tile_x14y14_s2beg[4] , \tile_x14y14_s2beg[3] , \tile_x14y14_s2beg[2] , \tile_x14y14_s2beg[1] , \tile_x14y14_s2beg[0] }),
.s2begb({ \tile_x14y14_s2begb[7] , \tile_x14y14_s2begb[6] , \tile_x14y14_s2begb[5] , \tile_x14y14_s2begb[4] , \tile_x14y14_s2begb[3] , \tile_x14y14_s2begb[2] , \tile_x14y14_s2begb[1] , \tile_x14y14_s2begb[0] }),
.s2end({ \tile_x14y13_s2begb[7] , \tile_x14y13_s2begb[6] , \tile_x14y13_s2begb[5] , \tile_x14y13_s2begb[4] , \tile_x14y13_s2begb[3] , \tile_x14y13_s2begb[2] , \tile_x14y13_s2begb[1] , \tile_x14y13_s2begb[0] }),
.s2mid({ \tile_x14y13_s2beg[7] , \tile_x14y13_s2beg[6] , \tile_x14y13_s2beg[5] , \tile_x14y13_s2beg[4] , \tile_x14y13_s2beg[3] , \tile_x14y13_s2beg[2] , \tile_x14y13_s2beg[1] , \tile_x14y13_s2beg[0] }),
.s4beg({ \tile_x14y14_s4beg[15] , \tile_x14y14_s4beg[14] , \tile_x14y14_s4beg[13] , \tile_x14y14_s4beg[12] , \tile_x14y14_s4beg[11] , \tile_x14y14_s4beg[10] , \tile_x14y14_s4beg[9] , \tile_x14y14_s4beg[8] , \tile_x14y14_s4beg[7] , \tile_x14y14_s4beg[6] , \tile_x14y14_s4beg[5] , \tile_x14y14_s4beg[4] , \tile_x14y14_s4beg[3] , \tile_x14y14_s4beg[2] , \tile_x14y14_s4beg[1] , \tile_x14y14_s4beg[0] }),
.s4end({ \tile_x14y13_s4beg[15] , \tile_x14y13_s4beg[14] , \tile_x14y13_s4beg[13] , \tile_x14y13_s4beg[12] , \tile_x14y13_s4beg[11] , \tile_x14y13_s4beg[10] , \tile_x14y13_s4beg[9] , \tile_x14y13_s4beg[8] , \tile_x14y13_s4beg[7] , \tile_x14y13_s4beg[6] , \tile_x14y13_s4beg[5] , \tile_x14y13_s4beg[4] , \tile_x14y13_s4beg[3] , \tile_x14y13_s4beg[2] , \tile_x14y13_s4beg[1] , \tile_x14y13_s4beg[0] }),
.userclk(tile_x14y15_userclko),
.userclko(tile_x14y14_userclko),
.w1beg({ \tile_x14y14_w1beg[3] , \tile_x14y14_w1beg[2] , \tile_x14y14_w1beg[1] , \tile_x14y14_w1beg[0] }),
.w2beg({ \tile_x14y14_w2beg[7] , \tile_x14y14_w2beg[6] , \tile_x14y14_w2beg[5] , \tile_x14y14_w2beg[4] , \tile_x14y14_w2beg[3] , \tile_x14y14_w2beg[2] , \tile_x14y14_w2beg[1] , \tile_x14y14_w2beg[0] }),
.w2begb({ \tile_x14y14_w2begb[7] , \tile_x14y14_w2begb[6] , \tile_x14y14_w2begb[5] , \tile_x14y14_w2begb[4] , \tile_x14y14_w2begb[3] , \tile_x14y14_w2begb[2] , \tile_x14y14_w2begb[1] , \tile_x14y14_w2begb[0] }),
.w6beg({ \tile_x14y14_w6beg[11] , \tile_x14y14_w6beg[10] , \tile_x14y14_w6beg[9] , \tile_x14y14_w6beg[8] , \tile_x14y14_w6beg[7] , \tile_x14y14_w6beg[6] , \tile_x14y14_w6beg[5] , \tile_x14y14_w6beg[4] , \tile_x14y14_w6beg[3] , \tile_x14y14_w6beg[2] , \tile_x14y14_w6beg[1] , \tile_x14y14_w6beg[0] }),
.ww4beg({ \tile_x14y14_ww4beg[15] , \tile_x14y14_ww4beg[14] , \tile_x14y14_ww4beg[13] , \tile_x14y14_ww4beg[12] , \tile_x14y14_ww4beg[11] , \tile_x14y14_ww4beg[10] , \tile_x14y14_ww4beg[9] , \tile_x14y14_ww4beg[8] , \tile_x14y14_ww4beg[7] , \tile_x14y14_ww4beg[6] , \tile_x14y14_ww4beg[5] , \tile_x14y14_ww4beg[4] , \tile_x14y14_ww4beg[3] , \tile_x14y14_ww4beg[2] , \tile_x14y14_ww4beg[1] , \tile_x14y14_ww4beg[0] })
);
s_term_ram_io tile_x14y15_s_term_ram_io (
.framestrobe(framestrobe[299:280]),
.framestrobe_o({ \tile_x14y15_framestrobe_o[19] , \tile_x14y15_framestrobe_o[18] , \tile_x14y15_framestrobe_o[17] , \tile_x14y15_framestrobe_o[16] , \tile_x14y15_framestrobe_o[15] , \tile_x14y15_framestrobe_o[14] , \tile_x14y15_framestrobe_o[13] , \tile_x14y15_framestrobe_o[12] , \tile_x14y15_framestrobe_o[11] , \tile_x14y15_framestrobe_o[10] , \tile_x14y15_framestrobe_o[9] , \tile_x14y15_framestrobe_o[8] , \tile_x14y15_framestrobe_o[7] , \tile_x14y15_framestrobe_o[6] , \tile_x14y15_framestrobe_o[5] , \tile_x14y15_framestrobe_o[4] , \tile_x14y15_framestrobe_o[3] , \tile_x14y15_framestrobe_o[2] , \tile_x14y15_framestrobe_o[1] , \tile_x14y15_framestrobe_o[0] }),
.n1beg({ \tile_x14y15_n1beg[3] , \tile_x14y15_n1beg[2] , \tile_x14y15_n1beg[1] , \tile_x14y15_n1beg[0] }),
.n2beg({ \tile_x14y15_n2beg[7] , \tile_x14y15_n2beg[6] , \tile_x14y15_n2beg[5] , \tile_x14y15_n2beg[4] , \tile_x14y15_n2beg[3] , \tile_x14y15_n2beg[2] , \tile_x14y15_n2beg[1] , \tile_x14y15_n2beg[0] }),
.n2begb({ \tile_x14y15_n2begb[7] , \tile_x14y15_n2begb[6] , \tile_x14y15_n2begb[5] , \tile_x14y15_n2begb[4] , \tile_x14y15_n2begb[3] , \tile_x14y15_n2begb[2] , \tile_x14y15_n2begb[1] , \tile_x14y15_n2begb[0] }),
.n4beg({ \tile_x14y15_n4beg[15] , \tile_x14y15_n4beg[14] , \tile_x14y15_n4beg[13] , \tile_x14y15_n4beg[12] , \tile_x14y15_n4beg[11] , \tile_x14y15_n4beg[10] , \tile_x14y15_n4beg[9] , \tile_x14y15_n4beg[8] , \tile_x14y15_n4beg[7] , \tile_x14y15_n4beg[6] , \tile_x14y15_n4beg[5] , \tile_x14y15_n4beg[4] , \tile_x14y15_n4beg[3] , \tile_x14y15_n4beg[2] , \tile_x14y15_n4beg[1] , \tile_x14y15_n4beg[0] }),
.s1end({ \tile_x14y14_s1beg[3] , \tile_x14y14_s1beg[2] , \tile_x14y14_s1beg[1] , \tile_x14y14_s1beg[0] }),
.s2end({ \tile_x14y14_s2begb[7] , \tile_x14y14_s2begb[6] , \tile_x14y14_s2begb[5] , \tile_x14y14_s2begb[4] , \tile_x14y14_s2begb[3] , \tile_x14y14_s2begb[2] , \tile_x14y14_s2begb[1] , \tile_x14y14_s2begb[0] }),
.s2mid({ \tile_x14y14_s2beg[7] , \tile_x14y14_s2beg[6] , \tile_x14y14_s2beg[5] , \tile_x14y14_s2beg[4] , \tile_x14y14_s2beg[3] , \tile_x14y14_s2beg[2] , \tile_x14y14_s2beg[1] , \tile_x14y14_s2beg[0] }),
.s4end({ \tile_x14y14_s4beg[15] , \tile_x14y14_s4beg[14] , \tile_x14y14_s4beg[13] , \tile_x14y14_s4beg[12] , \tile_x14y14_s4beg[11] , \tile_x14y14_s4beg[10] , \tile_x14y14_s4beg[9] , \tile_x14y14_s4beg[8] , \tile_x14y14_s4beg[7] , \tile_x14y14_s4beg[6] , \tile_x14y14_s4beg[5] , \tile_x14y14_s4beg[4] , \tile_x14y14_s4beg[3] , \tile_x14y14_s4beg[2] , \tile_x14y14_s4beg[1] , \tile_x14y14_s4beg[0] }),
.userclk(userclk),
.userclko(tile_x14y15_userclko)
);
n_term_ram_io tile_x14y9_n_term_ram_io (
.framestrobe({ \tile_x14y10_framestrobe_o[19] , \tile_x14y10_framestrobe_o[18] , \tile_x14y10_framestrobe_o[17] , \tile_x14y10_framestrobe_o[16] , \tile_x14y10_framestrobe_o[15] , \tile_x14y10_framestrobe_o[14] , \tile_x14y10_framestrobe_o[13] , \tile_x14y10_framestrobe_o[12] , \tile_x14y10_framestrobe_o[11] , \tile_x14y10_framestrobe_o[10] , \tile_x14y10_framestrobe_o[9] , \tile_x14y10_framestrobe_o[8] , \tile_x14y10_framestrobe_o[7] , \tile_x14y10_framestrobe_o[6] , \tile_x14y10_framestrobe_o[5] , \tile_x14y10_framestrobe_o[4] , \tile_x14y10_framestrobe_o[3] , \tile_x14y10_framestrobe_o[2] , \tile_x14y10_framestrobe_o[1] , \tile_x14y10_framestrobe_o[0] }),
.framestrobe_o({ \tile_x14y9_framestrobe_o[19] , \tile_x14y9_framestrobe_o[18] , \tile_x14y9_framestrobe_o[17] , \tile_x14y9_framestrobe_o[16] , \tile_x14y9_framestrobe_o[15] , \tile_x14y9_framestrobe_o[14] , \tile_x14y9_framestrobe_o[13] , \tile_x14y9_framestrobe_o[12] , \tile_x14y9_framestrobe_o[11] , \tile_x14y9_framestrobe_o[10] , \tile_x14y9_framestrobe_o[9] , \tile_x14y9_framestrobe_o[8] , \tile_x14y9_framestrobe_o[7] , \tile_x14y9_framestrobe_o[6] , \tile_x14y9_framestrobe_o[5] , \tile_x14y9_framestrobe_o[4] , \tile_x14y9_framestrobe_o[3] , \tile_x14y9_framestrobe_o[2] , \tile_x14y9_framestrobe_o[1] , \tile_x14y9_framestrobe_o[0] }),
.n1end({ \tile_x14y10_n1beg[3] , \tile_x14y10_n1beg[2] , \tile_x14y10_n1beg[1] , \tile_x14y10_n1beg[0] }),
.n2end({ \tile_x14y10_n2begb[7] , \tile_x14y10_n2begb[6] , \tile_x14y10_n2begb[5] , \tile_x14y10_n2begb[4] , \tile_x14y10_n2begb[3] , \tile_x14y10_n2begb[2] , \tile_x14y10_n2begb[1] , \tile_x14y10_n2begb[0] }),
.n2mid({ \tile_x14y10_n2beg[7] , \tile_x14y10_n2beg[6] , \tile_x14y10_n2beg[5] , \tile_x14y10_n2beg[4] , \tile_x14y10_n2beg[3] , \tile_x14y10_n2beg[2] , \tile_x14y10_n2beg[1] , \tile_x14y10_n2beg[0] }),
.n4end({ \tile_x14y10_n4beg[15] , \tile_x14y10_n4beg[14] , \tile_x14y10_n4beg[13] , \tile_x14y10_n4beg[12] , \tile_x14y10_n4beg[11] , \tile_x14y10_n4beg[10] , \tile_x14y10_n4beg[9] , \tile_x14y10_n4beg[8] , \tile_x14y10_n4beg[7] , \tile_x14y10_n4beg[6] , \tile_x14y10_n4beg[5] , \tile_x14y10_n4beg[4] , \tile_x14y10_n4beg[3] , \tile_x14y10_n4beg[2] , \tile_x14y10_n4beg[1] , \tile_x14y10_n4beg[0] }),
.s1beg({ \tile_x14y9_s1beg[3] , \tile_x14y9_s1beg[2] , \tile_x14y9_s1beg[1] , \tile_x14y9_s1beg[0] }),
.s2beg({ \tile_x14y9_s2beg[7] , \tile_x14y9_s2beg[6] , \tile_x14y9_s2beg[5] , \tile_x14y9_s2beg[4] , \tile_x14y9_s2beg[3] , \tile_x14y9_s2beg[2] , \tile_x14y9_s2beg[1] , \tile_x14y9_s2beg[0] }),
.s2begb({ \tile_x14y9_s2begb[7] , \tile_x14y9_s2begb[6] , \tile_x14y9_s2begb[5] , \tile_x14y9_s2begb[4] , \tile_x14y9_s2begb[3] , \tile_x14y9_s2begb[2] , \tile_x14y9_s2begb[1] , \tile_x14y9_s2begb[0] }),
.s4beg({ \tile_x14y9_s4beg[15] , \tile_x14y9_s4beg[14] , \tile_x14y9_s4beg[13] , \tile_x14y9_s4beg[12] , \tile_x14y9_s4beg[11] , \tile_x14y9_s4beg[10] , \tile_x14y9_s4beg[9] , \tile_x14y9_s4beg[8] , \tile_x14y9_s4beg[7] , \tile_x14y9_s4beg[6] , \tile_x14y9_s4beg[5] , \tile_x14y9_s4beg[4] , \tile_x14y9_s4beg[3] , \tile_x14y9_s4beg[2] , \tile_x14y9_s4beg[1] , \tile_x14y9_s4beg[0] }),
.userclk(tile_x14y10_userclko),
.userclko(tile_x14y9_userclko)
);
lut4ab tile_x1y10_lut4ab (
.ci(tile_x1y11_co),
.co(tile_x1y10_co),
.e1beg({ \tile_x1y10_e1beg[3] , \tile_x1y10_e1beg[2] , \tile_x1y10_e1beg[1] , \tile_x1y10_e1beg[0] }),
.e1end({ \tile_x0y10_e1beg[3] , \tile_x0y10_e1beg[2] , \tile_x0y10_e1beg[1] , \tile_x0y10_e1beg[0] }),
.e2beg({ \tile_x1y10_e2beg[7] , \tile_x1y10_e2beg[6] , \tile_x1y10_e2beg[5] , \tile_x1y10_e2beg[4] , \tile_x1y10_e2beg[3] , \tile_x1y10_e2beg[2] , \tile_x1y10_e2beg[1] , \tile_x1y10_e2beg[0] }),
.e2begb({ \tile_x1y10_e2begb[7] , \tile_x1y10_e2begb[6] , \tile_x1y10_e2begb[5] , \tile_x1y10_e2begb[4] , \tile_x1y10_e2begb[3] , \tile_x1y10_e2begb[2] , \tile_x1y10_e2begb[1] , \tile_x1y10_e2begb[0] }),
.e2end({ \tile_x0y10_e2begb[7] , \tile_x0y10_e2begb[6] , \tile_x0y10_e2begb[5] , \tile_x0y10_e2begb[4] , \tile_x0y10_e2begb[3] , \tile_x0y10_e2begb[2] , \tile_x0y10_e2begb[1] , \tile_x0y10_e2begb[0] }),
.e2mid({ \tile_x0y10_e2beg[7] , \tile_x0y10_e2beg[6] , \tile_x0y10_e2beg[5] , \tile_x0y10_e2beg[4] , \tile_x0y10_e2beg[3] , \tile_x0y10_e2beg[2] , \tile_x0y10_e2beg[1] , \tile_x0y10_e2beg[0] }),
.e6beg({ \tile_x1y10_e6beg[11] , \tile_x1y10_e6beg[10] , \tile_x1y10_e6beg[9] , \tile_x1y10_e6beg[8] , \tile_x1y10_e6beg[7] , \tile_x1y10_e6beg[6] , \tile_x1y10_e6beg[5] , \tile_x1y10_e6beg[4] , \tile_x1y10_e6beg[3] , \tile_x1y10_e6beg[2] , \tile_x1y10_e6beg[1] , \tile_x1y10_e6beg[0] }),
.e6end({ \tile_x0y10_e6beg[11] , \tile_x0y10_e6beg[10] , \tile_x0y10_e6beg[9] , \tile_x0y10_e6beg[8] , \tile_x0y10_e6beg[7] , \tile_x0y10_e6beg[6] , \tile_x0y10_e6beg[5] , \tile_x0y10_e6beg[4] , \tile_x0y10_e6beg[3] , \tile_x0y10_e6beg[2] , \tile_x0y10_e6beg[1] , \tile_x0y10_e6beg[0] }),
.ee4beg({ \tile_x1y10_ee4beg[15] , \tile_x1y10_ee4beg[14] , \tile_x1y10_ee4beg[13] , \tile_x1y10_ee4beg[12] , \tile_x1y10_ee4beg[11] , \tile_x1y10_ee4beg[10] , \tile_x1y10_ee4beg[9] , \tile_x1y10_ee4beg[8] , \tile_x1y10_ee4beg[7] , \tile_x1y10_ee4beg[6] , \tile_x1y10_ee4beg[5] , \tile_x1y10_ee4beg[4] , \tile_x1y10_ee4beg[3] , \tile_x1y10_ee4beg[2] , \tile_x1y10_ee4beg[1] , \tile_x1y10_ee4beg[0] }),
.ee4end({ \tile_x0y10_ee4beg[15] , \tile_x0y10_ee4beg[14] , \tile_x0y10_ee4beg[13] , \tile_x0y10_ee4beg[12] , \tile_x0y10_ee4beg[11] , \tile_x0y10_ee4beg[10] , \tile_x0y10_ee4beg[9] , \tile_x0y10_ee4beg[8] , \tile_x0y10_ee4beg[7] , \tile_x0y10_ee4beg[6] , \tile_x0y10_ee4beg[5] , \tile_x0y10_ee4beg[4] , \tile_x0y10_ee4beg[3] , \tile_x0y10_ee4beg[2] , \tile_x0y10_ee4beg[1] , \tile_x0y10_ee4beg[0] }),
.framedata({ \tile_x0y10_framedata_o[31] , \tile_x0y10_framedata_o[30] , \tile_x0y10_framedata_o[29] , \tile_x0y10_framedata_o[28] , \tile_x0y10_framedata_o[27] , \tile_x0y10_framedata_o[26] , \tile_x0y10_framedata_o[25] , \tile_x0y10_framedata_o[24] , \tile_x0y10_framedata_o[23] , \tile_x0y10_framedata_o[22] , \tile_x0y10_framedata_o[21] , \tile_x0y10_framedata_o[20] , \tile_x0y10_framedata_o[19] , \tile_x0y10_framedata_o[18] , \tile_x0y10_framedata_o[17] , \tile_x0y10_framedata_o[16] , \tile_x0y10_framedata_o[15] , \tile_x0y10_framedata_o[14] , \tile_x0y10_framedata_o[13] , \tile_x0y10_framedata_o[12] , \tile_x0y10_framedata_o[11] , \tile_x0y10_framedata_o[10] , \tile_x0y10_framedata_o[9] , \tile_x0y10_framedata_o[8] , \tile_x0y10_framedata_o[7] , \tile_x0y10_framedata_o[6] , \tile_x0y10_framedata_o[5] , \tile_x0y10_framedata_o[4] , \tile_x0y10_framedata_o[3] , \tile_x0y10_framedata_o[2] , \tile_x0y10_framedata_o[1] , \tile_x0y10_framedata_o[0] }),
.framedata_o({ \tile_x1y10_framedata_o[31] , \tile_x1y10_framedata_o[30] , \tile_x1y10_framedata_o[29] , \tile_x1y10_framedata_o[28] , \tile_x1y10_framedata_o[27] , \tile_x1y10_framedata_o[26] , \tile_x1y10_framedata_o[25] , \tile_x1y10_framedata_o[24] , \tile_x1y10_framedata_o[23] , \tile_x1y10_framedata_o[22] , \tile_x1y10_framedata_o[21] , \tile_x1y10_framedata_o[20] , \tile_x1y10_framedata_o[19] , \tile_x1y10_framedata_o[18] , \tile_x1y10_framedata_o[17] , \tile_x1y10_framedata_o[16] , \tile_x1y10_framedata_o[15] , \tile_x1y10_framedata_o[14] , \tile_x1y10_framedata_o[13] , \tile_x1y10_framedata_o[12] , \tile_x1y10_framedata_o[11] , \tile_x1y10_framedata_o[10] , \tile_x1y10_framedata_o[9] , \tile_x1y10_framedata_o[8] , \tile_x1y10_framedata_o[7] , \tile_x1y10_framedata_o[6] , \tile_x1y10_framedata_o[5] , \tile_x1y10_framedata_o[4] , \tile_x1y10_framedata_o[3] , \tile_x1y10_framedata_o[2] , \tile_x1y10_framedata_o[1] , \tile_x1y10_framedata_o[0] }),
.framestrobe({ \tile_x1y11_framestrobe_o[19] , \tile_x1y11_framestrobe_o[18] , \tile_x1y11_framestrobe_o[17] , \tile_x1y11_framestrobe_o[16] , \tile_x1y11_framestrobe_o[15] , \tile_x1y11_framestrobe_o[14] , \tile_x1y11_framestrobe_o[13] , \tile_x1y11_framestrobe_o[12] , \tile_x1y11_framestrobe_o[11] , \tile_x1y11_framestrobe_o[10] , \tile_x1y11_framestrobe_o[9] , \tile_x1y11_framestrobe_o[8] , \tile_x1y11_framestrobe_o[7] , \tile_x1y11_framestrobe_o[6] , \tile_x1y11_framestrobe_o[5] , \tile_x1y11_framestrobe_o[4] , \tile_x1y11_framestrobe_o[3] , \tile_x1y11_framestrobe_o[2] , \tile_x1y11_framestrobe_o[1] , \tile_x1y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x1y10_framestrobe_o[19] , \tile_x1y10_framestrobe_o[18] , \tile_x1y10_framestrobe_o[17] , \tile_x1y10_framestrobe_o[16] , \tile_x1y10_framestrobe_o[15] , \tile_x1y10_framestrobe_o[14] , \tile_x1y10_framestrobe_o[13] , \tile_x1y10_framestrobe_o[12] , \tile_x1y10_framestrobe_o[11] , \tile_x1y10_framestrobe_o[10] , \tile_x1y10_framestrobe_o[9] , \tile_x1y10_framestrobe_o[8] , \tile_x1y10_framestrobe_o[7] , \tile_x1y10_framestrobe_o[6] , \tile_x1y10_framestrobe_o[5] , \tile_x1y10_framestrobe_o[4] , \tile_x1y10_framestrobe_o[3] , \tile_x1y10_framestrobe_o[2] , \tile_x1y10_framestrobe_o[1] , \tile_x1y10_framestrobe_o[0] }),
.n1beg({ \tile_x1y10_n1beg[3] , \tile_x1y10_n1beg[2] , \tile_x1y10_n1beg[1] , \tile_x1y10_n1beg[0] }),
.n1end({ \tile_x1y11_n1beg[3] , \tile_x1y11_n1beg[2] , \tile_x1y11_n1beg[1] , \tile_x1y11_n1beg[0] }),
.n2beg({ \tile_x1y10_n2beg[7] , \tile_x1y10_n2beg[6] , \tile_x1y10_n2beg[5] , \tile_x1y10_n2beg[4] , \tile_x1y10_n2beg[3] , \tile_x1y10_n2beg[2] , \tile_x1y10_n2beg[1] , \tile_x1y10_n2beg[0] }),
.n2begb({ \tile_x1y10_n2begb[7] , \tile_x1y10_n2begb[6] , \tile_x1y10_n2begb[5] , \tile_x1y10_n2begb[4] , \tile_x1y10_n2begb[3] , \tile_x1y10_n2begb[2] , \tile_x1y10_n2begb[1] , \tile_x1y10_n2begb[0] }),
.n2end({ \tile_x1y11_n2begb[7] , \tile_x1y11_n2begb[6] , \tile_x1y11_n2begb[5] , \tile_x1y11_n2begb[4] , \tile_x1y11_n2begb[3] , \tile_x1y11_n2begb[2] , \tile_x1y11_n2begb[1] , \tile_x1y11_n2begb[0] }),
.n2mid({ \tile_x1y11_n2beg[7] , \tile_x1y11_n2beg[6] , \tile_x1y11_n2beg[5] , \tile_x1y11_n2beg[4] , \tile_x1y11_n2beg[3] , \tile_x1y11_n2beg[2] , \tile_x1y11_n2beg[1] , \tile_x1y11_n2beg[0] }),
.n4beg({ \tile_x1y10_n4beg[15] , \tile_x1y10_n4beg[14] , \tile_x1y10_n4beg[13] , \tile_x1y10_n4beg[12] , \tile_x1y10_n4beg[11] , \tile_x1y10_n4beg[10] , \tile_x1y10_n4beg[9] , \tile_x1y10_n4beg[8] , \tile_x1y10_n4beg[7] , \tile_x1y10_n4beg[6] , \tile_x1y10_n4beg[5] , \tile_x1y10_n4beg[4] , \tile_x1y10_n4beg[3] , \tile_x1y10_n4beg[2] , \tile_x1y10_n4beg[1] , \tile_x1y10_n4beg[0] }),
.n4end({ \tile_x1y11_n4beg[15] , \tile_x1y11_n4beg[14] , \tile_x1y11_n4beg[13] , \tile_x1y11_n4beg[12] , \tile_x1y11_n4beg[11] , \tile_x1y11_n4beg[10] , \tile_x1y11_n4beg[9] , \tile_x1y11_n4beg[8] , \tile_x1y11_n4beg[7] , \tile_x1y11_n4beg[6] , \tile_x1y11_n4beg[5] , \tile_x1y11_n4beg[4] , \tile_x1y11_n4beg[3] , \tile_x1y11_n4beg[2] , \tile_x1y11_n4beg[1] , \tile_x1y11_n4beg[0] }),
.nn4beg({ \tile_x1y10_nn4beg[15] , \tile_x1y10_nn4beg[14] , \tile_x1y10_nn4beg[13] , \tile_x1y10_nn4beg[12] , \tile_x1y10_nn4beg[11] , \tile_x1y10_nn4beg[10] , \tile_x1y10_nn4beg[9] , \tile_x1y10_nn4beg[8] , \tile_x1y10_nn4beg[7] , \tile_x1y10_nn4beg[6] , \tile_x1y10_nn4beg[5] , \tile_x1y10_nn4beg[4] , \tile_x1y10_nn4beg[3] , \tile_x1y10_nn4beg[2] , \tile_x1y10_nn4beg[1] , \tile_x1y10_nn4beg[0] }),
.nn4end({ \tile_x1y11_nn4beg[15] , \tile_x1y11_nn4beg[14] , \tile_x1y11_nn4beg[13] , \tile_x1y11_nn4beg[12] , \tile_x1y11_nn4beg[11] , \tile_x1y11_nn4beg[10] , \tile_x1y11_nn4beg[9] , \tile_x1y11_nn4beg[8] , \tile_x1y11_nn4beg[7] , \tile_x1y11_nn4beg[6] , \tile_x1y11_nn4beg[5] , \tile_x1y11_nn4beg[4] , \tile_x1y11_nn4beg[3] , \tile_x1y11_nn4beg[2] , \tile_x1y11_nn4beg[1] , \tile_x1y11_nn4beg[0] }),
.s1beg({ \tile_x1y10_s1beg[3] , \tile_x1y10_s1beg[2] , \tile_x1y10_s1beg[1] , \tile_x1y10_s1beg[0] }),
.s1end({ \tile_x1y9_s1beg[3] , \tile_x1y9_s1beg[2] , \tile_x1y9_s1beg[1] , \tile_x1y9_s1beg[0] }),
.s2beg({ \tile_x1y10_s2beg[7] , \tile_x1y10_s2beg[6] , \tile_x1y10_s2beg[5] , \tile_x1y10_s2beg[4] , \tile_x1y10_s2beg[3] , \tile_x1y10_s2beg[2] , \tile_x1y10_s2beg[1] , \tile_x1y10_s2beg[0] }),
.s2begb({ \tile_x1y10_s2begb[7] , \tile_x1y10_s2begb[6] , \tile_x1y10_s2begb[5] , \tile_x1y10_s2begb[4] , \tile_x1y10_s2begb[3] , \tile_x1y10_s2begb[2] , \tile_x1y10_s2begb[1] , \tile_x1y10_s2begb[0] }),
.s2end({ \tile_x1y9_s2begb[7] , \tile_x1y9_s2begb[6] , \tile_x1y9_s2begb[5] , \tile_x1y9_s2begb[4] , \tile_x1y9_s2begb[3] , \tile_x1y9_s2begb[2] , \tile_x1y9_s2begb[1] , \tile_x1y9_s2begb[0] }),
.s2mid({ \tile_x1y9_s2beg[7] , \tile_x1y9_s2beg[6] , \tile_x1y9_s2beg[5] , \tile_x1y9_s2beg[4] , \tile_x1y9_s2beg[3] , \tile_x1y9_s2beg[2] , \tile_x1y9_s2beg[1] , \tile_x1y9_s2beg[0] }),
.s4beg({ \tile_x1y10_s4beg[15] , \tile_x1y10_s4beg[14] , \tile_x1y10_s4beg[13] , \tile_x1y10_s4beg[12] , \tile_x1y10_s4beg[11] , \tile_x1y10_s4beg[10] , \tile_x1y10_s4beg[9] , \tile_x1y10_s4beg[8] , \tile_x1y10_s4beg[7] , \tile_x1y10_s4beg[6] , \tile_x1y10_s4beg[5] , \tile_x1y10_s4beg[4] , \tile_x1y10_s4beg[3] , \tile_x1y10_s4beg[2] , \tile_x1y10_s4beg[1] , \tile_x1y10_s4beg[0] }),
.s4end({ \tile_x1y9_s4beg[15] , \tile_x1y9_s4beg[14] , \tile_x1y9_s4beg[13] , \tile_x1y9_s4beg[12] , \tile_x1y9_s4beg[11] , \tile_x1y9_s4beg[10] , \tile_x1y9_s4beg[9] , \tile_x1y9_s4beg[8] , \tile_x1y9_s4beg[7] , \tile_x1y9_s4beg[6] , \tile_x1y9_s4beg[5] , \tile_x1y9_s4beg[4] , \tile_x1y9_s4beg[3] , \tile_x1y9_s4beg[2] , \tile_x1y9_s4beg[1] , \tile_x1y9_s4beg[0] }),
.ss4beg({ \tile_x1y10_ss4beg[15] , \tile_x1y10_ss4beg[14] , \tile_x1y10_ss4beg[13] , \tile_x1y10_ss4beg[12] , \tile_x1y10_ss4beg[11] , \tile_x1y10_ss4beg[10] , \tile_x1y10_ss4beg[9] , \tile_x1y10_ss4beg[8] , \tile_x1y10_ss4beg[7] , \tile_x1y10_ss4beg[6] , \tile_x1y10_ss4beg[5] , \tile_x1y10_ss4beg[4] , \tile_x1y10_ss4beg[3] , \tile_x1y10_ss4beg[2] , \tile_x1y10_ss4beg[1] , \tile_x1y10_ss4beg[0] }),
.ss4end({ \tile_x1y9_ss4beg[15] , \tile_x1y9_ss4beg[14] , \tile_x1y9_ss4beg[13] , \tile_x1y9_ss4beg[12] , \tile_x1y9_ss4beg[11] , \tile_x1y9_ss4beg[10] , \tile_x1y9_ss4beg[9] , \tile_x1y9_ss4beg[8] , \tile_x1y9_ss4beg[7] , \tile_x1y9_ss4beg[6] , \tile_x1y9_ss4beg[5] , \tile_x1y9_ss4beg[4] , \tile_x1y9_ss4beg[3] , \tile_x1y9_ss4beg[2] , \tile_x1y9_ss4beg[1] , \tile_x1y9_ss4beg[0] }),
.userclk(tile_x1y11_userclko),
.userclko(tile_x1y10_userclko),
.w1beg({ \tile_x1y10_w1beg[3] , \tile_x1y10_w1beg[2] , \tile_x1y10_w1beg[1] , \tile_x1y10_w1beg[0] }),
.w1end({ \tile_x2y10_w1beg[3] , \tile_x2y10_w1beg[2] , \tile_x2y10_w1beg[1] , \tile_x2y10_w1beg[0] }),
.w2beg({ \tile_x1y10_w2beg[7] , \tile_x1y10_w2beg[6] , \tile_x1y10_w2beg[5] , \tile_x1y10_w2beg[4] , \tile_x1y10_w2beg[3] , \tile_x1y10_w2beg[2] , \tile_x1y10_w2beg[1] , \tile_x1y10_w2beg[0] }),
.w2begb({ \tile_x1y10_w2begb[7] , \tile_x1y10_w2begb[6] , \tile_x1y10_w2begb[5] , \tile_x1y10_w2begb[4] , \tile_x1y10_w2begb[3] , \tile_x1y10_w2begb[2] , \tile_x1y10_w2begb[1] , \tile_x1y10_w2begb[0] }),
.w2end({ \tile_x2y10_w2begb[7] , \tile_x2y10_w2begb[6] , \tile_x2y10_w2begb[5] , \tile_x2y10_w2begb[4] , \tile_x2y10_w2begb[3] , \tile_x2y10_w2begb[2] , \tile_x2y10_w2begb[1] , \tile_x2y10_w2begb[0] }),
.w2mid({ \tile_x2y10_w2beg[7] , \tile_x2y10_w2beg[6] , \tile_x2y10_w2beg[5] , \tile_x2y10_w2beg[4] , \tile_x2y10_w2beg[3] , \tile_x2y10_w2beg[2] , \tile_x2y10_w2beg[1] , \tile_x2y10_w2beg[0] }),
.w6beg({ \tile_x1y10_w6beg[11] , \tile_x1y10_w6beg[10] , \tile_x1y10_w6beg[9] , \tile_x1y10_w6beg[8] , \tile_x1y10_w6beg[7] , \tile_x1y10_w6beg[6] , \tile_x1y10_w6beg[5] , \tile_x1y10_w6beg[4] , \tile_x1y10_w6beg[3] , \tile_x1y10_w6beg[2] , \tile_x1y10_w6beg[1] , \tile_x1y10_w6beg[0] }),
.w6end({ \tile_x2y10_w6beg[11] , \tile_x2y10_w6beg[10] , \tile_x2y10_w6beg[9] , \tile_x2y10_w6beg[8] , \tile_x2y10_w6beg[7] , \tile_x2y10_w6beg[6] , \tile_x2y10_w6beg[5] , \tile_x2y10_w6beg[4] , \tile_x2y10_w6beg[3] , \tile_x2y10_w6beg[2] , \tile_x2y10_w6beg[1] , \tile_x2y10_w6beg[0] }),
.ww4beg({ \tile_x1y10_ww4beg[15] , \tile_x1y10_ww4beg[14] , \tile_x1y10_ww4beg[13] , \tile_x1y10_ww4beg[12] , \tile_x1y10_ww4beg[11] , \tile_x1y10_ww4beg[10] , \tile_x1y10_ww4beg[9] , \tile_x1y10_ww4beg[8] , \tile_x1y10_ww4beg[7] , \tile_x1y10_ww4beg[6] , \tile_x1y10_ww4beg[5] , \tile_x1y10_ww4beg[4] , \tile_x1y10_ww4beg[3] , \tile_x1y10_ww4beg[2] , \tile_x1y10_ww4beg[1] , \tile_x1y10_ww4beg[0] }),
.ww4end({ \tile_x2y10_ww4beg[15] , \tile_x2y10_ww4beg[14] , \tile_x2y10_ww4beg[13] , \tile_x2y10_ww4beg[12] , \tile_x2y10_ww4beg[11] , \tile_x2y10_ww4beg[10] , \tile_x2y10_ww4beg[9] , \tile_x2y10_ww4beg[8] , \tile_x2y10_ww4beg[7] , \tile_x2y10_ww4beg[6] , \tile_x2y10_ww4beg[5] , \tile_x2y10_ww4beg[4] , \tile_x2y10_ww4beg[3] , \tile_x2y10_ww4beg[2] , \tile_x2y10_ww4beg[1] , \tile_x2y10_ww4beg[0] })
);
lut4ab tile_x1y11_lut4ab (
.ci(tile_x1y12_co),
.co(tile_x1y11_co),
.e1beg({ \tile_x1y11_e1beg[3] , \tile_x1y11_e1beg[2] , \tile_x1y11_e1beg[1] , \tile_x1y11_e1beg[0] }),
.e1end({ \tile_x0y11_e1beg[3] , \tile_x0y11_e1beg[2] , \tile_x0y11_e1beg[1] , \tile_x0y11_e1beg[0] }),
.e2beg({ \tile_x1y11_e2beg[7] , \tile_x1y11_e2beg[6] , \tile_x1y11_e2beg[5] , \tile_x1y11_e2beg[4] , \tile_x1y11_e2beg[3] , \tile_x1y11_e2beg[2] , \tile_x1y11_e2beg[1] , \tile_x1y11_e2beg[0] }),
.e2begb({ \tile_x1y11_e2begb[7] , \tile_x1y11_e2begb[6] , \tile_x1y11_e2begb[5] , \tile_x1y11_e2begb[4] , \tile_x1y11_e2begb[3] , \tile_x1y11_e2begb[2] , \tile_x1y11_e2begb[1] , \tile_x1y11_e2begb[0] }),
.e2end({ \tile_x0y11_e2begb[7] , \tile_x0y11_e2begb[6] , \tile_x0y11_e2begb[5] , \tile_x0y11_e2begb[4] , \tile_x0y11_e2begb[3] , \tile_x0y11_e2begb[2] , \tile_x0y11_e2begb[1] , \tile_x0y11_e2begb[0] }),
.e2mid({ \tile_x0y11_e2beg[7] , \tile_x0y11_e2beg[6] , \tile_x0y11_e2beg[5] , \tile_x0y11_e2beg[4] , \tile_x0y11_e2beg[3] , \tile_x0y11_e2beg[2] , \tile_x0y11_e2beg[1] , \tile_x0y11_e2beg[0] }),
.e6beg({ \tile_x1y11_e6beg[11] , \tile_x1y11_e6beg[10] , \tile_x1y11_e6beg[9] , \tile_x1y11_e6beg[8] , \tile_x1y11_e6beg[7] , \tile_x1y11_e6beg[6] , \tile_x1y11_e6beg[5] , \tile_x1y11_e6beg[4] , \tile_x1y11_e6beg[3] , \tile_x1y11_e6beg[2] , \tile_x1y11_e6beg[1] , \tile_x1y11_e6beg[0] }),
.e6end({ \tile_x0y11_e6beg[11] , \tile_x0y11_e6beg[10] , \tile_x0y11_e6beg[9] , \tile_x0y11_e6beg[8] , \tile_x0y11_e6beg[7] , \tile_x0y11_e6beg[6] , \tile_x0y11_e6beg[5] , \tile_x0y11_e6beg[4] , \tile_x0y11_e6beg[3] , \tile_x0y11_e6beg[2] , \tile_x0y11_e6beg[1] , \tile_x0y11_e6beg[0] }),
.ee4beg({ \tile_x1y11_ee4beg[15] , \tile_x1y11_ee4beg[14] , \tile_x1y11_ee4beg[13] , \tile_x1y11_ee4beg[12] , \tile_x1y11_ee4beg[11] , \tile_x1y11_ee4beg[10] , \tile_x1y11_ee4beg[9] , \tile_x1y11_ee4beg[8] , \tile_x1y11_ee4beg[7] , \tile_x1y11_ee4beg[6] , \tile_x1y11_ee4beg[5] , \tile_x1y11_ee4beg[4] , \tile_x1y11_ee4beg[3] , \tile_x1y11_ee4beg[2] , \tile_x1y11_ee4beg[1] , \tile_x1y11_ee4beg[0] }),
.ee4end({ \tile_x0y11_ee4beg[15] , \tile_x0y11_ee4beg[14] , \tile_x0y11_ee4beg[13] , \tile_x0y11_ee4beg[12] , \tile_x0y11_ee4beg[11] , \tile_x0y11_ee4beg[10] , \tile_x0y11_ee4beg[9] , \tile_x0y11_ee4beg[8] , \tile_x0y11_ee4beg[7] , \tile_x0y11_ee4beg[6] , \tile_x0y11_ee4beg[5] , \tile_x0y11_ee4beg[4] , \tile_x0y11_ee4beg[3] , \tile_x0y11_ee4beg[2] , \tile_x0y11_ee4beg[1] , \tile_x0y11_ee4beg[0] }),
.framedata({ \tile_x0y11_framedata_o[31] , \tile_x0y11_framedata_o[30] , \tile_x0y11_framedata_o[29] , \tile_x0y11_framedata_o[28] , \tile_x0y11_framedata_o[27] , \tile_x0y11_framedata_o[26] , \tile_x0y11_framedata_o[25] , \tile_x0y11_framedata_o[24] , \tile_x0y11_framedata_o[23] , \tile_x0y11_framedata_o[22] , \tile_x0y11_framedata_o[21] , \tile_x0y11_framedata_o[20] , \tile_x0y11_framedata_o[19] , \tile_x0y11_framedata_o[18] , \tile_x0y11_framedata_o[17] , \tile_x0y11_framedata_o[16] , \tile_x0y11_framedata_o[15] , \tile_x0y11_framedata_o[14] , \tile_x0y11_framedata_o[13] , \tile_x0y11_framedata_o[12] , \tile_x0y11_framedata_o[11] , \tile_x0y11_framedata_o[10] , \tile_x0y11_framedata_o[9] , \tile_x0y11_framedata_o[8] , \tile_x0y11_framedata_o[7] , \tile_x0y11_framedata_o[6] , \tile_x0y11_framedata_o[5] , \tile_x0y11_framedata_o[4] , \tile_x0y11_framedata_o[3] , \tile_x0y11_framedata_o[2] , \tile_x0y11_framedata_o[1] , \tile_x0y11_framedata_o[0] }),
.framedata_o({ \tile_x1y11_framedata_o[31] , \tile_x1y11_framedata_o[30] , \tile_x1y11_framedata_o[29] , \tile_x1y11_framedata_o[28] , \tile_x1y11_framedata_o[27] , \tile_x1y11_framedata_o[26] , \tile_x1y11_framedata_o[25] , \tile_x1y11_framedata_o[24] , \tile_x1y11_framedata_o[23] , \tile_x1y11_framedata_o[22] , \tile_x1y11_framedata_o[21] , \tile_x1y11_framedata_o[20] , \tile_x1y11_framedata_o[19] , \tile_x1y11_framedata_o[18] , \tile_x1y11_framedata_o[17] , \tile_x1y11_framedata_o[16] , \tile_x1y11_framedata_o[15] , \tile_x1y11_framedata_o[14] , \tile_x1y11_framedata_o[13] , \tile_x1y11_framedata_o[12] , \tile_x1y11_framedata_o[11] , \tile_x1y11_framedata_o[10] , \tile_x1y11_framedata_o[9] , \tile_x1y11_framedata_o[8] , \tile_x1y11_framedata_o[7] , \tile_x1y11_framedata_o[6] , \tile_x1y11_framedata_o[5] , \tile_x1y11_framedata_o[4] , \tile_x1y11_framedata_o[3] , \tile_x1y11_framedata_o[2] , \tile_x1y11_framedata_o[1] , \tile_x1y11_framedata_o[0] }),
.framestrobe({ \tile_x1y12_framestrobe_o[19] , \tile_x1y12_framestrobe_o[18] , \tile_x1y12_framestrobe_o[17] , \tile_x1y12_framestrobe_o[16] , \tile_x1y12_framestrobe_o[15] , \tile_x1y12_framestrobe_o[14] , \tile_x1y12_framestrobe_o[13] , \tile_x1y12_framestrobe_o[12] , \tile_x1y12_framestrobe_o[11] , \tile_x1y12_framestrobe_o[10] , \tile_x1y12_framestrobe_o[9] , \tile_x1y12_framestrobe_o[8] , \tile_x1y12_framestrobe_o[7] , \tile_x1y12_framestrobe_o[6] , \tile_x1y12_framestrobe_o[5] , \tile_x1y12_framestrobe_o[4] , \tile_x1y12_framestrobe_o[3] , \tile_x1y12_framestrobe_o[2] , \tile_x1y12_framestrobe_o[1] , \tile_x1y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x1y11_framestrobe_o[19] , \tile_x1y11_framestrobe_o[18] , \tile_x1y11_framestrobe_o[17] , \tile_x1y11_framestrobe_o[16] , \tile_x1y11_framestrobe_o[15] , \tile_x1y11_framestrobe_o[14] , \tile_x1y11_framestrobe_o[13] , \tile_x1y11_framestrobe_o[12] , \tile_x1y11_framestrobe_o[11] , \tile_x1y11_framestrobe_o[10] , \tile_x1y11_framestrobe_o[9] , \tile_x1y11_framestrobe_o[8] , \tile_x1y11_framestrobe_o[7] , \tile_x1y11_framestrobe_o[6] , \tile_x1y11_framestrobe_o[5] , \tile_x1y11_framestrobe_o[4] , \tile_x1y11_framestrobe_o[3] , \tile_x1y11_framestrobe_o[2] , \tile_x1y11_framestrobe_o[1] , \tile_x1y11_framestrobe_o[0] }),
.n1beg({ \tile_x1y11_n1beg[3] , \tile_x1y11_n1beg[2] , \tile_x1y11_n1beg[1] , \tile_x1y11_n1beg[0] }),
.n1end({ \tile_x1y12_n1beg[3] , \tile_x1y12_n1beg[2] , \tile_x1y12_n1beg[1] , \tile_x1y12_n1beg[0] }),
.n2beg({ \tile_x1y11_n2beg[7] , \tile_x1y11_n2beg[6] , \tile_x1y11_n2beg[5] , \tile_x1y11_n2beg[4] , \tile_x1y11_n2beg[3] , \tile_x1y11_n2beg[2] , \tile_x1y11_n2beg[1] , \tile_x1y11_n2beg[0] }),
.n2begb({ \tile_x1y11_n2begb[7] , \tile_x1y11_n2begb[6] , \tile_x1y11_n2begb[5] , \tile_x1y11_n2begb[4] , \tile_x1y11_n2begb[3] , \tile_x1y11_n2begb[2] , \tile_x1y11_n2begb[1] , \tile_x1y11_n2begb[0] }),
.n2end({ \tile_x1y12_n2begb[7] , \tile_x1y12_n2begb[6] , \tile_x1y12_n2begb[5] , \tile_x1y12_n2begb[4] , \tile_x1y12_n2begb[3] , \tile_x1y12_n2begb[2] , \tile_x1y12_n2begb[1] , \tile_x1y12_n2begb[0] }),
.n2mid({ \tile_x1y12_n2beg[7] , \tile_x1y12_n2beg[6] , \tile_x1y12_n2beg[5] , \tile_x1y12_n2beg[4] , \tile_x1y12_n2beg[3] , \tile_x1y12_n2beg[2] , \tile_x1y12_n2beg[1] , \tile_x1y12_n2beg[0] }),
.n4beg({ \tile_x1y11_n4beg[15] , \tile_x1y11_n4beg[14] , \tile_x1y11_n4beg[13] , \tile_x1y11_n4beg[12] , \tile_x1y11_n4beg[11] , \tile_x1y11_n4beg[10] , \tile_x1y11_n4beg[9] , \tile_x1y11_n4beg[8] , \tile_x1y11_n4beg[7] , \tile_x1y11_n4beg[6] , \tile_x1y11_n4beg[5] , \tile_x1y11_n4beg[4] , \tile_x1y11_n4beg[3] , \tile_x1y11_n4beg[2] , \tile_x1y11_n4beg[1] , \tile_x1y11_n4beg[0] }),
.n4end({ \tile_x1y12_n4beg[15] , \tile_x1y12_n4beg[14] , \tile_x1y12_n4beg[13] , \tile_x1y12_n4beg[12] , \tile_x1y12_n4beg[11] , \tile_x1y12_n4beg[10] , \tile_x1y12_n4beg[9] , \tile_x1y12_n4beg[8] , \tile_x1y12_n4beg[7] , \tile_x1y12_n4beg[6] , \tile_x1y12_n4beg[5] , \tile_x1y12_n4beg[4] , \tile_x1y12_n4beg[3] , \tile_x1y12_n4beg[2] , \tile_x1y12_n4beg[1] , \tile_x1y12_n4beg[0] }),
.nn4beg({ \tile_x1y11_nn4beg[15] , \tile_x1y11_nn4beg[14] , \tile_x1y11_nn4beg[13] , \tile_x1y11_nn4beg[12] , \tile_x1y11_nn4beg[11] , \tile_x1y11_nn4beg[10] , \tile_x1y11_nn4beg[9] , \tile_x1y11_nn4beg[8] , \tile_x1y11_nn4beg[7] , \tile_x1y11_nn4beg[6] , \tile_x1y11_nn4beg[5] , \tile_x1y11_nn4beg[4] , \tile_x1y11_nn4beg[3] , \tile_x1y11_nn4beg[2] , \tile_x1y11_nn4beg[1] , \tile_x1y11_nn4beg[0] }),
.nn4end({ \tile_x1y12_nn4beg[15] , \tile_x1y12_nn4beg[14] , \tile_x1y12_nn4beg[13] , \tile_x1y12_nn4beg[12] , \tile_x1y12_nn4beg[11] , \tile_x1y12_nn4beg[10] , \tile_x1y12_nn4beg[9] , \tile_x1y12_nn4beg[8] , \tile_x1y12_nn4beg[7] , \tile_x1y12_nn4beg[6] , \tile_x1y12_nn4beg[5] , \tile_x1y12_nn4beg[4] , \tile_x1y12_nn4beg[3] , \tile_x1y12_nn4beg[2] , \tile_x1y12_nn4beg[1] , \tile_x1y12_nn4beg[0] }),
.s1beg({ \tile_x1y11_s1beg[3] , \tile_x1y11_s1beg[2] , \tile_x1y11_s1beg[1] , \tile_x1y11_s1beg[0] }),
.s1end({ \tile_x1y10_s1beg[3] , \tile_x1y10_s1beg[2] , \tile_x1y10_s1beg[1] , \tile_x1y10_s1beg[0] }),
.s2beg({ \tile_x1y11_s2beg[7] , \tile_x1y11_s2beg[6] , \tile_x1y11_s2beg[5] , \tile_x1y11_s2beg[4] , \tile_x1y11_s2beg[3] , \tile_x1y11_s2beg[2] , \tile_x1y11_s2beg[1] , \tile_x1y11_s2beg[0] }),
.s2begb({ \tile_x1y11_s2begb[7] , \tile_x1y11_s2begb[6] , \tile_x1y11_s2begb[5] , \tile_x1y11_s2begb[4] , \tile_x1y11_s2begb[3] , \tile_x1y11_s2begb[2] , \tile_x1y11_s2begb[1] , \tile_x1y11_s2begb[0] }),
.s2end({ \tile_x1y10_s2begb[7] , \tile_x1y10_s2begb[6] , \tile_x1y10_s2begb[5] , \tile_x1y10_s2begb[4] , \tile_x1y10_s2begb[3] , \tile_x1y10_s2begb[2] , \tile_x1y10_s2begb[1] , \tile_x1y10_s2begb[0] }),
.s2mid({ \tile_x1y10_s2beg[7] , \tile_x1y10_s2beg[6] , \tile_x1y10_s2beg[5] , \tile_x1y10_s2beg[4] , \tile_x1y10_s2beg[3] , \tile_x1y10_s2beg[2] , \tile_x1y10_s2beg[1] , \tile_x1y10_s2beg[0] }),
.s4beg({ \tile_x1y11_s4beg[15] , \tile_x1y11_s4beg[14] , \tile_x1y11_s4beg[13] , \tile_x1y11_s4beg[12] , \tile_x1y11_s4beg[11] , \tile_x1y11_s4beg[10] , \tile_x1y11_s4beg[9] , \tile_x1y11_s4beg[8] , \tile_x1y11_s4beg[7] , \tile_x1y11_s4beg[6] , \tile_x1y11_s4beg[5] , \tile_x1y11_s4beg[4] , \tile_x1y11_s4beg[3] , \tile_x1y11_s4beg[2] , \tile_x1y11_s4beg[1] , \tile_x1y11_s4beg[0] }),
.s4end({ \tile_x1y10_s4beg[15] , \tile_x1y10_s4beg[14] , \tile_x1y10_s4beg[13] , \tile_x1y10_s4beg[12] , \tile_x1y10_s4beg[11] , \tile_x1y10_s4beg[10] , \tile_x1y10_s4beg[9] , \tile_x1y10_s4beg[8] , \tile_x1y10_s4beg[7] , \tile_x1y10_s4beg[6] , \tile_x1y10_s4beg[5] , \tile_x1y10_s4beg[4] , \tile_x1y10_s4beg[3] , \tile_x1y10_s4beg[2] , \tile_x1y10_s4beg[1] , \tile_x1y10_s4beg[0] }),
.ss4beg({ \tile_x1y11_ss4beg[15] , \tile_x1y11_ss4beg[14] , \tile_x1y11_ss4beg[13] , \tile_x1y11_ss4beg[12] , \tile_x1y11_ss4beg[11] , \tile_x1y11_ss4beg[10] , \tile_x1y11_ss4beg[9] , \tile_x1y11_ss4beg[8] , \tile_x1y11_ss4beg[7] , \tile_x1y11_ss4beg[6] , \tile_x1y11_ss4beg[5] , \tile_x1y11_ss4beg[4] , \tile_x1y11_ss4beg[3] , \tile_x1y11_ss4beg[2] , \tile_x1y11_ss4beg[1] , \tile_x1y11_ss4beg[0] }),
.ss4end({ \tile_x1y10_ss4beg[15] , \tile_x1y10_ss4beg[14] , \tile_x1y10_ss4beg[13] , \tile_x1y10_ss4beg[12] , \tile_x1y10_ss4beg[11] , \tile_x1y10_ss4beg[10] , \tile_x1y10_ss4beg[9] , \tile_x1y10_ss4beg[8] , \tile_x1y10_ss4beg[7] , \tile_x1y10_ss4beg[6] , \tile_x1y10_ss4beg[5] , \tile_x1y10_ss4beg[4] , \tile_x1y10_ss4beg[3] , \tile_x1y10_ss4beg[2] , \tile_x1y10_ss4beg[1] , \tile_x1y10_ss4beg[0] }),
.userclk(tile_x1y12_userclko),
.userclko(tile_x1y11_userclko),
.w1beg({ \tile_x1y11_w1beg[3] , \tile_x1y11_w1beg[2] , \tile_x1y11_w1beg[1] , \tile_x1y11_w1beg[0] }),
.w1end({ \tile_x2y11_w1beg[3] , \tile_x2y11_w1beg[2] , \tile_x2y11_w1beg[1] , \tile_x2y11_w1beg[0] }),
.w2beg({ \tile_x1y11_w2beg[7] , \tile_x1y11_w2beg[6] , \tile_x1y11_w2beg[5] , \tile_x1y11_w2beg[4] , \tile_x1y11_w2beg[3] , \tile_x1y11_w2beg[2] , \tile_x1y11_w2beg[1] , \tile_x1y11_w2beg[0] }),
.w2begb({ \tile_x1y11_w2begb[7] , \tile_x1y11_w2begb[6] , \tile_x1y11_w2begb[5] , \tile_x1y11_w2begb[4] , \tile_x1y11_w2begb[3] , \tile_x1y11_w2begb[2] , \tile_x1y11_w2begb[1] , \tile_x1y11_w2begb[0] }),
.w2end({ \tile_x2y11_w2begb[7] , \tile_x2y11_w2begb[6] , \tile_x2y11_w2begb[5] , \tile_x2y11_w2begb[4] , \tile_x2y11_w2begb[3] , \tile_x2y11_w2begb[2] , \tile_x2y11_w2begb[1] , \tile_x2y11_w2begb[0] }),
.w2mid({ \tile_x2y11_w2beg[7] , \tile_x2y11_w2beg[6] , \tile_x2y11_w2beg[5] , \tile_x2y11_w2beg[4] , \tile_x2y11_w2beg[3] , \tile_x2y11_w2beg[2] , \tile_x2y11_w2beg[1] , \tile_x2y11_w2beg[0] }),
.w6beg({ \tile_x1y11_w6beg[11] , \tile_x1y11_w6beg[10] , \tile_x1y11_w6beg[9] , \tile_x1y11_w6beg[8] , \tile_x1y11_w6beg[7] , \tile_x1y11_w6beg[6] , \tile_x1y11_w6beg[5] , \tile_x1y11_w6beg[4] , \tile_x1y11_w6beg[3] , \tile_x1y11_w6beg[2] , \tile_x1y11_w6beg[1] , \tile_x1y11_w6beg[0] }),
.w6end({ \tile_x2y11_w6beg[11] , \tile_x2y11_w6beg[10] , \tile_x2y11_w6beg[9] , \tile_x2y11_w6beg[8] , \tile_x2y11_w6beg[7] , \tile_x2y11_w6beg[6] , \tile_x2y11_w6beg[5] , \tile_x2y11_w6beg[4] , \tile_x2y11_w6beg[3] , \tile_x2y11_w6beg[2] , \tile_x2y11_w6beg[1] , \tile_x2y11_w6beg[0] }),
.ww4beg({ \tile_x1y11_ww4beg[15] , \tile_x1y11_ww4beg[14] , \tile_x1y11_ww4beg[13] , \tile_x1y11_ww4beg[12] , \tile_x1y11_ww4beg[11] , \tile_x1y11_ww4beg[10] , \tile_x1y11_ww4beg[9] , \tile_x1y11_ww4beg[8] , \tile_x1y11_ww4beg[7] , \tile_x1y11_ww4beg[6] , \tile_x1y11_ww4beg[5] , \tile_x1y11_ww4beg[4] , \tile_x1y11_ww4beg[3] , \tile_x1y11_ww4beg[2] , \tile_x1y11_ww4beg[1] , \tile_x1y11_ww4beg[0] }),
.ww4end({ \tile_x2y11_ww4beg[15] , \tile_x2y11_ww4beg[14] , \tile_x2y11_ww4beg[13] , \tile_x2y11_ww4beg[12] , \tile_x2y11_ww4beg[11] , \tile_x2y11_ww4beg[10] , \tile_x2y11_ww4beg[9] , \tile_x2y11_ww4beg[8] , \tile_x2y11_ww4beg[7] , \tile_x2y11_ww4beg[6] , \tile_x2y11_ww4beg[5] , \tile_x2y11_ww4beg[4] , \tile_x2y11_ww4beg[3] , \tile_x2y11_ww4beg[2] , \tile_x2y11_ww4beg[1] , \tile_x2y11_ww4beg[0] })
);
lut4ab tile_x1y12_lut4ab (
.ci(tile_x1y13_co),
.co(tile_x1y12_co),
.e1beg({ \tile_x1y12_e1beg[3] , \tile_x1y12_e1beg[2] , \tile_x1y12_e1beg[1] , \tile_x1y12_e1beg[0] }),
.e1end({ \tile_x0y12_e1beg[3] , \tile_x0y12_e1beg[2] , \tile_x0y12_e1beg[1] , \tile_x0y12_e1beg[0] }),
.e2beg({ \tile_x1y12_e2beg[7] , \tile_x1y12_e2beg[6] , \tile_x1y12_e2beg[5] , \tile_x1y12_e2beg[4] , \tile_x1y12_e2beg[3] , \tile_x1y12_e2beg[2] , \tile_x1y12_e2beg[1] , \tile_x1y12_e2beg[0] }),
.e2begb({ \tile_x1y12_e2begb[7] , \tile_x1y12_e2begb[6] , \tile_x1y12_e2begb[5] , \tile_x1y12_e2begb[4] , \tile_x1y12_e2begb[3] , \tile_x1y12_e2begb[2] , \tile_x1y12_e2begb[1] , \tile_x1y12_e2begb[0] }),
.e2end({ \tile_x0y12_e2begb[7] , \tile_x0y12_e2begb[6] , \tile_x0y12_e2begb[5] , \tile_x0y12_e2begb[4] , \tile_x0y12_e2begb[3] , \tile_x0y12_e2begb[2] , \tile_x0y12_e2begb[1] , \tile_x0y12_e2begb[0] }),
.e2mid({ \tile_x0y12_e2beg[7] , \tile_x0y12_e2beg[6] , \tile_x0y12_e2beg[5] , \tile_x0y12_e2beg[4] , \tile_x0y12_e2beg[3] , \tile_x0y12_e2beg[2] , \tile_x0y12_e2beg[1] , \tile_x0y12_e2beg[0] }),
.e6beg({ \tile_x1y12_e6beg[11] , \tile_x1y12_e6beg[10] , \tile_x1y12_e6beg[9] , \tile_x1y12_e6beg[8] , \tile_x1y12_e6beg[7] , \tile_x1y12_e6beg[6] , \tile_x1y12_e6beg[5] , \tile_x1y12_e6beg[4] , \tile_x1y12_e6beg[3] , \tile_x1y12_e6beg[2] , \tile_x1y12_e6beg[1] , \tile_x1y12_e6beg[0] }),
.e6end({ \tile_x0y12_e6beg[11] , \tile_x0y12_e6beg[10] , \tile_x0y12_e6beg[9] , \tile_x0y12_e6beg[8] , \tile_x0y12_e6beg[7] , \tile_x0y12_e6beg[6] , \tile_x0y12_e6beg[5] , \tile_x0y12_e6beg[4] , \tile_x0y12_e6beg[3] , \tile_x0y12_e6beg[2] , \tile_x0y12_e6beg[1] , \tile_x0y12_e6beg[0] }),
.ee4beg({ \tile_x1y12_ee4beg[15] , \tile_x1y12_ee4beg[14] , \tile_x1y12_ee4beg[13] , \tile_x1y12_ee4beg[12] , \tile_x1y12_ee4beg[11] , \tile_x1y12_ee4beg[10] , \tile_x1y12_ee4beg[9] , \tile_x1y12_ee4beg[8] , \tile_x1y12_ee4beg[7] , \tile_x1y12_ee4beg[6] , \tile_x1y12_ee4beg[5] , \tile_x1y12_ee4beg[4] , \tile_x1y12_ee4beg[3] , \tile_x1y12_ee4beg[2] , \tile_x1y12_ee4beg[1] , \tile_x1y12_ee4beg[0] }),
.ee4end({ \tile_x0y12_ee4beg[15] , \tile_x0y12_ee4beg[14] , \tile_x0y12_ee4beg[13] , \tile_x0y12_ee4beg[12] , \tile_x0y12_ee4beg[11] , \tile_x0y12_ee4beg[10] , \tile_x0y12_ee4beg[9] , \tile_x0y12_ee4beg[8] , \tile_x0y12_ee4beg[7] , \tile_x0y12_ee4beg[6] , \tile_x0y12_ee4beg[5] , \tile_x0y12_ee4beg[4] , \tile_x0y12_ee4beg[3] , \tile_x0y12_ee4beg[2] , \tile_x0y12_ee4beg[1] , \tile_x0y12_ee4beg[0] }),
.framedata({ \tile_x0y12_framedata_o[31] , \tile_x0y12_framedata_o[30] , \tile_x0y12_framedata_o[29] , \tile_x0y12_framedata_o[28] , \tile_x0y12_framedata_o[27] , \tile_x0y12_framedata_o[26] , \tile_x0y12_framedata_o[25] , \tile_x0y12_framedata_o[24] , \tile_x0y12_framedata_o[23] , \tile_x0y12_framedata_o[22] , \tile_x0y12_framedata_o[21] , \tile_x0y12_framedata_o[20] , \tile_x0y12_framedata_o[19] , \tile_x0y12_framedata_o[18] , \tile_x0y12_framedata_o[17] , \tile_x0y12_framedata_o[16] , \tile_x0y12_framedata_o[15] , \tile_x0y12_framedata_o[14] , \tile_x0y12_framedata_o[13] , \tile_x0y12_framedata_o[12] , \tile_x0y12_framedata_o[11] , \tile_x0y12_framedata_o[10] , \tile_x0y12_framedata_o[9] , \tile_x0y12_framedata_o[8] , \tile_x0y12_framedata_o[7] , \tile_x0y12_framedata_o[6] , \tile_x0y12_framedata_o[5] , \tile_x0y12_framedata_o[4] , \tile_x0y12_framedata_o[3] , \tile_x0y12_framedata_o[2] , \tile_x0y12_framedata_o[1] , \tile_x0y12_framedata_o[0] }),
.framedata_o({ \tile_x1y12_framedata_o[31] , \tile_x1y12_framedata_o[30] , \tile_x1y12_framedata_o[29] , \tile_x1y12_framedata_o[28] , \tile_x1y12_framedata_o[27] , \tile_x1y12_framedata_o[26] , \tile_x1y12_framedata_o[25] , \tile_x1y12_framedata_o[24] , \tile_x1y12_framedata_o[23] , \tile_x1y12_framedata_o[22] , \tile_x1y12_framedata_o[21] , \tile_x1y12_framedata_o[20] , \tile_x1y12_framedata_o[19] , \tile_x1y12_framedata_o[18] , \tile_x1y12_framedata_o[17] , \tile_x1y12_framedata_o[16] , \tile_x1y12_framedata_o[15] , \tile_x1y12_framedata_o[14] , \tile_x1y12_framedata_o[13] , \tile_x1y12_framedata_o[12] , \tile_x1y12_framedata_o[11] , \tile_x1y12_framedata_o[10] , \tile_x1y12_framedata_o[9] , \tile_x1y12_framedata_o[8] , \tile_x1y12_framedata_o[7] , \tile_x1y12_framedata_o[6] , \tile_x1y12_framedata_o[5] , \tile_x1y12_framedata_o[4] , \tile_x1y12_framedata_o[3] , \tile_x1y12_framedata_o[2] , \tile_x1y12_framedata_o[1] , \tile_x1y12_framedata_o[0] }),
.framestrobe({ \tile_x1y13_framestrobe_o[19] , \tile_x1y13_framestrobe_o[18] , \tile_x1y13_framestrobe_o[17] , \tile_x1y13_framestrobe_o[16] , \tile_x1y13_framestrobe_o[15] , \tile_x1y13_framestrobe_o[14] , \tile_x1y13_framestrobe_o[13] , \tile_x1y13_framestrobe_o[12] , \tile_x1y13_framestrobe_o[11] , \tile_x1y13_framestrobe_o[10] , \tile_x1y13_framestrobe_o[9] , \tile_x1y13_framestrobe_o[8] , \tile_x1y13_framestrobe_o[7] , \tile_x1y13_framestrobe_o[6] , \tile_x1y13_framestrobe_o[5] , \tile_x1y13_framestrobe_o[4] , \tile_x1y13_framestrobe_o[3] , \tile_x1y13_framestrobe_o[2] , \tile_x1y13_framestrobe_o[1] , \tile_x1y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x1y12_framestrobe_o[19] , \tile_x1y12_framestrobe_o[18] , \tile_x1y12_framestrobe_o[17] , \tile_x1y12_framestrobe_o[16] , \tile_x1y12_framestrobe_o[15] , \tile_x1y12_framestrobe_o[14] , \tile_x1y12_framestrobe_o[13] , \tile_x1y12_framestrobe_o[12] , \tile_x1y12_framestrobe_o[11] , \tile_x1y12_framestrobe_o[10] , \tile_x1y12_framestrobe_o[9] , \tile_x1y12_framestrobe_o[8] , \tile_x1y12_framestrobe_o[7] , \tile_x1y12_framestrobe_o[6] , \tile_x1y12_framestrobe_o[5] , \tile_x1y12_framestrobe_o[4] , \tile_x1y12_framestrobe_o[3] , \tile_x1y12_framestrobe_o[2] , \tile_x1y12_framestrobe_o[1] , \tile_x1y12_framestrobe_o[0] }),
.n1beg({ \tile_x1y12_n1beg[3] , \tile_x1y12_n1beg[2] , \tile_x1y12_n1beg[1] , \tile_x1y12_n1beg[0] }),
.n1end({ \tile_x1y13_n1beg[3] , \tile_x1y13_n1beg[2] , \tile_x1y13_n1beg[1] , \tile_x1y13_n1beg[0] }),
.n2beg({ \tile_x1y12_n2beg[7] , \tile_x1y12_n2beg[6] , \tile_x1y12_n2beg[5] , \tile_x1y12_n2beg[4] , \tile_x1y12_n2beg[3] , \tile_x1y12_n2beg[2] , \tile_x1y12_n2beg[1] , \tile_x1y12_n2beg[0] }),
.n2begb({ \tile_x1y12_n2begb[7] , \tile_x1y12_n2begb[6] , \tile_x1y12_n2begb[5] , \tile_x1y12_n2begb[4] , \tile_x1y12_n2begb[3] , \tile_x1y12_n2begb[2] , \tile_x1y12_n2begb[1] , \tile_x1y12_n2begb[0] }),
.n2end({ \tile_x1y13_n2begb[7] , \tile_x1y13_n2begb[6] , \tile_x1y13_n2begb[5] , \tile_x1y13_n2begb[4] , \tile_x1y13_n2begb[3] , \tile_x1y13_n2begb[2] , \tile_x1y13_n2begb[1] , \tile_x1y13_n2begb[0] }),
.n2mid({ \tile_x1y13_n2beg[7] , \tile_x1y13_n2beg[6] , \tile_x1y13_n2beg[5] , \tile_x1y13_n2beg[4] , \tile_x1y13_n2beg[3] , \tile_x1y13_n2beg[2] , \tile_x1y13_n2beg[1] , \tile_x1y13_n2beg[0] }),
.n4beg({ \tile_x1y12_n4beg[15] , \tile_x1y12_n4beg[14] , \tile_x1y12_n4beg[13] , \tile_x1y12_n4beg[12] , \tile_x1y12_n4beg[11] , \tile_x1y12_n4beg[10] , \tile_x1y12_n4beg[9] , \tile_x1y12_n4beg[8] , \tile_x1y12_n4beg[7] , \tile_x1y12_n4beg[6] , \tile_x1y12_n4beg[5] , \tile_x1y12_n4beg[4] , \tile_x1y12_n4beg[3] , \tile_x1y12_n4beg[2] , \tile_x1y12_n4beg[1] , \tile_x1y12_n4beg[0] }),
.n4end({ \tile_x1y13_n4beg[15] , \tile_x1y13_n4beg[14] , \tile_x1y13_n4beg[13] , \tile_x1y13_n4beg[12] , \tile_x1y13_n4beg[11] , \tile_x1y13_n4beg[10] , \tile_x1y13_n4beg[9] , \tile_x1y13_n4beg[8] , \tile_x1y13_n4beg[7] , \tile_x1y13_n4beg[6] , \tile_x1y13_n4beg[5] , \tile_x1y13_n4beg[4] , \tile_x1y13_n4beg[3] , \tile_x1y13_n4beg[2] , \tile_x1y13_n4beg[1] , \tile_x1y13_n4beg[0] }),
.nn4beg({ \tile_x1y12_nn4beg[15] , \tile_x1y12_nn4beg[14] , \tile_x1y12_nn4beg[13] , \tile_x1y12_nn4beg[12] , \tile_x1y12_nn4beg[11] , \tile_x1y12_nn4beg[10] , \tile_x1y12_nn4beg[9] , \tile_x1y12_nn4beg[8] , \tile_x1y12_nn4beg[7] , \tile_x1y12_nn4beg[6] , \tile_x1y12_nn4beg[5] , \tile_x1y12_nn4beg[4] , \tile_x1y12_nn4beg[3] , \tile_x1y12_nn4beg[2] , \tile_x1y12_nn4beg[1] , \tile_x1y12_nn4beg[0] }),
.nn4end({ \tile_x1y13_nn4beg[15] , \tile_x1y13_nn4beg[14] , \tile_x1y13_nn4beg[13] , \tile_x1y13_nn4beg[12] , \tile_x1y13_nn4beg[11] , \tile_x1y13_nn4beg[10] , \tile_x1y13_nn4beg[9] , \tile_x1y13_nn4beg[8] , \tile_x1y13_nn4beg[7] , \tile_x1y13_nn4beg[6] , \tile_x1y13_nn4beg[5] , \tile_x1y13_nn4beg[4] , \tile_x1y13_nn4beg[3] , \tile_x1y13_nn4beg[2] , \tile_x1y13_nn4beg[1] , \tile_x1y13_nn4beg[0] }),
.s1beg({ \tile_x1y12_s1beg[3] , \tile_x1y12_s1beg[2] , \tile_x1y12_s1beg[1] , \tile_x1y12_s1beg[0] }),
.s1end({ \tile_x1y11_s1beg[3] , \tile_x1y11_s1beg[2] , \tile_x1y11_s1beg[1] , \tile_x1y11_s1beg[0] }),
.s2beg({ \tile_x1y12_s2beg[7] , \tile_x1y12_s2beg[6] , \tile_x1y12_s2beg[5] , \tile_x1y12_s2beg[4] , \tile_x1y12_s2beg[3] , \tile_x1y12_s2beg[2] , \tile_x1y12_s2beg[1] , \tile_x1y12_s2beg[0] }),
.s2begb({ \tile_x1y12_s2begb[7] , \tile_x1y12_s2begb[6] , \tile_x1y12_s2begb[5] , \tile_x1y12_s2begb[4] , \tile_x1y12_s2begb[3] , \tile_x1y12_s2begb[2] , \tile_x1y12_s2begb[1] , \tile_x1y12_s2begb[0] }),
.s2end({ \tile_x1y11_s2begb[7] , \tile_x1y11_s2begb[6] , \tile_x1y11_s2begb[5] , \tile_x1y11_s2begb[4] , \tile_x1y11_s2begb[3] , \tile_x1y11_s2begb[2] , \tile_x1y11_s2begb[1] , \tile_x1y11_s2begb[0] }),
.s2mid({ \tile_x1y11_s2beg[7] , \tile_x1y11_s2beg[6] , \tile_x1y11_s2beg[5] , \tile_x1y11_s2beg[4] , \tile_x1y11_s2beg[3] , \tile_x1y11_s2beg[2] , \tile_x1y11_s2beg[1] , \tile_x1y11_s2beg[0] }),
.s4beg({ \tile_x1y12_s4beg[15] , \tile_x1y12_s4beg[14] , \tile_x1y12_s4beg[13] , \tile_x1y12_s4beg[12] , \tile_x1y12_s4beg[11] , \tile_x1y12_s4beg[10] , \tile_x1y12_s4beg[9] , \tile_x1y12_s4beg[8] , \tile_x1y12_s4beg[7] , \tile_x1y12_s4beg[6] , \tile_x1y12_s4beg[5] , \tile_x1y12_s4beg[4] , \tile_x1y12_s4beg[3] , \tile_x1y12_s4beg[2] , \tile_x1y12_s4beg[1] , \tile_x1y12_s4beg[0] }),
.s4end({ \tile_x1y11_s4beg[15] , \tile_x1y11_s4beg[14] , \tile_x1y11_s4beg[13] , \tile_x1y11_s4beg[12] , \tile_x1y11_s4beg[11] , \tile_x1y11_s4beg[10] , \tile_x1y11_s4beg[9] , \tile_x1y11_s4beg[8] , \tile_x1y11_s4beg[7] , \tile_x1y11_s4beg[6] , \tile_x1y11_s4beg[5] , \tile_x1y11_s4beg[4] , \tile_x1y11_s4beg[3] , \tile_x1y11_s4beg[2] , \tile_x1y11_s4beg[1] , \tile_x1y11_s4beg[0] }),
.ss4beg({ \tile_x1y12_ss4beg[15] , \tile_x1y12_ss4beg[14] , \tile_x1y12_ss4beg[13] , \tile_x1y12_ss4beg[12] , \tile_x1y12_ss4beg[11] , \tile_x1y12_ss4beg[10] , \tile_x1y12_ss4beg[9] , \tile_x1y12_ss4beg[8] , \tile_x1y12_ss4beg[7] , \tile_x1y12_ss4beg[6] , \tile_x1y12_ss4beg[5] , \tile_x1y12_ss4beg[4] , \tile_x1y12_ss4beg[3] , \tile_x1y12_ss4beg[2] , \tile_x1y12_ss4beg[1] , \tile_x1y12_ss4beg[0] }),
.ss4end({ \tile_x1y11_ss4beg[15] , \tile_x1y11_ss4beg[14] , \tile_x1y11_ss4beg[13] , \tile_x1y11_ss4beg[12] , \tile_x1y11_ss4beg[11] , \tile_x1y11_ss4beg[10] , \tile_x1y11_ss4beg[9] , \tile_x1y11_ss4beg[8] , \tile_x1y11_ss4beg[7] , \tile_x1y11_ss4beg[6] , \tile_x1y11_ss4beg[5] , \tile_x1y11_ss4beg[4] , \tile_x1y11_ss4beg[3] , \tile_x1y11_ss4beg[2] , \tile_x1y11_ss4beg[1] , \tile_x1y11_ss4beg[0] }),
.userclk(tile_x1y13_userclko),
.userclko(tile_x1y12_userclko),
.w1beg({ \tile_x1y12_w1beg[3] , \tile_x1y12_w1beg[2] , \tile_x1y12_w1beg[1] , \tile_x1y12_w1beg[0] }),
.w1end({ \tile_x2y12_w1beg[3] , \tile_x2y12_w1beg[2] , \tile_x2y12_w1beg[1] , \tile_x2y12_w1beg[0] }),
.w2beg({ \tile_x1y12_w2beg[7] , \tile_x1y12_w2beg[6] , \tile_x1y12_w2beg[5] , \tile_x1y12_w2beg[4] , \tile_x1y12_w2beg[3] , \tile_x1y12_w2beg[2] , \tile_x1y12_w2beg[1] , \tile_x1y12_w2beg[0] }),
.w2begb({ \tile_x1y12_w2begb[7] , \tile_x1y12_w2begb[6] , \tile_x1y12_w2begb[5] , \tile_x1y12_w2begb[4] , \tile_x1y12_w2begb[3] , \tile_x1y12_w2begb[2] , \tile_x1y12_w2begb[1] , \tile_x1y12_w2begb[0] }),
.w2end({ \tile_x2y12_w2begb[7] , \tile_x2y12_w2begb[6] , \tile_x2y12_w2begb[5] , \tile_x2y12_w2begb[4] , \tile_x2y12_w2begb[3] , \tile_x2y12_w2begb[2] , \tile_x2y12_w2begb[1] , \tile_x2y12_w2begb[0] }),
.w2mid({ \tile_x2y12_w2beg[7] , \tile_x2y12_w2beg[6] , \tile_x2y12_w2beg[5] , \tile_x2y12_w2beg[4] , \tile_x2y12_w2beg[3] , \tile_x2y12_w2beg[2] , \tile_x2y12_w2beg[1] , \tile_x2y12_w2beg[0] }),
.w6beg({ \tile_x1y12_w6beg[11] , \tile_x1y12_w6beg[10] , \tile_x1y12_w6beg[9] , \tile_x1y12_w6beg[8] , \tile_x1y12_w6beg[7] , \tile_x1y12_w6beg[6] , \tile_x1y12_w6beg[5] , \tile_x1y12_w6beg[4] , \tile_x1y12_w6beg[3] , \tile_x1y12_w6beg[2] , \tile_x1y12_w6beg[1] , \tile_x1y12_w6beg[0] }),
.w6end({ \tile_x2y12_w6beg[11] , \tile_x2y12_w6beg[10] , \tile_x2y12_w6beg[9] , \tile_x2y12_w6beg[8] , \tile_x2y12_w6beg[7] , \tile_x2y12_w6beg[6] , \tile_x2y12_w6beg[5] , \tile_x2y12_w6beg[4] , \tile_x2y12_w6beg[3] , \tile_x2y12_w6beg[2] , \tile_x2y12_w6beg[1] , \tile_x2y12_w6beg[0] }),
.ww4beg({ \tile_x1y12_ww4beg[15] , \tile_x1y12_ww4beg[14] , \tile_x1y12_ww4beg[13] , \tile_x1y12_ww4beg[12] , \tile_x1y12_ww4beg[11] , \tile_x1y12_ww4beg[10] , \tile_x1y12_ww4beg[9] , \tile_x1y12_ww4beg[8] , \tile_x1y12_ww4beg[7] , \tile_x1y12_ww4beg[6] , \tile_x1y12_ww4beg[5] , \tile_x1y12_ww4beg[4] , \tile_x1y12_ww4beg[3] , \tile_x1y12_ww4beg[2] , \tile_x1y12_ww4beg[1] , \tile_x1y12_ww4beg[0] }),
.ww4end({ \tile_x2y12_ww4beg[15] , \tile_x2y12_ww4beg[14] , \tile_x2y12_ww4beg[13] , \tile_x2y12_ww4beg[12] , \tile_x2y12_ww4beg[11] , \tile_x2y12_ww4beg[10] , \tile_x2y12_ww4beg[9] , \tile_x2y12_ww4beg[8] , \tile_x2y12_ww4beg[7] , \tile_x2y12_ww4beg[6] , \tile_x2y12_ww4beg[5] , \tile_x2y12_ww4beg[4] , \tile_x2y12_ww4beg[3] , \tile_x2y12_ww4beg[2] , \tile_x2y12_ww4beg[1] , \tile_x2y12_ww4beg[0] })
);
lut4ab tile_x1y13_lut4ab (
.ci(tile_x1y14_co),
.co(tile_x1y13_co),
.e1beg({ \tile_x1y13_e1beg[3] , \tile_x1y13_e1beg[2] , \tile_x1y13_e1beg[1] , \tile_x1y13_e1beg[0] }),
.e1end({ \tile_x0y13_e1beg[3] , \tile_x0y13_e1beg[2] , \tile_x0y13_e1beg[1] , \tile_x0y13_e1beg[0] }),
.e2beg({ \tile_x1y13_e2beg[7] , \tile_x1y13_e2beg[6] , \tile_x1y13_e2beg[5] , \tile_x1y13_e2beg[4] , \tile_x1y13_e2beg[3] , \tile_x1y13_e2beg[2] , \tile_x1y13_e2beg[1] , \tile_x1y13_e2beg[0] }),
.e2begb({ \tile_x1y13_e2begb[7] , \tile_x1y13_e2begb[6] , \tile_x1y13_e2begb[5] , \tile_x1y13_e2begb[4] , \tile_x1y13_e2begb[3] , \tile_x1y13_e2begb[2] , \tile_x1y13_e2begb[1] , \tile_x1y13_e2begb[0] }),
.e2end({ \tile_x0y13_e2begb[7] , \tile_x0y13_e2begb[6] , \tile_x0y13_e2begb[5] , \tile_x0y13_e2begb[4] , \tile_x0y13_e2begb[3] , \tile_x0y13_e2begb[2] , \tile_x0y13_e2begb[1] , \tile_x0y13_e2begb[0] }),
.e2mid({ \tile_x0y13_e2beg[7] , \tile_x0y13_e2beg[6] , \tile_x0y13_e2beg[5] , \tile_x0y13_e2beg[4] , \tile_x0y13_e2beg[3] , \tile_x0y13_e2beg[2] , \tile_x0y13_e2beg[1] , \tile_x0y13_e2beg[0] }),
.e6beg({ \tile_x1y13_e6beg[11] , \tile_x1y13_e6beg[10] , \tile_x1y13_e6beg[9] , \tile_x1y13_e6beg[8] , \tile_x1y13_e6beg[7] , \tile_x1y13_e6beg[6] , \tile_x1y13_e6beg[5] , \tile_x1y13_e6beg[4] , \tile_x1y13_e6beg[3] , \tile_x1y13_e6beg[2] , \tile_x1y13_e6beg[1] , \tile_x1y13_e6beg[0] }),
.e6end({ \tile_x0y13_e6beg[11] , \tile_x0y13_e6beg[10] , \tile_x0y13_e6beg[9] , \tile_x0y13_e6beg[8] , \tile_x0y13_e6beg[7] , \tile_x0y13_e6beg[6] , \tile_x0y13_e6beg[5] , \tile_x0y13_e6beg[4] , \tile_x0y13_e6beg[3] , \tile_x0y13_e6beg[2] , \tile_x0y13_e6beg[1] , \tile_x0y13_e6beg[0] }),
.ee4beg({ \tile_x1y13_ee4beg[15] , \tile_x1y13_ee4beg[14] , \tile_x1y13_ee4beg[13] , \tile_x1y13_ee4beg[12] , \tile_x1y13_ee4beg[11] , \tile_x1y13_ee4beg[10] , \tile_x1y13_ee4beg[9] , \tile_x1y13_ee4beg[8] , \tile_x1y13_ee4beg[7] , \tile_x1y13_ee4beg[6] , \tile_x1y13_ee4beg[5] , \tile_x1y13_ee4beg[4] , \tile_x1y13_ee4beg[3] , \tile_x1y13_ee4beg[2] , \tile_x1y13_ee4beg[1] , \tile_x1y13_ee4beg[0] }),
.ee4end({ \tile_x0y13_ee4beg[15] , \tile_x0y13_ee4beg[14] , \tile_x0y13_ee4beg[13] , \tile_x0y13_ee4beg[12] , \tile_x0y13_ee4beg[11] , \tile_x0y13_ee4beg[10] , \tile_x0y13_ee4beg[9] , \tile_x0y13_ee4beg[8] , \tile_x0y13_ee4beg[7] , \tile_x0y13_ee4beg[6] , \tile_x0y13_ee4beg[5] , \tile_x0y13_ee4beg[4] , \tile_x0y13_ee4beg[3] , \tile_x0y13_ee4beg[2] , \tile_x0y13_ee4beg[1] , \tile_x0y13_ee4beg[0] }),
.framedata({ \tile_x0y13_framedata_o[31] , \tile_x0y13_framedata_o[30] , \tile_x0y13_framedata_o[29] , \tile_x0y13_framedata_o[28] , \tile_x0y13_framedata_o[27] , \tile_x0y13_framedata_o[26] , \tile_x0y13_framedata_o[25] , \tile_x0y13_framedata_o[24] , \tile_x0y13_framedata_o[23] , \tile_x0y13_framedata_o[22] , \tile_x0y13_framedata_o[21] , \tile_x0y13_framedata_o[20] , \tile_x0y13_framedata_o[19] , \tile_x0y13_framedata_o[18] , \tile_x0y13_framedata_o[17] , \tile_x0y13_framedata_o[16] , \tile_x0y13_framedata_o[15] , \tile_x0y13_framedata_o[14] , \tile_x0y13_framedata_o[13] , \tile_x0y13_framedata_o[12] , \tile_x0y13_framedata_o[11] , \tile_x0y13_framedata_o[10] , \tile_x0y13_framedata_o[9] , \tile_x0y13_framedata_o[8] , \tile_x0y13_framedata_o[7] , \tile_x0y13_framedata_o[6] , \tile_x0y13_framedata_o[5] , \tile_x0y13_framedata_o[4] , \tile_x0y13_framedata_o[3] , \tile_x0y13_framedata_o[2] , \tile_x0y13_framedata_o[1] , \tile_x0y13_framedata_o[0] }),
.framedata_o({ \tile_x1y13_framedata_o[31] , \tile_x1y13_framedata_o[30] , \tile_x1y13_framedata_o[29] , \tile_x1y13_framedata_o[28] , \tile_x1y13_framedata_o[27] , \tile_x1y13_framedata_o[26] , \tile_x1y13_framedata_o[25] , \tile_x1y13_framedata_o[24] , \tile_x1y13_framedata_o[23] , \tile_x1y13_framedata_o[22] , \tile_x1y13_framedata_o[21] , \tile_x1y13_framedata_o[20] , \tile_x1y13_framedata_o[19] , \tile_x1y13_framedata_o[18] , \tile_x1y13_framedata_o[17] , \tile_x1y13_framedata_o[16] , \tile_x1y13_framedata_o[15] , \tile_x1y13_framedata_o[14] , \tile_x1y13_framedata_o[13] , \tile_x1y13_framedata_o[12] , \tile_x1y13_framedata_o[11] , \tile_x1y13_framedata_o[10] , \tile_x1y13_framedata_o[9] , \tile_x1y13_framedata_o[8] , \tile_x1y13_framedata_o[7] , \tile_x1y13_framedata_o[6] , \tile_x1y13_framedata_o[5] , \tile_x1y13_framedata_o[4] , \tile_x1y13_framedata_o[3] , \tile_x1y13_framedata_o[2] , \tile_x1y13_framedata_o[1] , \tile_x1y13_framedata_o[0] }),
.framestrobe({ \tile_x1y14_framestrobe_o[19] , \tile_x1y14_framestrobe_o[18] , \tile_x1y14_framestrobe_o[17] , \tile_x1y14_framestrobe_o[16] , \tile_x1y14_framestrobe_o[15] , \tile_x1y14_framestrobe_o[14] , \tile_x1y14_framestrobe_o[13] , \tile_x1y14_framestrobe_o[12] , \tile_x1y14_framestrobe_o[11] , \tile_x1y14_framestrobe_o[10] , \tile_x1y14_framestrobe_o[9] , \tile_x1y14_framestrobe_o[8] , \tile_x1y14_framestrobe_o[7] , \tile_x1y14_framestrobe_o[6] , \tile_x1y14_framestrobe_o[5] , \tile_x1y14_framestrobe_o[4] , \tile_x1y14_framestrobe_o[3] , \tile_x1y14_framestrobe_o[2] , \tile_x1y14_framestrobe_o[1] , \tile_x1y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x1y13_framestrobe_o[19] , \tile_x1y13_framestrobe_o[18] , \tile_x1y13_framestrobe_o[17] , \tile_x1y13_framestrobe_o[16] , \tile_x1y13_framestrobe_o[15] , \tile_x1y13_framestrobe_o[14] , \tile_x1y13_framestrobe_o[13] , \tile_x1y13_framestrobe_o[12] , \tile_x1y13_framestrobe_o[11] , \tile_x1y13_framestrobe_o[10] , \tile_x1y13_framestrobe_o[9] , \tile_x1y13_framestrobe_o[8] , \tile_x1y13_framestrobe_o[7] , \tile_x1y13_framestrobe_o[6] , \tile_x1y13_framestrobe_o[5] , \tile_x1y13_framestrobe_o[4] , \tile_x1y13_framestrobe_o[3] , \tile_x1y13_framestrobe_o[2] , \tile_x1y13_framestrobe_o[1] , \tile_x1y13_framestrobe_o[0] }),
.n1beg({ \tile_x1y13_n1beg[3] , \tile_x1y13_n1beg[2] , \tile_x1y13_n1beg[1] , \tile_x1y13_n1beg[0] }),
.n1end({ \tile_x1y14_n1beg[3] , \tile_x1y14_n1beg[2] , \tile_x1y14_n1beg[1] , \tile_x1y14_n1beg[0] }),
.n2beg({ \tile_x1y13_n2beg[7] , \tile_x1y13_n2beg[6] , \tile_x1y13_n2beg[5] , \tile_x1y13_n2beg[4] , \tile_x1y13_n2beg[3] , \tile_x1y13_n2beg[2] , \tile_x1y13_n2beg[1] , \tile_x1y13_n2beg[0] }),
.n2begb({ \tile_x1y13_n2begb[7] , \tile_x1y13_n2begb[6] , \tile_x1y13_n2begb[5] , \tile_x1y13_n2begb[4] , \tile_x1y13_n2begb[3] , \tile_x1y13_n2begb[2] , \tile_x1y13_n2begb[1] , \tile_x1y13_n2begb[0] }),
.n2end({ \tile_x1y14_n2begb[7] , \tile_x1y14_n2begb[6] , \tile_x1y14_n2begb[5] , \tile_x1y14_n2begb[4] , \tile_x1y14_n2begb[3] , \tile_x1y14_n2begb[2] , \tile_x1y14_n2begb[1] , \tile_x1y14_n2begb[0] }),
.n2mid({ \tile_x1y14_n2beg[7] , \tile_x1y14_n2beg[6] , \tile_x1y14_n2beg[5] , \tile_x1y14_n2beg[4] , \tile_x1y14_n2beg[3] , \tile_x1y14_n2beg[2] , \tile_x1y14_n2beg[1] , \tile_x1y14_n2beg[0] }),
.n4beg({ \tile_x1y13_n4beg[15] , \tile_x1y13_n4beg[14] , \tile_x1y13_n4beg[13] , \tile_x1y13_n4beg[12] , \tile_x1y13_n4beg[11] , \tile_x1y13_n4beg[10] , \tile_x1y13_n4beg[9] , \tile_x1y13_n4beg[8] , \tile_x1y13_n4beg[7] , \tile_x1y13_n4beg[6] , \tile_x1y13_n4beg[5] , \tile_x1y13_n4beg[4] , \tile_x1y13_n4beg[3] , \tile_x1y13_n4beg[2] , \tile_x1y13_n4beg[1] , \tile_x1y13_n4beg[0] }),
.n4end({ \tile_x1y14_n4beg[15] , \tile_x1y14_n4beg[14] , \tile_x1y14_n4beg[13] , \tile_x1y14_n4beg[12] , \tile_x1y14_n4beg[11] , \tile_x1y14_n4beg[10] , \tile_x1y14_n4beg[9] , \tile_x1y14_n4beg[8] , \tile_x1y14_n4beg[7] , \tile_x1y14_n4beg[6] , \tile_x1y14_n4beg[5] , \tile_x1y14_n4beg[4] , \tile_x1y14_n4beg[3] , \tile_x1y14_n4beg[2] , \tile_x1y14_n4beg[1] , \tile_x1y14_n4beg[0] }),
.nn4beg({ \tile_x1y13_nn4beg[15] , \tile_x1y13_nn4beg[14] , \tile_x1y13_nn4beg[13] , \tile_x1y13_nn4beg[12] , \tile_x1y13_nn4beg[11] , \tile_x1y13_nn4beg[10] , \tile_x1y13_nn4beg[9] , \tile_x1y13_nn4beg[8] , \tile_x1y13_nn4beg[7] , \tile_x1y13_nn4beg[6] , \tile_x1y13_nn4beg[5] , \tile_x1y13_nn4beg[4] , \tile_x1y13_nn4beg[3] , \tile_x1y13_nn4beg[2] , \tile_x1y13_nn4beg[1] , \tile_x1y13_nn4beg[0] }),
.nn4end({ \tile_x1y14_nn4beg[15] , \tile_x1y14_nn4beg[14] , \tile_x1y14_nn4beg[13] , \tile_x1y14_nn4beg[12] , \tile_x1y14_nn4beg[11] , \tile_x1y14_nn4beg[10] , \tile_x1y14_nn4beg[9] , \tile_x1y14_nn4beg[8] , \tile_x1y14_nn4beg[7] , \tile_x1y14_nn4beg[6] , \tile_x1y14_nn4beg[5] , \tile_x1y14_nn4beg[4] , \tile_x1y14_nn4beg[3] , \tile_x1y14_nn4beg[2] , \tile_x1y14_nn4beg[1] , \tile_x1y14_nn4beg[0] }),
.s1beg({ \tile_x1y13_s1beg[3] , \tile_x1y13_s1beg[2] , \tile_x1y13_s1beg[1] , \tile_x1y13_s1beg[0] }),
.s1end({ \tile_x1y12_s1beg[3] , \tile_x1y12_s1beg[2] , \tile_x1y12_s1beg[1] , \tile_x1y12_s1beg[0] }),
.s2beg({ \tile_x1y13_s2beg[7] , \tile_x1y13_s2beg[6] , \tile_x1y13_s2beg[5] , \tile_x1y13_s2beg[4] , \tile_x1y13_s2beg[3] , \tile_x1y13_s2beg[2] , \tile_x1y13_s2beg[1] , \tile_x1y13_s2beg[0] }),
.s2begb({ \tile_x1y13_s2begb[7] , \tile_x1y13_s2begb[6] , \tile_x1y13_s2begb[5] , \tile_x1y13_s2begb[4] , \tile_x1y13_s2begb[3] , \tile_x1y13_s2begb[2] , \tile_x1y13_s2begb[1] , \tile_x1y13_s2begb[0] }),
.s2end({ \tile_x1y12_s2begb[7] , \tile_x1y12_s2begb[6] , \tile_x1y12_s2begb[5] , \tile_x1y12_s2begb[4] , \tile_x1y12_s2begb[3] , \tile_x1y12_s2begb[2] , \tile_x1y12_s2begb[1] , \tile_x1y12_s2begb[0] }),
.s2mid({ \tile_x1y12_s2beg[7] , \tile_x1y12_s2beg[6] , \tile_x1y12_s2beg[5] , \tile_x1y12_s2beg[4] , \tile_x1y12_s2beg[3] , \tile_x1y12_s2beg[2] , \tile_x1y12_s2beg[1] , \tile_x1y12_s2beg[0] }),
.s4beg({ \tile_x1y13_s4beg[15] , \tile_x1y13_s4beg[14] , \tile_x1y13_s4beg[13] , \tile_x1y13_s4beg[12] , \tile_x1y13_s4beg[11] , \tile_x1y13_s4beg[10] , \tile_x1y13_s4beg[9] , \tile_x1y13_s4beg[8] , \tile_x1y13_s4beg[7] , \tile_x1y13_s4beg[6] , \tile_x1y13_s4beg[5] , \tile_x1y13_s4beg[4] , \tile_x1y13_s4beg[3] , \tile_x1y13_s4beg[2] , \tile_x1y13_s4beg[1] , \tile_x1y13_s4beg[0] }),
.s4end({ \tile_x1y12_s4beg[15] , \tile_x1y12_s4beg[14] , \tile_x1y12_s4beg[13] , \tile_x1y12_s4beg[12] , \tile_x1y12_s4beg[11] , \tile_x1y12_s4beg[10] , \tile_x1y12_s4beg[9] , \tile_x1y12_s4beg[8] , \tile_x1y12_s4beg[7] , \tile_x1y12_s4beg[6] , \tile_x1y12_s4beg[5] , \tile_x1y12_s4beg[4] , \tile_x1y12_s4beg[3] , \tile_x1y12_s4beg[2] , \tile_x1y12_s4beg[1] , \tile_x1y12_s4beg[0] }),
.ss4beg({ \tile_x1y13_ss4beg[15] , \tile_x1y13_ss4beg[14] , \tile_x1y13_ss4beg[13] , \tile_x1y13_ss4beg[12] , \tile_x1y13_ss4beg[11] , \tile_x1y13_ss4beg[10] , \tile_x1y13_ss4beg[9] , \tile_x1y13_ss4beg[8] , \tile_x1y13_ss4beg[7] , \tile_x1y13_ss4beg[6] , \tile_x1y13_ss4beg[5] , \tile_x1y13_ss4beg[4] , \tile_x1y13_ss4beg[3] , \tile_x1y13_ss4beg[2] , \tile_x1y13_ss4beg[1] , \tile_x1y13_ss4beg[0] }),
.ss4end({ \tile_x1y12_ss4beg[15] , \tile_x1y12_ss4beg[14] , \tile_x1y12_ss4beg[13] , \tile_x1y12_ss4beg[12] , \tile_x1y12_ss4beg[11] , \tile_x1y12_ss4beg[10] , \tile_x1y12_ss4beg[9] , \tile_x1y12_ss4beg[8] , \tile_x1y12_ss4beg[7] , \tile_x1y12_ss4beg[6] , \tile_x1y12_ss4beg[5] , \tile_x1y12_ss4beg[4] , \tile_x1y12_ss4beg[3] , \tile_x1y12_ss4beg[2] , \tile_x1y12_ss4beg[1] , \tile_x1y12_ss4beg[0] }),
.userclk(tile_x1y14_userclko),
.userclko(tile_x1y13_userclko),
.w1beg({ \tile_x1y13_w1beg[3] , \tile_x1y13_w1beg[2] , \tile_x1y13_w1beg[1] , \tile_x1y13_w1beg[0] }),
.w1end({ \tile_x2y13_w1beg[3] , \tile_x2y13_w1beg[2] , \tile_x2y13_w1beg[1] , \tile_x2y13_w1beg[0] }),
.w2beg({ \tile_x1y13_w2beg[7] , \tile_x1y13_w2beg[6] , \tile_x1y13_w2beg[5] , \tile_x1y13_w2beg[4] , \tile_x1y13_w2beg[3] , \tile_x1y13_w2beg[2] , \tile_x1y13_w2beg[1] , \tile_x1y13_w2beg[0] }),
.w2begb({ \tile_x1y13_w2begb[7] , \tile_x1y13_w2begb[6] , \tile_x1y13_w2begb[5] , \tile_x1y13_w2begb[4] , \tile_x1y13_w2begb[3] , \tile_x1y13_w2begb[2] , \tile_x1y13_w2begb[1] , \tile_x1y13_w2begb[0] }),
.w2end({ \tile_x2y13_w2begb[7] , \tile_x2y13_w2begb[6] , \tile_x2y13_w2begb[5] , \tile_x2y13_w2begb[4] , \tile_x2y13_w2begb[3] , \tile_x2y13_w2begb[2] , \tile_x2y13_w2begb[1] , \tile_x2y13_w2begb[0] }),
.w2mid({ \tile_x2y13_w2beg[7] , \tile_x2y13_w2beg[6] , \tile_x2y13_w2beg[5] , \tile_x2y13_w2beg[4] , \tile_x2y13_w2beg[3] , \tile_x2y13_w2beg[2] , \tile_x2y13_w2beg[1] , \tile_x2y13_w2beg[0] }),
.w6beg({ \tile_x1y13_w6beg[11] , \tile_x1y13_w6beg[10] , \tile_x1y13_w6beg[9] , \tile_x1y13_w6beg[8] , \tile_x1y13_w6beg[7] , \tile_x1y13_w6beg[6] , \tile_x1y13_w6beg[5] , \tile_x1y13_w6beg[4] , \tile_x1y13_w6beg[3] , \tile_x1y13_w6beg[2] , \tile_x1y13_w6beg[1] , \tile_x1y13_w6beg[0] }),
.w6end({ \tile_x2y13_w6beg[11] , \tile_x2y13_w6beg[10] , \tile_x2y13_w6beg[9] , \tile_x2y13_w6beg[8] , \tile_x2y13_w6beg[7] , \tile_x2y13_w6beg[6] , \tile_x2y13_w6beg[5] , \tile_x2y13_w6beg[4] , \tile_x2y13_w6beg[3] , \tile_x2y13_w6beg[2] , \tile_x2y13_w6beg[1] , \tile_x2y13_w6beg[0] }),
.ww4beg({ \tile_x1y13_ww4beg[15] , \tile_x1y13_ww4beg[14] , \tile_x1y13_ww4beg[13] , \tile_x1y13_ww4beg[12] , \tile_x1y13_ww4beg[11] , \tile_x1y13_ww4beg[10] , \tile_x1y13_ww4beg[9] , \tile_x1y13_ww4beg[8] , \tile_x1y13_ww4beg[7] , \tile_x1y13_ww4beg[6] , \tile_x1y13_ww4beg[5] , \tile_x1y13_ww4beg[4] , \tile_x1y13_ww4beg[3] , \tile_x1y13_ww4beg[2] , \tile_x1y13_ww4beg[1] , \tile_x1y13_ww4beg[0] }),
.ww4end({ \tile_x2y13_ww4beg[15] , \tile_x2y13_ww4beg[14] , \tile_x2y13_ww4beg[13] , \tile_x2y13_ww4beg[12] , \tile_x2y13_ww4beg[11] , \tile_x2y13_ww4beg[10] , \tile_x2y13_ww4beg[9] , \tile_x2y13_ww4beg[8] , \tile_x2y13_ww4beg[7] , \tile_x2y13_ww4beg[6] , \tile_x2y13_ww4beg[5] , \tile_x2y13_ww4beg[4] , \tile_x2y13_ww4beg[3] , \tile_x2y13_ww4beg[2] , \tile_x2y13_ww4beg[1] , \tile_x2y13_ww4beg[0] })
);
lut4ab tile_x1y14_lut4ab (
.ci(tile_x1y15_co),
.co(tile_x1y14_co),
.e1beg({ \tile_x1y14_e1beg[3] , \tile_x1y14_e1beg[2] , \tile_x1y14_e1beg[1] , \tile_x1y14_e1beg[0] }),
.e1end({ \tile_x0y14_e1beg[3] , \tile_x0y14_e1beg[2] , \tile_x0y14_e1beg[1] , \tile_x0y14_e1beg[0] }),
.e2beg({ \tile_x1y14_e2beg[7] , \tile_x1y14_e2beg[6] , \tile_x1y14_e2beg[5] , \tile_x1y14_e2beg[4] , \tile_x1y14_e2beg[3] , \tile_x1y14_e2beg[2] , \tile_x1y14_e2beg[1] , \tile_x1y14_e2beg[0] }),
.e2begb({ \tile_x1y14_e2begb[7] , \tile_x1y14_e2begb[6] , \tile_x1y14_e2begb[5] , \tile_x1y14_e2begb[4] , \tile_x1y14_e2begb[3] , \tile_x1y14_e2begb[2] , \tile_x1y14_e2begb[1] , \tile_x1y14_e2begb[0] }),
.e2end({ \tile_x0y14_e2begb[7] , \tile_x0y14_e2begb[6] , \tile_x0y14_e2begb[5] , \tile_x0y14_e2begb[4] , \tile_x0y14_e2begb[3] , \tile_x0y14_e2begb[2] , \tile_x0y14_e2begb[1] , \tile_x0y14_e2begb[0] }),
.e2mid({ \tile_x0y14_e2beg[7] , \tile_x0y14_e2beg[6] , \tile_x0y14_e2beg[5] , \tile_x0y14_e2beg[4] , \tile_x0y14_e2beg[3] , \tile_x0y14_e2beg[2] , \tile_x0y14_e2beg[1] , \tile_x0y14_e2beg[0] }),
.e6beg({ \tile_x1y14_e6beg[11] , \tile_x1y14_e6beg[10] , \tile_x1y14_e6beg[9] , \tile_x1y14_e6beg[8] , \tile_x1y14_e6beg[7] , \tile_x1y14_e6beg[6] , \tile_x1y14_e6beg[5] , \tile_x1y14_e6beg[4] , \tile_x1y14_e6beg[3] , \tile_x1y14_e6beg[2] , \tile_x1y14_e6beg[1] , \tile_x1y14_e6beg[0] }),
.e6end({ \tile_x0y14_e6beg[11] , \tile_x0y14_e6beg[10] , \tile_x0y14_e6beg[9] , \tile_x0y14_e6beg[8] , \tile_x0y14_e6beg[7] , \tile_x0y14_e6beg[6] , \tile_x0y14_e6beg[5] , \tile_x0y14_e6beg[4] , \tile_x0y14_e6beg[3] , \tile_x0y14_e6beg[2] , \tile_x0y14_e6beg[1] , \tile_x0y14_e6beg[0] }),
.ee4beg({ \tile_x1y14_ee4beg[15] , \tile_x1y14_ee4beg[14] , \tile_x1y14_ee4beg[13] , \tile_x1y14_ee4beg[12] , \tile_x1y14_ee4beg[11] , \tile_x1y14_ee4beg[10] , \tile_x1y14_ee4beg[9] , \tile_x1y14_ee4beg[8] , \tile_x1y14_ee4beg[7] , \tile_x1y14_ee4beg[6] , \tile_x1y14_ee4beg[5] , \tile_x1y14_ee4beg[4] , \tile_x1y14_ee4beg[3] , \tile_x1y14_ee4beg[2] , \tile_x1y14_ee4beg[1] , \tile_x1y14_ee4beg[0] }),
.ee4end({ \tile_x0y14_ee4beg[15] , \tile_x0y14_ee4beg[14] , \tile_x0y14_ee4beg[13] , \tile_x0y14_ee4beg[12] , \tile_x0y14_ee4beg[11] , \tile_x0y14_ee4beg[10] , \tile_x0y14_ee4beg[9] , \tile_x0y14_ee4beg[8] , \tile_x0y14_ee4beg[7] , \tile_x0y14_ee4beg[6] , \tile_x0y14_ee4beg[5] , \tile_x0y14_ee4beg[4] , \tile_x0y14_ee4beg[3] , \tile_x0y14_ee4beg[2] , \tile_x0y14_ee4beg[1] , \tile_x0y14_ee4beg[0] }),
.framedata({ \tile_x0y14_framedata_o[31] , \tile_x0y14_framedata_o[30] , \tile_x0y14_framedata_o[29] , \tile_x0y14_framedata_o[28] , \tile_x0y14_framedata_o[27] , \tile_x0y14_framedata_o[26] , \tile_x0y14_framedata_o[25] , \tile_x0y14_framedata_o[24] , \tile_x0y14_framedata_o[23] , \tile_x0y14_framedata_o[22] , \tile_x0y14_framedata_o[21] , \tile_x0y14_framedata_o[20] , \tile_x0y14_framedata_o[19] , \tile_x0y14_framedata_o[18] , \tile_x0y14_framedata_o[17] , \tile_x0y14_framedata_o[16] , \tile_x0y14_framedata_o[15] , \tile_x0y14_framedata_o[14] , \tile_x0y14_framedata_o[13] , \tile_x0y14_framedata_o[12] , \tile_x0y14_framedata_o[11] , \tile_x0y14_framedata_o[10] , \tile_x0y14_framedata_o[9] , \tile_x0y14_framedata_o[8] , \tile_x0y14_framedata_o[7] , \tile_x0y14_framedata_o[6] , \tile_x0y14_framedata_o[5] , \tile_x0y14_framedata_o[4] , \tile_x0y14_framedata_o[3] , \tile_x0y14_framedata_o[2] , \tile_x0y14_framedata_o[1] , \tile_x0y14_framedata_o[0] }),
.framedata_o({ \tile_x1y14_framedata_o[31] , \tile_x1y14_framedata_o[30] , \tile_x1y14_framedata_o[29] , \tile_x1y14_framedata_o[28] , \tile_x1y14_framedata_o[27] , \tile_x1y14_framedata_o[26] , \tile_x1y14_framedata_o[25] , \tile_x1y14_framedata_o[24] , \tile_x1y14_framedata_o[23] , \tile_x1y14_framedata_o[22] , \tile_x1y14_framedata_o[21] , \tile_x1y14_framedata_o[20] , \tile_x1y14_framedata_o[19] , \tile_x1y14_framedata_o[18] , \tile_x1y14_framedata_o[17] , \tile_x1y14_framedata_o[16] , \tile_x1y14_framedata_o[15] , \tile_x1y14_framedata_o[14] , \tile_x1y14_framedata_o[13] , \tile_x1y14_framedata_o[12] , \tile_x1y14_framedata_o[11] , \tile_x1y14_framedata_o[10] , \tile_x1y14_framedata_o[9] , \tile_x1y14_framedata_o[8] , \tile_x1y14_framedata_o[7] , \tile_x1y14_framedata_o[6] , \tile_x1y14_framedata_o[5] , \tile_x1y14_framedata_o[4] , \tile_x1y14_framedata_o[3] , \tile_x1y14_framedata_o[2] , \tile_x1y14_framedata_o[1] , \tile_x1y14_framedata_o[0] }),
.framestrobe({ \tile_x1y15_framestrobe_o[19] , \tile_x1y15_framestrobe_o[18] , \tile_x1y15_framestrobe_o[17] , \tile_x1y15_framestrobe_o[16] , \tile_x1y15_framestrobe_o[15] , \tile_x1y15_framestrobe_o[14] , \tile_x1y15_framestrobe_o[13] , \tile_x1y15_framestrobe_o[12] , \tile_x1y15_framestrobe_o[11] , \tile_x1y15_framestrobe_o[10] , \tile_x1y15_framestrobe_o[9] , \tile_x1y15_framestrobe_o[8] , \tile_x1y15_framestrobe_o[7] , \tile_x1y15_framestrobe_o[6] , \tile_x1y15_framestrobe_o[5] , \tile_x1y15_framestrobe_o[4] , \tile_x1y15_framestrobe_o[3] , \tile_x1y15_framestrobe_o[2] , \tile_x1y15_framestrobe_o[1] , \tile_x1y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x1y14_framestrobe_o[19] , \tile_x1y14_framestrobe_o[18] , \tile_x1y14_framestrobe_o[17] , \tile_x1y14_framestrobe_o[16] , \tile_x1y14_framestrobe_o[15] , \tile_x1y14_framestrobe_o[14] , \tile_x1y14_framestrobe_o[13] , \tile_x1y14_framestrobe_o[12] , \tile_x1y14_framestrobe_o[11] , \tile_x1y14_framestrobe_o[10] , \tile_x1y14_framestrobe_o[9] , \tile_x1y14_framestrobe_o[8] , \tile_x1y14_framestrobe_o[7] , \tile_x1y14_framestrobe_o[6] , \tile_x1y14_framestrobe_o[5] , \tile_x1y14_framestrobe_o[4] , \tile_x1y14_framestrobe_o[3] , \tile_x1y14_framestrobe_o[2] , \tile_x1y14_framestrobe_o[1] , \tile_x1y14_framestrobe_o[0] }),
.n1beg({ \tile_x1y14_n1beg[3] , \tile_x1y14_n1beg[2] , \tile_x1y14_n1beg[1] , \tile_x1y14_n1beg[0] }),
.n1end({ \tile_x1y15_n1beg[3] , \tile_x1y15_n1beg[2] , \tile_x1y15_n1beg[1] , \tile_x1y15_n1beg[0] }),
.n2beg({ \tile_x1y14_n2beg[7] , \tile_x1y14_n2beg[6] , \tile_x1y14_n2beg[5] , \tile_x1y14_n2beg[4] , \tile_x1y14_n2beg[3] , \tile_x1y14_n2beg[2] , \tile_x1y14_n2beg[1] , \tile_x1y14_n2beg[0] }),
.n2begb({ \tile_x1y14_n2begb[7] , \tile_x1y14_n2begb[6] , \tile_x1y14_n2begb[5] , \tile_x1y14_n2begb[4] , \tile_x1y14_n2begb[3] , \tile_x1y14_n2begb[2] , \tile_x1y14_n2begb[1] , \tile_x1y14_n2begb[0] }),
.n2end({ \tile_x1y15_n2begb[7] , \tile_x1y15_n2begb[6] , \tile_x1y15_n2begb[5] , \tile_x1y15_n2begb[4] , \tile_x1y15_n2begb[3] , \tile_x1y15_n2begb[2] , \tile_x1y15_n2begb[1] , \tile_x1y15_n2begb[0] }),
.n2mid({ \tile_x1y15_n2beg[7] , \tile_x1y15_n2beg[6] , \tile_x1y15_n2beg[5] , \tile_x1y15_n2beg[4] , \tile_x1y15_n2beg[3] , \tile_x1y15_n2beg[2] , \tile_x1y15_n2beg[1] , \tile_x1y15_n2beg[0] }),
.n4beg({ \tile_x1y14_n4beg[15] , \tile_x1y14_n4beg[14] , \tile_x1y14_n4beg[13] , \tile_x1y14_n4beg[12] , \tile_x1y14_n4beg[11] , \tile_x1y14_n4beg[10] , \tile_x1y14_n4beg[9] , \tile_x1y14_n4beg[8] , \tile_x1y14_n4beg[7] , \tile_x1y14_n4beg[6] , \tile_x1y14_n4beg[5] , \tile_x1y14_n4beg[4] , \tile_x1y14_n4beg[3] , \tile_x1y14_n4beg[2] , \tile_x1y14_n4beg[1] , \tile_x1y14_n4beg[0] }),
.n4end({ \tile_x1y15_n4beg[15] , \tile_x1y15_n4beg[14] , \tile_x1y15_n4beg[13] , \tile_x1y15_n4beg[12] , \tile_x1y15_n4beg[11] , \tile_x1y15_n4beg[10] , \tile_x1y15_n4beg[9] , \tile_x1y15_n4beg[8] , \tile_x1y15_n4beg[7] , \tile_x1y15_n4beg[6] , \tile_x1y15_n4beg[5] , \tile_x1y15_n4beg[4] , \tile_x1y15_n4beg[3] , \tile_x1y15_n4beg[2] , \tile_x1y15_n4beg[1] , \tile_x1y15_n4beg[0] }),
.nn4beg({ \tile_x1y14_nn4beg[15] , \tile_x1y14_nn4beg[14] , \tile_x1y14_nn4beg[13] , \tile_x1y14_nn4beg[12] , \tile_x1y14_nn4beg[11] , \tile_x1y14_nn4beg[10] , \tile_x1y14_nn4beg[9] , \tile_x1y14_nn4beg[8] , \tile_x1y14_nn4beg[7] , \tile_x1y14_nn4beg[6] , \tile_x1y14_nn4beg[5] , \tile_x1y14_nn4beg[4] , \tile_x1y14_nn4beg[3] , \tile_x1y14_nn4beg[2] , \tile_x1y14_nn4beg[1] , \tile_x1y14_nn4beg[0] }),
.nn4end({ \tile_x1y15_nn4beg[15] , \tile_x1y15_nn4beg[14] , \tile_x1y15_nn4beg[13] , \tile_x1y15_nn4beg[12] , \tile_x1y15_nn4beg[11] , \tile_x1y15_nn4beg[10] , \tile_x1y15_nn4beg[9] , \tile_x1y15_nn4beg[8] , \tile_x1y15_nn4beg[7] , \tile_x1y15_nn4beg[6] , \tile_x1y15_nn4beg[5] , \tile_x1y15_nn4beg[4] , \tile_x1y15_nn4beg[3] , \tile_x1y15_nn4beg[2] , \tile_x1y15_nn4beg[1] , \tile_x1y15_nn4beg[0] }),
.s1beg({ \tile_x1y14_s1beg[3] , \tile_x1y14_s1beg[2] , \tile_x1y14_s1beg[1] , \tile_x1y14_s1beg[0] }),
.s1end({ \tile_x1y13_s1beg[3] , \tile_x1y13_s1beg[2] , \tile_x1y13_s1beg[1] , \tile_x1y13_s1beg[0] }),
.s2beg({ \tile_x1y14_s2beg[7] , \tile_x1y14_s2beg[6] , \tile_x1y14_s2beg[5] , \tile_x1y14_s2beg[4] , \tile_x1y14_s2beg[3] , \tile_x1y14_s2beg[2] , \tile_x1y14_s2beg[1] , \tile_x1y14_s2beg[0] }),
.s2begb({ \tile_x1y14_s2begb[7] , \tile_x1y14_s2begb[6] , \tile_x1y14_s2begb[5] , \tile_x1y14_s2begb[4] , \tile_x1y14_s2begb[3] , \tile_x1y14_s2begb[2] , \tile_x1y14_s2begb[1] , \tile_x1y14_s2begb[0] }),
.s2end({ \tile_x1y13_s2begb[7] , \tile_x1y13_s2begb[6] , \tile_x1y13_s2begb[5] , \tile_x1y13_s2begb[4] , \tile_x1y13_s2begb[3] , \tile_x1y13_s2begb[2] , \tile_x1y13_s2begb[1] , \tile_x1y13_s2begb[0] }),
.s2mid({ \tile_x1y13_s2beg[7] , \tile_x1y13_s2beg[6] , \tile_x1y13_s2beg[5] , \tile_x1y13_s2beg[4] , \tile_x1y13_s2beg[3] , \tile_x1y13_s2beg[2] , \tile_x1y13_s2beg[1] , \tile_x1y13_s2beg[0] }),
.s4beg({ \tile_x1y14_s4beg[15] , \tile_x1y14_s4beg[14] , \tile_x1y14_s4beg[13] , \tile_x1y14_s4beg[12] , \tile_x1y14_s4beg[11] , \tile_x1y14_s4beg[10] , \tile_x1y14_s4beg[9] , \tile_x1y14_s4beg[8] , \tile_x1y14_s4beg[7] , \tile_x1y14_s4beg[6] , \tile_x1y14_s4beg[5] , \tile_x1y14_s4beg[4] , \tile_x1y14_s4beg[3] , \tile_x1y14_s4beg[2] , \tile_x1y14_s4beg[1] , \tile_x1y14_s4beg[0] }),
.s4end({ \tile_x1y13_s4beg[15] , \tile_x1y13_s4beg[14] , \tile_x1y13_s4beg[13] , \tile_x1y13_s4beg[12] , \tile_x1y13_s4beg[11] , \tile_x1y13_s4beg[10] , \tile_x1y13_s4beg[9] , \tile_x1y13_s4beg[8] , \tile_x1y13_s4beg[7] , \tile_x1y13_s4beg[6] , \tile_x1y13_s4beg[5] , \tile_x1y13_s4beg[4] , \tile_x1y13_s4beg[3] , \tile_x1y13_s4beg[2] , \tile_x1y13_s4beg[1] , \tile_x1y13_s4beg[0] }),
.ss4beg({ \tile_x1y14_ss4beg[15] , \tile_x1y14_ss4beg[14] , \tile_x1y14_ss4beg[13] , \tile_x1y14_ss4beg[12] , \tile_x1y14_ss4beg[11] , \tile_x1y14_ss4beg[10] , \tile_x1y14_ss4beg[9] , \tile_x1y14_ss4beg[8] , \tile_x1y14_ss4beg[7] , \tile_x1y14_ss4beg[6] , \tile_x1y14_ss4beg[5] , \tile_x1y14_ss4beg[4] , \tile_x1y14_ss4beg[3] , \tile_x1y14_ss4beg[2] , \tile_x1y14_ss4beg[1] , \tile_x1y14_ss4beg[0] }),
.ss4end({ \tile_x1y13_ss4beg[15] , \tile_x1y13_ss4beg[14] , \tile_x1y13_ss4beg[13] , \tile_x1y13_ss4beg[12] , \tile_x1y13_ss4beg[11] , \tile_x1y13_ss4beg[10] , \tile_x1y13_ss4beg[9] , \tile_x1y13_ss4beg[8] , \tile_x1y13_ss4beg[7] , \tile_x1y13_ss4beg[6] , \tile_x1y13_ss4beg[5] , \tile_x1y13_ss4beg[4] , \tile_x1y13_ss4beg[3] , \tile_x1y13_ss4beg[2] , \tile_x1y13_ss4beg[1] , \tile_x1y13_ss4beg[0] }),
.userclk(tile_x1y15_userclko),
.userclko(tile_x1y14_userclko),
.w1beg({ \tile_x1y14_w1beg[3] , \tile_x1y14_w1beg[2] , \tile_x1y14_w1beg[1] , \tile_x1y14_w1beg[0] }),
.w1end({ \tile_x2y14_w1beg[3] , \tile_x2y14_w1beg[2] , \tile_x2y14_w1beg[1] , \tile_x2y14_w1beg[0] }),
.w2beg({ \tile_x1y14_w2beg[7] , \tile_x1y14_w2beg[6] , \tile_x1y14_w2beg[5] , \tile_x1y14_w2beg[4] , \tile_x1y14_w2beg[3] , \tile_x1y14_w2beg[2] , \tile_x1y14_w2beg[1] , \tile_x1y14_w2beg[0] }),
.w2begb({ \tile_x1y14_w2begb[7] , \tile_x1y14_w2begb[6] , \tile_x1y14_w2begb[5] , \tile_x1y14_w2begb[4] , \tile_x1y14_w2begb[3] , \tile_x1y14_w2begb[2] , \tile_x1y14_w2begb[1] , \tile_x1y14_w2begb[0] }),
.w2end({ \tile_x2y14_w2begb[7] , \tile_x2y14_w2begb[6] , \tile_x2y14_w2begb[5] , \tile_x2y14_w2begb[4] , \tile_x2y14_w2begb[3] , \tile_x2y14_w2begb[2] , \tile_x2y14_w2begb[1] , \tile_x2y14_w2begb[0] }),
.w2mid({ \tile_x2y14_w2beg[7] , \tile_x2y14_w2beg[6] , \tile_x2y14_w2beg[5] , \tile_x2y14_w2beg[4] , \tile_x2y14_w2beg[3] , \tile_x2y14_w2beg[2] , \tile_x2y14_w2beg[1] , \tile_x2y14_w2beg[0] }),
.w6beg({ \tile_x1y14_w6beg[11] , \tile_x1y14_w6beg[10] , \tile_x1y14_w6beg[9] , \tile_x1y14_w6beg[8] , \tile_x1y14_w6beg[7] , \tile_x1y14_w6beg[6] , \tile_x1y14_w6beg[5] , \tile_x1y14_w6beg[4] , \tile_x1y14_w6beg[3] , \tile_x1y14_w6beg[2] , \tile_x1y14_w6beg[1] , \tile_x1y14_w6beg[0] }),
.w6end({ \tile_x2y14_w6beg[11] , \tile_x2y14_w6beg[10] , \tile_x2y14_w6beg[9] , \tile_x2y14_w6beg[8] , \tile_x2y14_w6beg[7] , \tile_x2y14_w6beg[6] , \tile_x2y14_w6beg[5] , \tile_x2y14_w6beg[4] , \tile_x2y14_w6beg[3] , \tile_x2y14_w6beg[2] , \tile_x2y14_w6beg[1] , \tile_x2y14_w6beg[0] }),
.ww4beg({ \tile_x1y14_ww4beg[15] , \tile_x1y14_ww4beg[14] , \tile_x1y14_ww4beg[13] , \tile_x1y14_ww4beg[12] , \tile_x1y14_ww4beg[11] , \tile_x1y14_ww4beg[10] , \tile_x1y14_ww4beg[9] , \tile_x1y14_ww4beg[8] , \tile_x1y14_ww4beg[7] , \tile_x1y14_ww4beg[6] , \tile_x1y14_ww4beg[5] , \tile_x1y14_ww4beg[4] , \tile_x1y14_ww4beg[3] , \tile_x1y14_ww4beg[2] , \tile_x1y14_ww4beg[1] , \tile_x1y14_ww4beg[0] }),
.ww4end({ \tile_x2y14_ww4beg[15] , \tile_x2y14_ww4beg[14] , \tile_x2y14_ww4beg[13] , \tile_x2y14_ww4beg[12] , \tile_x2y14_ww4beg[11] , \tile_x2y14_ww4beg[10] , \tile_x2y14_ww4beg[9] , \tile_x2y14_ww4beg[8] , \tile_x2y14_ww4beg[7] , \tile_x2y14_ww4beg[6] , \tile_x2y14_ww4beg[5] , \tile_x2y14_ww4beg[4] , \tile_x2y14_ww4beg[3] , \tile_x2y14_ww4beg[2] , \tile_x2y14_ww4beg[1] , \tile_x2y14_ww4beg[0] })
);
s_term_single tile_x1y15_s_term_single (
.co(tile_x1y15_co),
.framestrobe(framestrobe[39:20]),
.framestrobe_o({ \tile_x1y15_framestrobe_o[19] , \tile_x1y15_framestrobe_o[18] , \tile_x1y15_framestrobe_o[17] , \tile_x1y15_framestrobe_o[16] , \tile_x1y15_framestrobe_o[15] , \tile_x1y15_framestrobe_o[14] , \tile_x1y15_framestrobe_o[13] , \tile_x1y15_framestrobe_o[12] , \tile_x1y15_framestrobe_o[11] , \tile_x1y15_framestrobe_o[10] , \tile_x1y15_framestrobe_o[9] , \tile_x1y15_framestrobe_o[8] , \tile_x1y15_framestrobe_o[7] , \tile_x1y15_framestrobe_o[6] , \tile_x1y15_framestrobe_o[5] , \tile_x1y15_framestrobe_o[4] , \tile_x1y15_framestrobe_o[3] , \tile_x1y15_framestrobe_o[2] , \tile_x1y15_framestrobe_o[1] , \tile_x1y15_framestrobe_o[0] }),
.n1beg({ \tile_x1y15_n1beg[3] , \tile_x1y15_n1beg[2] , \tile_x1y15_n1beg[1] , \tile_x1y15_n1beg[0] }),
.n2beg({ \tile_x1y15_n2beg[7] , \tile_x1y15_n2beg[6] , \tile_x1y15_n2beg[5] , \tile_x1y15_n2beg[4] , \tile_x1y15_n2beg[3] , \tile_x1y15_n2beg[2] , \tile_x1y15_n2beg[1] , \tile_x1y15_n2beg[0] }),
.n2begb({ \tile_x1y15_n2begb[7] , \tile_x1y15_n2begb[6] , \tile_x1y15_n2begb[5] , \tile_x1y15_n2begb[4] , \tile_x1y15_n2begb[3] , \tile_x1y15_n2begb[2] , \tile_x1y15_n2begb[1] , \tile_x1y15_n2begb[0] }),
.n4beg({ \tile_x1y15_n4beg[15] , \tile_x1y15_n4beg[14] , \tile_x1y15_n4beg[13] , \tile_x1y15_n4beg[12] , \tile_x1y15_n4beg[11] , \tile_x1y15_n4beg[10] , \tile_x1y15_n4beg[9] , \tile_x1y15_n4beg[8] , \tile_x1y15_n4beg[7] , \tile_x1y15_n4beg[6] , \tile_x1y15_n4beg[5] , \tile_x1y15_n4beg[4] , \tile_x1y15_n4beg[3] , \tile_x1y15_n4beg[2] , \tile_x1y15_n4beg[1] , \tile_x1y15_n4beg[0] }),
.nn4beg({ \tile_x1y15_nn4beg[15] , \tile_x1y15_nn4beg[14] , \tile_x1y15_nn4beg[13] , \tile_x1y15_nn4beg[12] , \tile_x1y15_nn4beg[11] , \tile_x1y15_nn4beg[10] , \tile_x1y15_nn4beg[9] , \tile_x1y15_nn4beg[8] , \tile_x1y15_nn4beg[7] , \tile_x1y15_nn4beg[6] , \tile_x1y15_nn4beg[5] , \tile_x1y15_nn4beg[4] , \tile_x1y15_nn4beg[3] , \tile_x1y15_nn4beg[2] , \tile_x1y15_nn4beg[1] , \tile_x1y15_nn4beg[0] }),
.s1end({ \tile_x1y14_s1beg[3] , \tile_x1y14_s1beg[2] , \tile_x1y14_s1beg[1] , \tile_x1y14_s1beg[0] }),
.s2end({ \tile_x1y14_s2begb[7] , \tile_x1y14_s2begb[6] , \tile_x1y14_s2begb[5] , \tile_x1y14_s2begb[4] , \tile_x1y14_s2begb[3] , \tile_x1y14_s2begb[2] , \tile_x1y14_s2begb[1] , \tile_x1y14_s2begb[0] }),
.s2mid({ \tile_x1y14_s2beg[7] , \tile_x1y14_s2beg[6] , \tile_x1y14_s2beg[5] , \tile_x1y14_s2beg[4] , \tile_x1y14_s2beg[3] , \tile_x1y14_s2beg[2] , \tile_x1y14_s2beg[1] , \tile_x1y14_s2beg[0] }),
.s4end({ \tile_x1y14_s4beg[15] , \tile_x1y14_s4beg[14] , \tile_x1y14_s4beg[13] , \tile_x1y14_s4beg[12] , \tile_x1y14_s4beg[11] , \tile_x1y14_s4beg[10] , \tile_x1y14_s4beg[9] , \tile_x1y14_s4beg[8] , \tile_x1y14_s4beg[7] , \tile_x1y14_s4beg[6] , \tile_x1y14_s4beg[5] , \tile_x1y14_s4beg[4] , \tile_x1y14_s4beg[3] , \tile_x1y14_s4beg[2] , \tile_x1y14_s4beg[1] , \tile_x1y14_s4beg[0] }),
.ss4end({ \tile_x1y14_ss4beg[15] , \tile_x1y14_ss4beg[14] , \tile_x1y14_ss4beg[13] , \tile_x1y14_ss4beg[12] , \tile_x1y14_ss4beg[11] , \tile_x1y14_ss4beg[10] , \tile_x1y14_ss4beg[9] , \tile_x1y14_ss4beg[8] , \tile_x1y14_ss4beg[7] , \tile_x1y14_ss4beg[6] , \tile_x1y14_ss4beg[5] , \tile_x1y14_ss4beg[4] , \tile_x1y14_ss4beg[3] , \tile_x1y14_ss4beg[2] , \tile_x1y14_ss4beg[1] , \tile_x1y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x1y15_userclko)
);
n_term_single tile_x1y9_n_term_single (
.ci(tile_x1y10_co),
.framestrobe({ \tile_x1y10_framestrobe_o[19] , \tile_x1y10_framestrobe_o[18] , \tile_x1y10_framestrobe_o[17] , \tile_x1y10_framestrobe_o[16] , \tile_x1y10_framestrobe_o[15] , \tile_x1y10_framestrobe_o[14] , \tile_x1y10_framestrobe_o[13] , \tile_x1y10_framestrobe_o[12] , \tile_x1y10_framestrobe_o[11] , \tile_x1y10_framestrobe_o[10] , \tile_x1y10_framestrobe_o[9] , \tile_x1y10_framestrobe_o[8] , \tile_x1y10_framestrobe_o[7] , \tile_x1y10_framestrobe_o[6] , \tile_x1y10_framestrobe_o[5] , \tile_x1y10_framestrobe_o[4] , \tile_x1y10_framestrobe_o[3] , \tile_x1y10_framestrobe_o[2] , \tile_x1y10_framestrobe_o[1] , \tile_x1y10_framestrobe_o[0] }),
.framestrobe_o({ \tile_x1y9_framestrobe_o[19] , \tile_x1y9_framestrobe_o[18] , \tile_x1y9_framestrobe_o[17] , \tile_x1y9_framestrobe_o[16] , \tile_x1y9_framestrobe_o[15] , \tile_x1y9_framestrobe_o[14] , \tile_x1y9_framestrobe_o[13] , \tile_x1y9_framestrobe_o[12] , \tile_x1y9_framestrobe_o[11] , \tile_x1y9_framestrobe_o[10] , \tile_x1y9_framestrobe_o[9] , \tile_x1y9_framestrobe_o[8] , \tile_x1y9_framestrobe_o[7] , \tile_x1y9_framestrobe_o[6] , \tile_x1y9_framestrobe_o[5] , \tile_x1y9_framestrobe_o[4] , \tile_x1y9_framestrobe_o[3] , \tile_x1y9_framestrobe_o[2] , \tile_x1y9_framestrobe_o[1] , \tile_x1y9_framestrobe_o[0] }),
.n1end({ \tile_x1y10_n1beg[3] , \tile_x1y10_n1beg[2] , \tile_x1y10_n1beg[1] , \tile_x1y10_n1beg[0] }),
.n2end({ \tile_x1y10_n2begb[7] , \tile_x1y10_n2begb[6] , \tile_x1y10_n2begb[5] , \tile_x1y10_n2begb[4] , \tile_x1y10_n2begb[3] , \tile_x1y10_n2begb[2] , \tile_x1y10_n2begb[1] , \tile_x1y10_n2begb[0] }),
.n2mid({ \tile_x1y10_n2beg[7] , \tile_x1y10_n2beg[6] , \tile_x1y10_n2beg[5] , \tile_x1y10_n2beg[4] , \tile_x1y10_n2beg[3] , \tile_x1y10_n2beg[2] , \tile_x1y10_n2beg[1] , \tile_x1y10_n2beg[0] }),
.n4end({ \tile_x1y10_n4beg[15] , \tile_x1y10_n4beg[14] , \tile_x1y10_n4beg[13] , \tile_x1y10_n4beg[12] , \tile_x1y10_n4beg[11] , \tile_x1y10_n4beg[10] , \tile_x1y10_n4beg[9] , \tile_x1y10_n4beg[8] , \tile_x1y10_n4beg[7] , \tile_x1y10_n4beg[6] , \tile_x1y10_n4beg[5] , \tile_x1y10_n4beg[4] , \tile_x1y10_n4beg[3] , \tile_x1y10_n4beg[2] , \tile_x1y10_n4beg[1] , \tile_x1y10_n4beg[0] }),
.nn4end({ \tile_x1y10_nn4beg[15] , \tile_x1y10_nn4beg[14] , \tile_x1y10_nn4beg[13] , \tile_x1y10_nn4beg[12] , \tile_x1y10_nn4beg[11] , \tile_x1y10_nn4beg[10] , \tile_x1y10_nn4beg[9] , \tile_x1y10_nn4beg[8] , \tile_x1y10_nn4beg[7] , \tile_x1y10_nn4beg[6] , \tile_x1y10_nn4beg[5] , \tile_x1y10_nn4beg[4] , \tile_x1y10_nn4beg[3] , \tile_x1y10_nn4beg[2] , \tile_x1y10_nn4beg[1] , \tile_x1y10_nn4beg[0] }),
.s1beg({ \tile_x1y9_s1beg[3] , \tile_x1y9_s1beg[2] , \tile_x1y9_s1beg[1] , \tile_x1y9_s1beg[0] }),
.s2beg({ \tile_x1y9_s2beg[7] , \tile_x1y9_s2beg[6] , \tile_x1y9_s2beg[5] , \tile_x1y9_s2beg[4] , \tile_x1y9_s2beg[3] , \tile_x1y9_s2beg[2] , \tile_x1y9_s2beg[1] , \tile_x1y9_s2beg[0] }),
.s2begb({ \tile_x1y9_s2begb[7] , \tile_x1y9_s2begb[6] , \tile_x1y9_s2begb[5] , \tile_x1y9_s2begb[4] , \tile_x1y9_s2begb[3] , \tile_x1y9_s2begb[2] , \tile_x1y9_s2begb[1] , \tile_x1y9_s2begb[0] }),
.s4beg({ \tile_x1y9_s4beg[15] , \tile_x1y9_s4beg[14] , \tile_x1y9_s4beg[13] , \tile_x1y9_s4beg[12] , \tile_x1y9_s4beg[11] , \tile_x1y9_s4beg[10] , \tile_x1y9_s4beg[9] , \tile_x1y9_s4beg[8] , \tile_x1y9_s4beg[7] , \tile_x1y9_s4beg[6] , \tile_x1y9_s4beg[5] , \tile_x1y9_s4beg[4] , \tile_x1y9_s4beg[3] , \tile_x1y9_s4beg[2] , \tile_x1y9_s4beg[1] , \tile_x1y9_s4beg[0] }),
.ss4beg({ \tile_x1y9_ss4beg[15] , \tile_x1y9_ss4beg[14] , \tile_x1y9_ss4beg[13] , \tile_x1y9_ss4beg[12] , \tile_x1y9_ss4beg[11] , \tile_x1y9_ss4beg[10] , \tile_x1y9_ss4beg[9] , \tile_x1y9_ss4beg[8] , \tile_x1y9_ss4beg[7] , \tile_x1y9_ss4beg[6] , \tile_x1y9_ss4beg[5] , \tile_x1y9_ss4beg[4] , \tile_x1y9_ss4beg[3] , \tile_x1y9_ss4beg[2] , \tile_x1y9_ss4beg[1] , \tile_x1y9_ss4beg[0] }),
.userclk(tile_x1y10_userclko),
.userclko(tile_x1y9_userclko)
);
regfile tile_x2y10_regfile (
.e1beg({ \tile_x2y10_e1beg[3] , \tile_x2y10_e1beg[2] , \tile_x2y10_e1beg[1] , \tile_x2y10_e1beg[0] }),
.e1end({ \tile_x1y10_e1beg[3] , \tile_x1y10_e1beg[2] , \tile_x1y10_e1beg[1] , \tile_x1y10_e1beg[0] }),
.e2beg({ \tile_x2y10_e2beg[7] , \tile_x2y10_e2beg[6] , \tile_x2y10_e2beg[5] , \tile_x2y10_e2beg[4] , \tile_x2y10_e2beg[3] , \tile_x2y10_e2beg[2] , \tile_x2y10_e2beg[1] , \tile_x2y10_e2beg[0] }),
.e2begb({ \tile_x2y10_e2begb[7] , \tile_x2y10_e2begb[6] , \tile_x2y10_e2begb[5] , \tile_x2y10_e2begb[4] , \tile_x2y10_e2begb[3] , \tile_x2y10_e2begb[2] , \tile_x2y10_e2begb[1] , \tile_x2y10_e2begb[0] }),
.e2end({ \tile_x1y10_e2begb[7] , \tile_x1y10_e2begb[6] , \tile_x1y10_e2begb[5] , \tile_x1y10_e2begb[4] , \tile_x1y10_e2begb[3] , \tile_x1y10_e2begb[2] , \tile_x1y10_e2begb[1] , \tile_x1y10_e2begb[0] }),
.e2mid({ \tile_x1y10_e2beg[7] , \tile_x1y10_e2beg[6] , \tile_x1y10_e2beg[5] , \tile_x1y10_e2beg[4] , \tile_x1y10_e2beg[3] , \tile_x1y10_e2beg[2] , \tile_x1y10_e2beg[1] , \tile_x1y10_e2beg[0] }),
.e6beg({ \tile_x2y10_e6beg[11] , \tile_x2y10_e6beg[10] , \tile_x2y10_e6beg[9] , \tile_x2y10_e6beg[8] , \tile_x2y10_e6beg[7] , \tile_x2y10_e6beg[6] , \tile_x2y10_e6beg[5] , \tile_x2y10_e6beg[4] , \tile_x2y10_e6beg[3] , \tile_x2y10_e6beg[2] , \tile_x2y10_e6beg[1] , \tile_x2y10_e6beg[0] }),
.e6end({ \tile_x1y10_e6beg[11] , \tile_x1y10_e6beg[10] , \tile_x1y10_e6beg[9] , \tile_x1y10_e6beg[8] , \tile_x1y10_e6beg[7] , \tile_x1y10_e6beg[6] , \tile_x1y10_e6beg[5] , \tile_x1y10_e6beg[4] , \tile_x1y10_e6beg[3] , \tile_x1y10_e6beg[2] , \tile_x1y10_e6beg[1] , \tile_x1y10_e6beg[0] }),
.ee4beg({ \tile_x2y10_ee4beg[15] , \tile_x2y10_ee4beg[14] , \tile_x2y10_ee4beg[13] , \tile_x2y10_ee4beg[12] , \tile_x2y10_ee4beg[11] , \tile_x2y10_ee4beg[10] , \tile_x2y10_ee4beg[9] , \tile_x2y10_ee4beg[8] , \tile_x2y10_ee4beg[7] , \tile_x2y10_ee4beg[6] , \tile_x2y10_ee4beg[5] , \tile_x2y10_ee4beg[4] , \tile_x2y10_ee4beg[3] , \tile_x2y10_ee4beg[2] , \tile_x2y10_ee4beg[1] , \tile_x2y10_ee4beg[0] }),
.ee4end({ \tile_x1y10_ee4beg[15] , \tile_x1y10_ee4beg[14] , \tile_x1y10_ee4beg[13] , \tile_x1y10_ee4beg[12] , \tile_x1y10_ee4beg[11] , \tile_x1y10_ee4beg[10] , \tile_x1y10_ee4beg[9] , \tile_x1y10_ee4beg[8] , \tile_x1y10_ee4beg[7] , \tile_x1y10_ee4beg[6] , \tile_x1y10_ee4beg[5] , \tile_x1y10_ee4beg[4] , \tile_x1y10_ee4beg[3] , \tile_x1y10_ee4beg[2] , \tile_x1y10_ee4beg[1] , \tile_x1y10_ee4beg[0] }),
.framedata({ \tile_x1y10_framedata_o[31] , \tile_x1y10_framedata_o[30] , \tile_x1y10_framedata_o[29] , \tile_x1y10_framedata_o[28] , \tile_x1y10_framedata_o[27] , \tile_x1y10_framedata_o[26] , \tile_x1y10_framedata_o[25] , \tile_x1y10_framedata_o[24] , \tile_x1y10_framedata_o[23] , \tile_x1y10_framedata_o[22] , \tile_x1y10_framedata_o[21] , \tile_x1y10_framedata_o[20] , \tile_x1y10_framedata_o[19] , \tile_x1y10_framedata_o[18] , \tile_x1y10_framedata_o[17] , \tile_x1y10_framedata_o[16] , \tile_x1y10_framedata_o[15] , \tile_x1y10_framedata_o[14] , \tile_x1y10_framedata_o[13] , \tile_x1y10_framedata_o[12] , \tile_x1y10_framedata_o[11] , \tile_x1y10_framedata_o[10] , \tile_x1y10_framedata_o[9] , \tile_x1y10_framedata_o[8] , \tile_x1y10_framedata_o[7] , \tile_x1y10_framedata_o[6] , \tile_x1y10_framedata_o[5] , \tile_x1y10_framedata_o[4] , \tile_x1y10_framedata_o[3] , \tile_x1y10_framedata_o[2] , \tile_x1y10_framedata_o[1] , \tile_x1y10_framedata_o[0] }),
.framedata_o({ \tile_x2y10_framedata_o[31] , \tile_x2y10_framedata_o[30] , \tile_x2y10_framedata_o[29] , \tile_x2y10_framedata_o[28] , \tile_x2y10_framedata_o[27] , \tile_x2y10_framedata_o[26] , \tile_x2y10_framedata_o[25] , \tile_x2y10_framedata_o[24] , \tile_x2y10_framedata_o[23] , \tile_x2y10_framedata_o[22] , \tile_x2y10_framedata_o[21] , \tile_x2y10_framedata_o[20] , \tile_x2y10_framedata_o[19] , \tile_x2y10_framedata_o[18] , \tile_x2y10_framedata_o[17] , \tile_x2y10_framedata_o[16] , \tile_x2y10_framedata_o[15] , \tile_x2y10_framedata_o[14] , \tile_x2y10_framedata_o[13] , \tile_x2y10_framedata_o[12] , \tile_x2y10_framedata_o[11] , \tile_x2y10_framedata_o[10] , \tile_x2y10_framedata_o[9] , \tile_x2y10_framedata_o[8] , \tile_x2y10_framedata_o[7] , \tile_x2y10_framedata_o[6] , \tile_x2y10_framedata_o[5] , \tile_x2y10_framedata_o[4] , \tile_x2y10_framedata_o[3] , \tile_x2y10_framedata_o[2] , \tile_x2y10_framedata_o[1] , \tile_x2y10_framedata_o[0] }),
.framestrobe({ \tile_x2y11_framestrobe_o[19] , \tile_x2y11_framestrobe_o[18] , \tile_x2y11_framestrobe_o[17] , \tile_x2y11_framestrobe_o[16] , \tile_x2y11_framestrobe_o[15] , \tile_x2y11_framestrobe_o[14] , \tile_x2y11_framestrobe_o[13] , \tile_x2y11_framestrobe_o[12] , \tile_x2y11_framestrobe_o[11] , \tile_x2y11_framestrobe_o[10] , \tile_x2y11_framestrobe_o[9] , \tile_x2y11_framestrobe_o[8] , \tile_x2y11_framestrobe_o[7] , \tile_x2y11_framestrobe_o[6] , \tile_x2y11_framestrobe_o[5] , \tile_x2y11_framestrobe_o[4] , \tile_x2y11_framestrobe_o[3] , \tile_x2y11_framestrobe_o[2] , \tile_x2y11_framestrobe_o[1] , \tile_x2y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x2y10_framestrobe_o[19] , \tile_x2y10_framestrobe_o[18] , \tile_x2y10_framestrobe_o[17] , \tile_x2y10_framestrobe_o[16] , \tile_x2y10_framestrobe_o[15] , \tile_x2y10_framestrobe_o[14] , \tile_x2y10_framestrobe_o[13] , \tile_x2y10_framestrobe_o[12] , \tile_x2y10_framestrobe_o[11] , \tile_x2y10_framestrobe_o[10] , \tile_x2y10_framestrobe_o[9] , \tile_x2y10_framestrobe_o[8] , \tile_x2y10_framestrobe_o[7] , \tile_x2y10_framestrobe_o[6] , \tile_x2y10_framestrobe_o[5] , \tile_x2y10_framestrobe_o[4] , \tile_x2y10_framestrobe_o[3] , \tile_x2y10_framestrobe_o[2] , \tile_x2y10_framestrobe_o[1] , \tile_x2y10_framestrobe_o[0] }),
.n1beg({ \tile_x2y10_n1beg[3] , \tile_x2y10_n1beg[2] , \tile_x2y10_n1beg[1] , \tile_x2y10_n1beg[0] }),
.n1end({ \tile_x2y11_n1beg[3] , \tile_x2y11_n1beg[2] , \tile_x2y11_n1beg[1] , \tile_x2y11_n1beg[0] }),
.n2beg({ \tile_x2y10_n2beg[7] , \tile_x2y10_n2beg[6] , \tile_x2y10_n2beg[5] , \tile_x2y10_n2beg[4] , \tile_x2y10_n2beg[3] , \tile_x2y10_n2beg[2] , \tile_x2y10_n2beg[1] , \tile_x2y10_n2beg[0] }),
.n2begb({ \tile_x2y10_n2begb[7] , \tile_x2y10_n2begb[6] , \tile_x2y10_n2begb[5] , \tile_x2y10_n2begb[4] , \tile_x2y10_n2begb[3] , \tile_x2y10_n2begb[2] , \tile_x2y10_n2begb[1] , \tile_x2y10_n2begb[0] }),
.n2end({ \tile_x2y11_n2begb[7] , \tile_x2y11_n2begb[6] , \tile_x2y11_n2begb[5] , \tile_x2y11_n2begb[4] , \tile_x2y11_n2begb[3] , \tile_x2y11_n2begb[2] , \tile_x2y11_n2begb[1] , \tile_x2y11_n2begb[0] }),
.n2mid({ \tile_x2y11_n2beg[7] , \tile_x2y11_n2beg[6] , \tile_x2y11_n2beg[5] , \tile_x2y11_n2beg[4] , \tile_x2y11_n2beg[3] , \tile_x2y11_n2beg[2] , \tile_x2y11_n2beg[1] , \tile_x2y11_n2beg[0] }),
.n4beg({ \tile_x2y10_n4beg[15] , \tile_x2y10_n4beg[14] , \tile_x2y10_n4beg[13] , \tile_x2y10_n4beg[12] , \tile_x2y10_n4beg[11] , \tile_x2y10_n4beg[10] , \tile_x2y10_n4beg[9] , \tile_x2y10_n4beg[8] , \tile_x2y10_n4beg[7] , \tile_x2y10_n4beg[6] , \tile_x2y10_n4beg[5] , \tile_x2y10_n4beg[4] , \tile_x2y10_n4beg[3] , \tile_x2y10_n4beg[2] , \tile_x2y10_n4beg[1] , \tile_x2y10_n4beg[0] }),
.n4end({ \tile_x2y11_n4beg[15] , \tile_x2y11_n4beg[14] , \tile_x2y11_n4beg[13] , \tile_x2y11_n4beg[12] , \tile_x2y11_n4beg[11] , \tile_x2y11_n4beg[10] , \tile_x2y11_n4beg[9] , \tile_x2y11_n4beg[8] , \tile_x2y11_n4beg[7] , \tile_x2y11_n4beg[6] , \tile_x2y11_n4beg[5] , \tile_x2y11_n4beg[4] , \tile_x2y11_n4beg[3] , \tile_x2y11_n4beg[2] , \tile_x2y11_n4beg[1] , \tile_x2y11_n4beg[0] }),
.nn4beg({ \tile_x2y10_nn4beg[15] , \tile_x2y10_nn4beg[14] , \tile_x2y10_nn4beg[13] , \tile_x2y10_nn4beg[12] , \tile_x2y10_nn4beg[11] , \tile_x2y10_nn4beg[10] , \tile_x2y10_nn4beg[9] , \tile_x2y10_nn4beg[8] , \tile_x2y10_nn4beg[7] , \tile_x2y10_nn4beg[6] , \tile_x2y10_nn4beg[5] , \tile_x2y10_nn4beg[4] , \tile_x2y10_nn4beg[3] , \tile_x2y10_nn4beg[2] , \tile_x2y10_nn4beg[1] , \tile_x2y10_nn4beg[0] }),
.nn4end({ \tile_x2y11_nn4beg[15] , \tile_x2y11_nn4beg[14] , \tile_x2y11_nn4beg[13] , \tile_x2y11_nn4beg[12] , \tile_x2y11_nn4beg[11] , \tile_x2y11_nn4beg[10] , \tile_x2y11_nn4beg[9] , \tile_x2y11_nn4beg[8] , \tile_x2y11_nn4beg[7] , \tile_x2y11_nn4beg[6] , \tile_x2y11_nn4beg[5] , \tile_x2y11_nn4beg[4] , \tile_x2y11_nn4beg[3] , \tile_x2y11_nn4beg[2] , \tile_x2y11_nn4beg[1] , \tile_x2y11_nn4beg[0] }),
.s1beg({ \tile_x2y10_s1beg[3] , \tile_x2y10_s1beg[2] , \tile_x2y10_s1beg[1] , \tile_x2y10_s1beg[0] }),
.s1end({ \tile_x2y9_s1beg[3] , \tile_x2y9_s1beg[2] , \tile_x2y9_s1beg[1] , \tile_x2y9_s1beg[0] }),
.s2beg({ \tile_x2y10_s2beg[7] , \tile_x2y10_s2beg[6] , \tile_x2y10_s2beg[5] , \tile_x2y10_s2beg[4] , \tile_x2y10_s2beg[3] , \tile_x2y10_s2beg[2] , \tile_x2y10_s2beg[1] , \tile_x2y10_s2beg[0] }),
.s2begb({ \tile_x2y10_s2begb[7] , \tile_x2y10_s2begb[6] , \tile_x2y10_s2begb[5] , \tile_x2y10_s2begb[4] , \tile_x2y10_s2begb[3] , \tile_x2y10_s2begb[2] , \tile_x2y10_s2begb[1] , \tile_x2y10_s2begb[0] }),
.s2end({ \tile_x2y9_s2begb[7] , \tile_x2y9_s2begb[6] , \tile_x2y9_s2begb[5] , \tile_x2y9_s2begb[4] , \tile_x2y9_s2begb[3] , \tile_x2y9_s2begb[2] , \tile_x2y9_s2begb[1] , \tile_x2y9_s2begb[0] }),
.s2mid({ \tile_x2y9_s2beg[7] , \tile_x2y9_s2beg[6] , \tile_x2y9_s2beg[5] , \tile_x2y9_s2beg[4] , \tile_x2y9_s2beg[3] , \tile_x2y9_s2beg[2] , \tile_x2y9_s2beg[1] , \tile_x2y9_s2beg[0] }),
.s4beg({ \tile_x2y10_s4beg[15] , \tile_x2y10_s4beg[14] , \tile_x2y10_s4beg[13] , \tile_x2y10_s4beg[12] , \tile_x2y10_s4beg[11] , \tile_x2y10_s4beg[10] , \tile_x2y10_s4beg[9] , \tile_x2y10_s4beg[8] , \tile_x2y10_s4beg[7] , \tile_x2y10_s4beg[6] , \tile_x2y10_s4beg[5] , \tile_x2y10_s4beg[4] , \tile_x2y10_s4beg[3] , \tile_x2y10_s4beg[2] , \tile_x2y10_s4beg[1] , \tile_x2y10_s4beg[0] }),
.s4end({ \tile_x2y9_s4beg[15] , \tile_x2y9_s4beg[14] , \tile_x2y9_s4beg[13] , \tile_x2y9_s4beg[12] , \tile_x2y9_s4beg[11] , \tile_x2y9_s4beg[10] , \tile_x2y9_s4beg[9] , \tile_x2y9_s4beg[8] , \tile_x2y9_s4beg[7] , \tile_x2y9_s4beg[6] , \tile_x2y9_s4beg[5] , \tile_x2y9_s4beg[4] , \tile_x2y9_s4beg[3] , \tile_x2y9_s4beg[2] , \tile_x2y9_s4beg[1] , \tile_x2y9_s4beg[0] }),
.ss4beg({ \tile_x2y10_ss4beg[15] , \tile_x2y10_ss4beg[14] , \tile_x2y10_ss4beg[13] , \tile_x2y10_ss4beg[12] , \tile_x2y10_ss4beg[11] , \tile_x2y10_ss4beg[10] , \tile_x2y10_ss4beg[9] , \tile_x2y10_ss4beg[8] , \tile_x2y10_ss4beg[7] , \tile_x2y10_ss4beg[6] , \tile_x2y10_ss4beg[5] , \tile_x2y10_ss4beg[4] , \tile_x2y10_ss4beg[3] , \tile_x2y10_ss4beg[2] , \tile_x2y10_ss4beg[1] , \tile_x2y10_ss4beg[0] }),
.ss4end({ \tile_x2y9_ss4beg[15] , \tile_x2y9_ss4beg[14] , \tile_x2y9_ss4beg[13] , \tile_x2y9_ss4beg[12] , \tile_x2y9_ss4beg[11] , \tile_x2y9_ss4beg[10] , \tile_x2y9_ss4beg[9] , \tile_x2y9_ss4beg[8] , \tile_x2y9_ss4beg[7] , \tile_x2y9_ss4beg[6] , \tile_x2y9_ss4beg[5] , \tile_x2y9_ss4beg[4] , \tile_x2y9_ss4beg[3] , \tile_x2y9_ss4beg[2] , \tile_x2y9_ss4beg[1] , \tile_x2y9_ss4beg[0] }),
.userclk(tile_x2y11_userclko),
.userclko(tile_x2y10_userclko),
.w1beg({ \tile_x2y10_w1beg[3] , \tile_x2y10_w1beg[2] , \tile_x2y10_w1beg[1] , \tile_x2y10_w1beg[0] }),
.w1end({ \tile_x3y10_w1beg[3] , \tile_x3y10_w1beg[2] , \tile_x3y10_w1beg[1] , \tile_x3y10_w1beg[0] }),
.w2beg({ \tile_x2y10_w2beg[7] , \tile_x2y10_w2beg[6] , \tile_x2y10_w2beg[5] , \tile_x2y10_w2beg[4] , \tile_x2y10_w2beg[3] , \tile_x2y10_w2beg[2] , \tile_x2y10_w2beg[1] , \tile_x2y10_w2beg[0] }),
.w2begb({ \tile_x2y10_w2begb[7] , \tile_x2y10_w2begb[6] , \tile_x2y10_w2begb[5] , \tile_x2y10_w2begb[4] , \tile_x2y10_w2begb[3] , \tile_x2y10_w2begb[2] , \tile_x2y10_w2begb[1] , \tile_x2y10_w2begb[0] }),
.w2end({ \tile_x3y10_w2begb[7] , \tile_x3y10_w2begb[6] , \tile_x3y10_w2begb[5] , \tile_x3y10_w2begb[4] , \tile_x3y10_w2begb[3] , \tile_x3y10_w2begb[2] , \tile_x3y10_w2begb[1] , \tile_x3y10_w2begb[0] }),
.w2mid({ \tile_x3y10_w2beg[7] , \tile_x3y10_w2beg[6] , \tile_x3y10_w2beg[5] , \tile_x3y10_w2beg[4] , \tile_x3y10_w2beg[3] , \tile_x3y10_w2beg[2] , \tile_x3y10_w2beg[1] , \tile_x3y10_w2beg[0] }),
.w6beg({ \tile_x2y10_w6beg[11] , \tile_x2y10_w6beg[10] , \tile_x2y10_w6beg[9] , \tile_x2y10_w6beg[8] , \tile_x2y10_w6beg[7] , \tile_x2y10_w6beg[6] , \tile_x2y10_w6beg[5] , \tile_x2y10_w6beg[4] , \tile_x2y10_w6beg[3] , \tile_x2y10_w6beg[2] , \tile_x2y10_w6beg[1] , \tile_x2y10_w6beg[0] }),
.w6end({ \tile_x3y10_w6beg[11] , \tile_x3y10_w6beg[10] , \tile_x3y10_w6beg[9] , \tile_x3y10_w6beg[8] , \tile_x3y10_w6beg[7] , \tile_x3y10_w6beg[6] , \tile_x3y10_w6beg[5] , \tile_x3y10_w6beg[4] , \tile_x3y10_w6beg[3] , \tile_x3y10_w6beg[2] , \tile_x3y10_w6beg[1] , \tile_x3y10_w6beg[0] }),
.ww4beg({ \tile_x2y10_ww4beg[15] , \tile_x2y10_ww4beg[14] , \tile_x2y10_ww4beg[13] , \tile_x2y10_ww4beg[12] , \tile_x2y10_ww4beg[11] , \tile_x2y10_ww4beg[10] , \tile_x2y10_ww4beg[9] , \tile_x2y10_ww4beg[8] , \tile_x2y10_ww4beg[7] , \tile_x2y10_ww4beg[6] , \tile_x2y10_ww4beg[5] , \tile_x2y10_ww4beg[4] , \tile_x2y10_ww4beg[3] , \tile_x2y10_ww4beg[2] , \tile_x2y10_ww4beg[1] , \tile_x2y10_ww4beg[0] }),
.ww4end({ \tile_x3y10_ww4beg[15] , \tile_x3y10_ww4beg[14] , \tile_x3y10_ww4beg[13] , \tile_x3y10_ww4beg[12] , \tile_x3y10_ww4beg[11] , \tile_x3y10_ww4beg[10] , \tile_x3y10_ww4beg[9] , \tile_x3y10_ww4beg[8] , \tile_x3y10_ww4beg[7] , \tile_x3y10_ww4beg[6] , \tile_x3y10_ww4beg[5] , \tile_x3y10_ww4beg[4] , \tile_x3y10_ww4beg[3] , \tile_x3y10_ww4beg[2] , \tile_x3y10_ww4beg[1] , \tile_x3y10_ww4beg[0] })
);
regfile tile_x2y11_regfile (
.e1beg({ \tile_x2y11_e1beg[3] , \tile_x2y11_e1beg[2] , \tile_x2y11_e1beg[1] , \tile_x2y11_e1beg[0] }),
.e1end({ \tile_x1y11_e1beg[3] , \tile_x1y11_e1beg[2] , \tile_x1y11_e1beg[1] , \tile_x1y11_e1beg[0] }),
.e2beg({ \tile_x2y11_e2beg[7] , \tile_x2y11_e2beg[6] , \tile_x2y11_e2beg[5] , \tile_x2y11_e2beg[4] , \tile_x2y11_e2beg[3] , \tile_x2y11_e2beg[2] , \tile_x2y11_e2beg[1] , \tile_x2y11_e2beg[0] }),
.e2begb({ \tile_x2y11_e2begb[7] , \tile_x2y11_e2begb[6] , \tile_x2y11_e2begb[5] , \tile_x2y11_e2begb[4] , \tile_x2y11_e2begb[3] , \tile_x2y11_e2begb[2] , \tile_x2y11_e2begb[1] , \tile_x2y11_e2begb[0] }),
.e2end({ \tile_x1y11_e2begb[7] , \tile_x1y11_e2begb[6] , \tile_x1y11_e2begb[5] , \tile_x1y11_e2begb[4] , \tile_x1y11_e2begb[3] , \tile_x1y11_e2begb[2] , \tile_x1y11_e2begb[1] , \tile_x1y11_e2begb[0] }),
.e2mid({ \tile_x1y11_e2beg[7] , \tile_x1y11_e2beg[6] , \tile_x1y11_e2beg[5] , \tile_x1y11_e2beg[4] , \tile_x1y11_e2beg[3] , \tile_x1y11_e2beg[2] , \tile_x1y11_e2beg[1] , \tile_x1y11_e2beg[0] }),
.e6beg({ \tile_x2y11_e6beg[11] , \tile_x2y11_e6beg[10] , \tile_x2y11_e6beg[9] , \tile_x2y11_e6beg[8] , \tile_x2y11_e6beg[7] , \tile_x2y11_e6beg[6] , \tile_x2y11_e6beg[5] , \tile_x2y11_e6beg[4] , \tile_x2y11_e6beg[3] , \tile_x2y11_e6beg[2] , \tile_x2y11_e6beg[1] , \tile_x2y11_e6beg[0] }),
.e6end({ \tile_x1y11_e6beg[11] , \tile_x1y11_e6beg[10] , \tile_x1y11_e6beg[9] , \tile_x1y11_e6beg[8] , \tile_x1y11_e6beg[7] , \tile_x1y11_e6beg[6] , \tile_x1y11_e6beg[5] , \tile_x1y11_e6beg[4] , \tile_x1y11_e6beg[3] , \tile_x1y11_e6beg[2] , \tile_x1y11_e6beg[1] , \tile_x1y11_e6beg[0] }),
.ee4beg({ \tile_x2y11_ee4beg[15] , \tile_x2y11_ee4beg[14] , \tile_x2y11_ee4beg[13] , \tile_x2y11_ee4beg[12] , \tile_x2y11_ee4beg[11] , \tile_x2y11_ee4beg[10] , \tile_x2y11_ee4beg[9] , \tile_x2y11_ee4beg[8] , \tile_x2y11_ee4beg[7] , \tile_x2y11_ee4beg[6] , \tile_x2y11_ee4beg[5] , \tile_x2y11_ee4beg[4] , \tile_x2y11_ee4beg[3] , \tile_x2y11_ee4beg[2] , \tile_x2y11_ee4beg[1] , \tile_x2y11_ee4beg[0] }),
.ee4end({ \tile_x1y11_ee4beg[15] , \tile_x1y11_ee4beg[14] , \tile_x1y11_ee4beg[13] , \tile_x1y11_ee4beg[12] , \tile_x1y11_ee4beg[11] , \tile_x1y11_ee4beg[10] , \tile_x1y11_ee4beg[9] , \tile_x1y11_ee4beg[8] , \tile_x1y11_ee4beg[7] , \tile_x1y11_ee4beg[6] , \tile_x1y11_ee4beg[5] , \tile_x1y11_ee4beg[4] , \tile_x1y11_ee4beg[3] , \tile_x1y11_ee4beg[2] , \tile_x1y11_ee4beg[1] , \tile_x1y11_ee4beg[0] }),
.framedata({ \tile_x1y11_framedata_o[31] , \tile_x1y11_framedata_o[30] , \tile_x1y11_framedata_o[29] , \tile_x1y11_framedata_o[28] , \tile_x1y11_framedata_o[27] , \tile_x1y11_framedata_o[26] , \tile_x1y11_framedata_o[25] , \tile_x1y11_framedata_o[24] , \tile_x1y11_framedata_o[23] , \tile_x1y11_framedata_o[22] , \tile_x1y11_framedata_o[21] , \tile_x1y11_framedata_o[20] , \tile_x1y11_framedata_o[19] , \tile_x1y11_framedata_o[18] , \tile_x1y11_framedata_o[17] , \tile_x1y11_framedata_o[16] , \tile_x1y11_framedata_o[15] , \tile_x1y11_framedata_o[14] , \tile_x1y11_framedata_o[13] , \tile_x1y11_framedata_o[12] , \tile_x1y11_framedata_o[11] , \tile_x1y11_framedata_o[10] , \tile_x1y11_framedata_o[9] , \tile_x1y11_framedata_o[8] , \tile_x1y11_framedata_o[7] , \tile_x1y11_framedata_o[6] , \tile_x1y11_framedata_o[5] , \tile_x1y11_framedata_o[4] , \tile_x1y11_framedata_o[3] , \tile_x1y11_framedata_o[2] , \tile_x1y11_framedata_o[1] , \tile_x1y11_framedata_o[0] }),
.framedata_o({ \tile_x2y11_framedata_o[31] , \tile_x2y11_framedata_o[30] , \tile_x2y11_framedata_o[29] , \tile_x2y11_framedata_o[28] , \tile_x2y11_framedata_o[27] , \tile_x2y11_framedata_o[26] , \tile_x2y11_framedata_o[25] , \tile_x2y11_framedata_o[24] , \tile_x2y11_framedata_o[23] , \tile_x2y11_framedata_o[22] , \tile_x2y11_framedata_o[21] , \tile_x2y11_framedata_o[20] , \tile_x2y11_framedata_o[19] , \tile_x2y11_framedata_o[18] , \tile_x2y11_framedata_o[17] , \tile_x2y11_framedata_o[16] , \tile_x2y11_framedata_o[15] , \tile_x2y11_framedata_o[14] , \tile_x2y11_framedata_o[13] , \tile_x2y11_framedata_o[12] , \tile_x2y11_framedata_o[11] , \tile_x2y11_framedata_o[10] , \tile_x2y11_framedata_o[9] , \tile_x2y11_framedata_o[8] , \tile_x2y11_framedata_o[7] , \tile_x2y11_framedata_o[6] , \tile_x2y11_framedata_o[5] , \tile_x2y11_framedata_o[4] , \tile_x2y11_framedata_o[3] , \tile_x2y11_framedata_o[2] , \tile_x2y11_framedata_o[1] , \tile_x2y11_framedata_o[0] }),
.framestrobe({ \tile_x2y12_framestrobe_o[19] , \tile_x2y12_framestrobe_o[18] , \tile_x2y12_framestrobe_o[17] , \tile_x2y12_framestrobe_o[16] , \tile_x2y12_framestrobe_o[15] , \tile_x2y12_framestrobe_o[14] , \tile_x2y12_framestrobe_o[13] , \tile_x2y12_framestrobe_o[12] , \tile_x2y12_framestrobe_o[11] , \tile_x2y12_framestrobe_o[10] , \tile_x2y12_framestrobe_o[9] , \tile_x2y12_framestrobe_o[8] , \tile_x2y12_framestrobe_o[7] , \tile_x2y12_framestrobe_o[6] , \tile_x2y12_framestrobe_o[5] , \tile_x2y12_framestrobe_o[4] , \tile_x2y12_framestrobe_o[3] , \tile_x2y12_framestrobe_o[2] , \tile_x2y12_framestrobe_o[1] , \tile_x2y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x2y11_framestrobe_o[19] , \tile_x2y11_framestrobe_o[18] , \tile_x2y11_framestrobe_o[17] , \tile_x2y11_framestrobe_o[16] , \tile_x2y11_framestrobe_o[15] , \tile_x2y11_framestrobe_o[14] , \tile_x2y11_framestrobe_o[13] , \tile_x2y11_framestrobe_o[12] , \tile_x2y11_framestrobe_o[11] , \tile_x2y11_framestrobe_o[10] , \tile_x2y11_framestrobe_o[9] , \tile_x2y11_framestrobe_o[8] , \tile_x2y11_framestrobe_o[7] , \tile_x2y11_framestrobe_o[6] , \tile_x2y11_framestrobe_o[5] , \tile_x2y11_framestrobe_o[4] , \tile_x2y11_framestrobe_o[3] , \tile_x2y11_framestrobe_o[2] , \tile_x2y11_framestrobe_o[1] , \tile_x2y11_framestrobe_o[0] }),
.n1beg({ \tile_x2y11_n1beg[3] , \tile_x2y11_n1beg[2] , \tile_x2y11_n1beg[1] , \tile_x2y11_n1beg[0] }),
.n1end({ \tile_x2y12_n1beg[3] , \tile_x2y12_n1beg[2] , \tile_x2y12_n1beg[1] , \tile_x2y12_n1beg[0] }),
.n2beg({ \tile_x2y11_n2beg[7] , \tile_x2y11_n2beg[6] , \tile_x2y11_n2beg[5] , \tile_x2y11_n2beg[4] , \tile_x2y11_n2beg[3] , \tile_x2y11_n2beg[2] , \tile_x2y11_n2beg[1] , \tile_x2y11_n2beg[0] }),
.n2begb({ \tile_x2y11_n2begb[7] , \tile_x2y11_n2begb[6] , \tile_x2y11_n2begb[5] , \tile_x2y11_n2begb[4] , \tile_x2y11_n2begb[3] , \tile_x2y11_n2begb[2] , \tile_x2y11_n2begb[1] , \tile_x2y11_n2begb[0] }),
.n2end({ \tile_x2y12_n2begb[7] , \tile_x2y12_n2begb[6] , \tile_x2y12_n2begb[5] , \tile_x2y12_n2begb[4] , \tile_x2y12_n2begb[3] , \tile_x2y12_n2begb[2] , \tile_x2y12_n2begb[1] , \tile_x2y12_n2begb[0] }),
.n2mid({ \tile_x2y12_n2beg[7] , \tile_x2y12_n2beg[6] , \tile_x2y12_n2beg[5] , \tile_x2y12_n2beg[4] , \tile_x2y12_n2beg[3] , \tile_x2y12_n2beg[2] , \tile_x2y12_n2beg[1] , \tile_x2y12_n2beg[0] }),
.n4beg({ \tile_x2y11_n4beg[15] , \tile_x2y11_n4beg[14] , \tile_x2y11_n4beg[13] , \tile_x2y11_n4beg[12] , \tile_x2y11_n4beg[11] , \tile_x2y11_n4beg[10] , \tile_x2y11_n4beg[9] , \tile_x2y11_n4beg[8] , \tile_x2y11_n4beg[7] , \tile_x2y11_n4beg[6] , \tile_x2y11_n4beg[5] , \tile_x2y11_n4beg[4] , \tile_x2y11_n4beg[3] , \tile_x2y11_n4beg[2] , \tile_x2y11_n4beg[1] , \tile_x2y11_n4beg[0] }),
.n4end({ \tile_x2y12_n4beg[15] , \tile_x2y12_n4beg[14] , \tile_x2y12_n4beg[13] , \tile_x2y12_n4beg[12] , \tile_x2y12_n4beg[11] , \tile_x2y12_n4beg[10] , \tile_x2y12_n4beg[9] , \tile_x2y12_n4beg[8] , \tile_x2y12_n4beg[7] , \tile_x2y12_n4beg[6] , \tile_x2y12_n4beg[5] , \tile_x2y12_n4beg[4] , \tile_x2y12_n4beg[3] , \tile_x2y12_n4beg[2] , \tile_x2y12_n4beg[1] , \tile_x2y12_n4beg[0] }),
.nn4beg({ \tile_x2y11_nn4beg[15] , \tile_x2y11_nn4beg[14] , \tile_x2y11_nn4beg[13] , \tile_x2y11_nn4beg[12] , \tile_x2y11_nn4beg[11] , \tile_x2y11_nn4beg[10] , \tile_x2y11_nn4beg[9] , \tile_x2y11_nn4beg[8] , \tile_x2y11_nn4beg[7] , \tile_x2y11_nn4beg[6] , \tile_x2y11_nn4beg[5] , \tile_x2y11_nn4beg[4] , \tile_x2y11_nn4beg[3] , \tile_x2y11_nn4beg[2] , \tile_x2y11_nn4beg[1] , \tile_x2y11_nn4beg[0] }),
.nn4end({ \tile_x2y12_nn4beg[15] , \tile_x2y12_nn4beg[14] , \tile_x2y12_nn4beg[13] , \tile_x2y12_nn4beg[12] , \tile_x2y12_nn4beg[11] , \tile_x2y12_nn4beg[10] , \tile_x2y12_nn4beg[9] , \tile_x2y12_nn4beg[8] , \tile_x2y12_nn4beg[7] , \tile_x2y12_nn4beg[6] , \tile_x2y12_nn4beg[5] , \tile_x2y12_nn4beg[4] , \tile_x2y12_nn4beg[3] , \tile_x2y12_nn4beg[2] , \tile_x2y12_nn4beg[1] , \tile_x2y12_nn4beg[0] }),
.s1beg({ \tile_x2y11_s1beg[3] , \tile_x2y11_s1beg[2] , \tile_x2y11_s1beg[1] , \tile_x2y11_s1beg[0] }),
.s1end({ \tile_x2y10_s1beg[3] , \tile_x2y10_s1beg[2] , \tile_x2y10_s1beg[1] , \tile_x2y10_s1beg[0] }),
.s2beg({ \tile_x2y11_s2beg[7] , \tile_x2y11_s2beg[6] , \tile_x2y11_s2beg[5] , \tile_x2y11_s2beg[4] , \tile_x2y11_s2beg[3] , \tile_x2y11_s2beg[2] , \tile_x2y11_s2beg[1] , \tile_x2y11_s2beg[0] }),
.s2begb({ \tile_x2y11_s2begb[7] , \tile_x2y11_s2begb[6] , \tile_x2y11_s2begb[5] , \tile_x2y11_s2begb[4] , \tile_x2y11_s2begb[3] , \tile_x2y11_s2begb[2] , \tile_x2y11_s2begb[1] , \tile_x2y11_s2begb[0] }),
.s2end({ \tile_x2y10_s2begb[7] , \tile_x2y10_s2begb[6] , \tile_x2y10_s2begb[5] , \tile_x2y10_s2begb[4] , \tile_x2y10_s2begb[3] , \tile_x2y10_s2begb[2] , \tile_x2y10_s2begb[1] , \tile_x2y10_s2begb[0] }),
.s2mid({ \tile_x2y10_s2beg[7] , \tile_x2y10_s2beg[6] , \tile_x2y10_s2beg[5] , \tile_x2y10_s2beg[4] , \tile_x2y10_s2beg[3] , \tile_x2y10_s2beg[2] , \tile_x2y10_s2beg[1] , \tile_x2y10_s2beg[0] }),
.s4beg({ \tile_x2y11_s4beg[15] , \tile_x2y11_s4beg[14] , \tile_x2y11_s4beg[13] , \tile_x2y11_s4beg[12] , \tile_x2y11_s4beg[11] , \tile_x2y11_s4beg[10] , \tile_x2y11_s4beg[9] , \tile_x2y11_s4beg[8] , \tile_x2y11_s4beg[7] , \tile_x2y11_s4beg[6] , \tile_x2y11_s4beg[5] , \tile_x2y11_s4beg[4] , \tile_x2y11_s4beg[3] , \tile_x2y11_s4beg[2] , \tile_x2y11_s4beg[1] , \tile_x2y11_s4beg[0] }),
.s4end({ \tile_x2y10_s4beg[15] , \tile_x2y10_s4beg[14] , \tile_x2y10_s4beg[13] , \tile_x2y10_s4beg[12] , \tile_x2y10_s4beg[11] , \tile_x2y10_s4beg[10] , \tile_x2y10_s4beg[9] , \tile_x2y10_s4beg[8] , \tile_x2y10_s4beg[7] , \tile_x2y10_s4beg[6] , \tile_x2y10_s4beg[5] , \tile_x2y10_s4beg[4] , \tile_x2y10_s4beg[3] , \tile_x2y10_s4beg[2] , \tile_x2y10_s4beg[1] , \tile_x2y10_s4beg[0] }),
.ss4beg({ \tile_x2y11_ss4beg[15] , \tile_x2y11_ss4beg[14] , \tile_x2y11_ss4beg[13] , \tile_x2y11_ss4beg[12] , \tile_x2y11_ss4beg[11] , \tile_x2y11_ss4beg[10] , \tile_x2y11_ss4beg[9] , \tile_x2y11_ss4beg[8] , \tile_x2y11_ss4beg[7] , \tile_x2y11_ss4beg[6] , \tile_x2y11_ss4beg[5] , \tile_x2y11_ss4beg[4] , \tile_x2y11_ss4beg[3] , \tile_x2y11_ss4beg[2] , \tile_x2y11_ss4beg[1] , \tile_x2y11_ss4beg[0] }),
.ss4end({ \tile_x2y10_ss4beg[15] , \tile_x2y10_ss4beg[14] , \tile_x2y10_ss4beg[13] , \tile_x2y10_ss4beg[12] , \tile_x2y10_ss4beg[11] , \tile_x2y10_ss4beg[10] , \tile_x2y10_ss4beg[9] , \tile_x2y10_ss4beg[8] , \tile_x2y10_ss4beg[7] , \tile_x2y10_ss4beg[6] , \tile_x2y10_ss4beg[5] , \tile_x2y10_ss4beg[4] , \tile_x2y10_ss4beg[3] , \tile_x2y10_ss4beg[2] , \tile_x2y10_ss4beg[1] , \tile_x2y10_ss4beg[0] }),
.userclk(tile_x2y12_userclko),
.userclko(tile_x2y11_userclko),
.w1beg({ \tile_x2y11_w1beg[3] , \tile_x2y11_w1beg[2] , \tile_x2y11_w1beg[1] , \tile_x2y11_w1beg[0] }),
.w1end({ \tile_x3y11_w1beg[3] , \tile_x3y11_w1beg[2] , \tile_x3y11_w1beg[1] , \tile_x3y11_w1beg[0] }),
.w2beg({ \tile_x2y11_w2beg[7] , \tile_x2y11_w2beg[6] , \tile_x2y11_w2beg[5] , \tile_x2y11_w2beg[4] , \tile_x2y11_w2beg[3] , \tile_x2y11_w2beg[2] , \tile_x2y11_w2beg[1] , \tile_x2y11_w2beg[0] }),
.w2begb({ \tile_x2y11_w2begb[7] , \tile_x2y11_w2begb[6] , \tile_x2y11_w2begb[5] , \tile_x2y11_w2begb[4] , \tile_x2y11_w2begb[3] , \tile_x2y11_w2begb[2] , \tile_x2y11_w2begb[1] , \tile_x2y11_w2begb[0] }),
.w2end({ \tile_x3y11_w2begb[7] , \tile_x3y11_w2begb[6] , \tile_x3y11_w2begb[5] , \tile_x3y11_w2begb[4] , \tile_x3y11_w2begb[3] , \tile_x3y11_w2begb[2] , \tile_x3y11_w2begb[1] , \tile_x3y11_w2begb[0] }),
.w2mid({ \tile_x3y11_w2beg[7] , \tile_x3y11_w2beg[6] , \tile_x3y11_w2beg[5] , \tile_x3y11_w2beg[4] , \tile_x3y11_w2beg[3] , \tile_x3y11_w2beg[2] , \tile_x3y11_w2beg[1] , \tile_x3y11_w2beg[0] }),
.w6beg({ \tile_x2y11_w6beg[11] , \tile_x2y11_w6beg[10] , \tile_x2y11_w6beg[9] , \tile_x2y11_w6beg[8] , \tile_x2y11_w6beg[7] , \tile_x2y11_w6beg[6] , \tile_x2y11_w6beg[5] , \tile_x2y11_w6beg[4] , \tile_x2y11_w6beg[3] , \tile_x2y11_w6beg[2] , \tile_x2y11_w6beg[1] , \tile_x2y11_w6beg[0] }),
.w6end({ \tile_x3y11_w6beg[11] , \tile_x3y11_w6beg[10] , \tile_x3y11_w6beg[9] , \tile_x3y11_w6beg[8] , \tile_x3y11_w6beg[7] , \tile_x3y11_w6beg[6] , \tile_x3y11_w6beg[5] , \tile_x3y11_w6beg[4] , \tile_x3y11_w6beg[3] , \tile_x3y11_w6beg[2] , \tile_x3y11_w6beg[1] , \tile_x3y11_w6beg[0] }),
.ww4beg({ \tile_x2y11_ww4beg[15] , \tile_x2y11_ww4beg[14] , \tile_x2y11_ww4beg[13] , \tile_x2y11_ww4beg[12] , \tile_x2y11_ww4beg[11] , \tile_x2y11_ww4beg[10] , \tile_x2y11_ww4beg[9] , \tile_x2y11_ww4beg[8] , \tile_x2y11_ww4beg[7] , \tile_x2y11_ww4beg[6] , \tile_x2y11_ww4beg[5] , \tile_x2y11_ww4beg[4] , \tile_x2y11_ww4beg[3] , \tile_x2y11_ww4beg[2] , \tile_x2y11_ww4beg[1] , \tile_x2y11_ww4beg[0] }),
.ww4end({ \tile_x3y11_ww4beg[15] , \tile_x3y11_ww4beg[14] , \tile_x3y11_ww4beg[13] , \tile_x3y11_ww4beg[12] , \tile_x3y11_ww4beg[11] , \tile_x3y11_ww4beg[10] , \tile_x3y11_ww4beg[9] , \tile_x3y11_ww4beg[8] , \tile_x3y11_ww4beg[7] , \tile_x3y11_ww4beg[6] , \tile_x3y11_ww4beg[5] , \tile_x3y11_ww4beg[4] , \tile_x3y11_ww4beg[3] , \tile_x3y11_ww4beg[2] , \tile_x3y11_ww4beg[1] , \tile_x3y11_ww4beg[0] })
);
regfile tile_x2y12_regfile (
.e1beg({ \tile_x2y12_e1beg[3] , \tile_x2y12_e1beg[2] , \tile_x2y12_e1beg[1] , \tile_x2y12_e1beg[0] }),
.e1end({ \tile_x1y12_e1beg[3] , \tile_x1y12_e1beg[2] , \tile_x1y12_e1beg[1] , \tile_x1y12_e1beg[0] }),
.e2beg({ \tile_x2y12_e2beg[7] , \tile_x2y12_e2beg[6] , \tile_x2y12_e2beg[5] , \tile_x2y12_e2beg[4] , \tile_x2y12_e2beg[3] , \tile_x2y12_e2beg[2] , \tile_x2y12_e2beg[1] , \tile_x2y12_e2beg[0] }),
.e2begb({ \tile_x2y12_e2begb[7] , \tile_x2y12_e2begb[6] , \tile_x2y12_e2begb[5] , \tile_x2y12_e2begb[4] , \tile_x2y12_e2begb[3] , \tile_x2y12_e2begb[2] , \tile_x2y12_e2begb[1] , \tile_x2y12_e2begb[0] }),
.e2end({ \tile_x1y12_e2begb[7] , \tile_x1y12_e2begb[6] , \tile_x1y12_e2begb[5] , \tile_x1y12_e2begb[4] , \tile_x1y12_e2begb[3] , \tile_x1y12_e2begb[2] , \tile_x1y12_e2begb[1] , \tile_x1y12_e2begb[0] }),
.e2mid({ \tile_x1y12_e2beg[7] , \tile_x1y12_e2beg[6] , \tile_x1y12_e2beg[5] , \tile_x1y12_e2beg[4] , \tile_x1y12_e2beg[3] , \tile_x1y12_e2beg[2] , \tile_x1y12_e2beg[1] , \tile_x1y12_e2beg[0] }),
.e6beg({ \tile_x2y12_e6beg[11] , \tile_x2y12_e6beg[10] , \tile_x2y12_e6beg[9] , \tile_x2y12_e6beg[8] , \tile_x2y12_e6beg[7] , \tile_x2y12_e6beg[6] , \tile_x2y12_e6beg[5] , \tile_x2y12_e6beg[4] , \tile_x2y12_e6beg[3] , \tile_x2y12_e6beg[2] , \tile_x2y12_e6beg[1] , \tile_x2y12_e6beg[0] }),
.e6end({ \tile_x1y12_e6beg[11] , \tile_x1y12_e6beg[10] , \tile_x1y12_e6beg[9] , \tile_x1y12_e6beg[8] , \tile_x1y12_e6beg[7] , \tile_x1y12_e6beg[6] , \tile_x1y12_e6beg[5] , \tile_x1y12_e6beg[4] , \tile_x1y12_e6beg[3] , \tile_x1y12_e6beg[2] , \tile_x1y12_e6beg[1] , \tile_x1y12_e6beg[0] }),
.ee4beg({ \tile_x2y12_ee4beg[15] , \tile_x2y12_ee4beg[14] , \tile_x2y12_ee4beg[13] , \tile_x2y12_ee4beg[12] , \tile_x2y12_ee4beg[11] , \tile_x2y12_ee4beg[10] , \tile_x2y12_ee4beg[9] , \tile_x2y12_ee4beg[8] , \tile_x2y12_ee4beg[7] , \tile_x2y12_ee4beg[6] , \tile_x2y12_ee4beg[5] , \tile_x2y12_ee4beg[4] , \tile_x2y12_ee4beg[3] , \tile_x2y12_ee4beg[2] , \tile_x2y12_ee4beg[1] , \tile_x2y12_ee4beg[0] }),
.ee4end({ \tile_x1y12_ee4beg[15] , \tile_x1y12_ee4beg[14] , \tile_x1y12_ee4beg[13] , \tile_x1y12_ee4beg[12] , \tile_x1y12_ee4beg[11] , \tile_x1y12_ee4beg[10] , \tile_x1y12_ee4beg[9] , \tile_x1y12_ee4beg[8] , \tile_x1y12_ee4beg[7] , \tile_x1y12_ee4beg[6] , \tile_x1y12_ee4beg[5] , \tile_x1y12_ee4beg[4] , \tile_x1y12_ee4beg[3] , \tile_x1y12_ee4beg[2] , \tile_x1y12_ee4beg[1] , \tile_x1y12_ee4beg[0] }),
.framedata({ \tile_x1y12_framedata_o[31] , \tile_x1y12_framedata_o[30] , \tile_x1y12_framedata_o[29] , \tile_x1y12_framedata_o[28] , \tile_x1y12_framedata_o[27] , \tile_x1y12_framedata_o[26] , \tile_x1y12_framedata_o[25] , \tile_x1y12_framedata_o[24] , \tile_x1y12_framedata_o[23] , \tile_x1y12_framedata_o[22] , \tile_x1y12_framedata_o[21] , \tile_x1y12_framedata_o[20] , \tile_x1y12_framedata_o[19] , \tile_x1y12_framedata_o[18] , \tile_x1y12_framedata_o[17] , \tile_x1y12_framedata_o[16] , \tile_x1y12_framedata_o[15] , \tile_x1y12_framedata_o[14] , \tile_x1y12_framedata_o[13] , \tile_x1y12_framedata_o[12] , \tile_x1y12_framedata_o[11] , \tile_x1y12_framedata_o[10] , \tile_x1y12_framedata_o[9] , \tile_x1y12_framedata_o[8] , \tile_x1y12_framedata_o[7] , \tile_x1y12_framedata_o[6] , \tile_x1y12_framedata_o[5] , \tile_x1y12_framedata_o[4] , \tile_x1y12_framedata_o[3] , \tile_x1y12_framedata_o[2] , \tile_x1y12_framedata_o[1] , \tile_x1y12_framedata_o[0] }),
.framedata_o({ \tile_x2y12_framedata_o[31] , \tile_x2y12_framedata_o[30] , \tile_x2y12_framedata_o[29] , \tile_x2y12_framedata_o[28] , \tile_x2y12_framedata_o[27] , \tile_x2y12_framedata_o[26] , \tile_x2y12_framedata_o[25] , \tile_x2y12_framedata_o[24] , \tile_x2y12_framedata_o[23] , \tile_x2y12_framedata_o[22] , \tile_x2y12_framedata_o[21] , \tile_x2y12_framedata_o[20] , \tile_x2y12_framedata_o[19] , \tile_x2y12_framedata_o[18] , \tile_x2y12_framedata_o[17] , \tile_x2y12_framedata_o[16] , \tile_x2y12_framedata_o[15] , \tile_x2y12_framedata_o[14] , \tile_x2y12_framedata_o[13] , \tile_x2y12_framedata_o[12] , \tile_x2y12_framedata_o[11] , \tile_x2y12_framedata_o[10] , \tile_x2y12_framedata_o[9] , \tile_x2y12_framedata_o[8] , \tile_x2y12_framedata_o[7] , \tile_x2y12_framedata_o[6] , \tile_x2y12_framedata_o[5] , \tile_x2y12_framedata_o[4] , \tile_x2y12_framedata_o[3] , \tile_x2y12_framedata_o[2] , \tile_x2y12_framedata_o[1] , \tile_x2y12_framedata_o[0] }),
.framestrobe({ \tile_x2y13_framestrobe_o[19] , \tile_x2y13_framestrobe_o[18] , \tile_x2y13_framestrobe_o[17] , \tile_x2y13_framestrobe_o[16] , \tile_x2y13_framestrobe_o[15] , \tile_x2y13_framestrobe_o[14] , \tile_x2y13_framestrobe_o[13] , \tile_x2y13_framestrobe_o[12] , \tile_x2y13_framestrobe_o[11] , \tile_x2y13_framestrobe_o[10] , \tile_x2y13_framestrobe_o[9] , \tile_x2y13_framestrobe_o[8] , \tile_x2y13_framestrobe_o[7] , \tile_x2y13_framestrobe_o[6] , \tile_x2y13_framestrobe_o[5] , \tile_x2y13_framestrobe_o[4] , \tile_x2y13_framestrobe_o[3] , \tile_x2y13_framestrobe_o[2] , \tile_x2y13_framestrobe_o[1] , \tile_x2y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x2y12_framestrobe_o[19] , \tile_x2y12_framestrobe_o[18] , \tile_x2y12_framestrobe_o[17] , \tile_x2y12_framestrobe_o[16] , \tile_x2y12_framestrobe_o[15] , \tile_x2y12_framestrobe_o[14] , \tile_x2y12_framestrobe_o[13] , \tile_x2y12_framestrobe_o[12] , \tile_x2y12_framestrobe_o[11] , \tile_x2y12_framestrobe_o[10] , \tile_x2y12_framestrobe_o[9] , \tile_x2y12_framestrobe_o[8] , \tile_x2y12_framestrobe_o[7] , \tile_x2y12_framestrobe_o[6] , \tile_x2y12_framestrobe_o[5] , \tile_x2y12_framestrobe_o[4] , \tile_x2y12_framestrobe_o[3] , \tile_x2y12_framestrobe_o[2] , \tile_x2y12_framestrobe_o[1] , \tile_x2y12_framestrobe_o[0] }),
.n1beg({ \tile_x2y12_n1beg[3] , \tile_x2y12_n1beg[2] , \tile_x2y12_n1beg[1] , \tile_x2y12_n1beg[0] }),
.n1end({ \tile_x2y13_n1beg[3] , \tile_x2y13_n1beg[2] , \tile_x2y13_n1beg[1] , \tile_x2y13_n1beg[0] }),
.n2beg({ \tile_x2y12_n2beg[7] , \tile_x2y12_n2beg[6] , \tile_x2y12_n2beg[5] , \tile_x2y12_n2beg[4] , \tile_x2y12_n2beg[3] , \tile_x2y12_n2beg[2] , \tile_x2y12_n2beg[1] , \tile_x2y12_n2beg[0] }),
.n2begb({ \tile_x2y12_n2begb[7] , \tile_x2y12_n2begb[6] , \tile_x2y12_n2begb[5] , \tile_x2y12_n2begb[4] , \tile_x2y12_n2begb[3] , \tile_x2y12_n2begb[2] , \tile_x2y12_n2begb[1] , \tile_x2y12_n2begb[0] }),
.n2end({ \tile_x2y13_n2begb[7] , \tile_x2y13_n2begb[6] , \tile_x2y13_n2begb[5] , \tile_x2y13_n2begb[4] , \tile_x2y13_n2begb[3] , \tile_x2y13_n2begb[2] , \tile_x2y13_n2begb[1] , \tile_x2y13_n2begb[0] }),
.n2mid({ \tile_x2y13_n2beg[7] , \tile_x2y13_n2beg[6] , \tile_x2y13_n2beg[5] , \tile_x2y13_n2beg[4] , \tile_x2y13_n2beg[3] , \tile_x2y13_n2beg[2] , \tile_x2y13_n2beg[1] , \tile_x2y13_n2beg[0] }),
.n4beg({ \tile_x2y12_n4beg[15] , \tile_x2y12_n4beg[14] , \tile_x2y12_n4beg[13] , \tile_x2y12_n4beg[12] , \tile_x2y12_n4beg[11] , \tile_x2y12_n4beg[10] , \tile_x2y12_n4beg[9] , \tile_x2y12_n4beg[8] , \tile_x2y12_n4beg[7] , \tile_x2y12_n4beg[6] , \tile_x2y12_n4beg[5] , \tile_x2y12_n4beg[4] , \tile_x2y12_n4beg[3] , \tile_x2y12_n4beg[2] , \tile_x2y12_n4beg[1] , \tile_x2y12_n4beg[0] }),
.n4end({ \tile_x2y13_n4beg[15] , \tile_x2y13_n4beg[14] , \tile_x2y13_n4beg[13] , \tile_x2y13_n4beg[12] , \tile_x2y13_n4beg[11] , \tile_x2y13_n4beg[10] , \tile_x2y13_n4beg[9] , \tile_x2y13_n4beg[8] , \tile_x2y13_n4beg[7] , \tile_x2y13_n4beg[6] , \tile_x2y13_n4beg[5] , \tile_x2y13_n4beg[4] , \tile_x2y13_n4beg[3] , \tile_x2y13_n4beg[2] , \tile_x2y13_n4beg[1] , \tile_x2y13_n4beg[0] }),
.nn4beg({ \tile_x2y12_nn4beg[15] , \tile_x2y12_nn4beg[14] , \tile_x2y12_nn4beg[13] , \tile_x2y12_nn4beg[12] , \tile_x2y12_nn4beg[11] , \tile_x2y12_nn4beg[10] , \tile_x2y12_nn4beg[9] , \tile_x2y12_nn4beg[8] , \tile_x2y12_nn4beg[7] , \tile_x2y12_nn4beg[6] , \tile_x2y12_nn4beg[5] , \tile_x2y12_nn4beg[4] , \tile_x2y12_nn4beg[3] , \tile_x2y12_nn4beg[2] , \tile_x2y12_nn4beg[1] , \tile_x2y12_nn4beg[0] }),
.nn4end({ \tile_x2y13_nn4beg[15] , \tile_x2y13_nn4beg[14] , \tile_x2y13_nn4beg[13] , \tile_x2y13_nn4beg[12] , \tile_x2y13_nn4beg[11] , \tile_x2y13_nn4beg[10] , \tile_x2y13_nn4beg[9] , \tile_x2y13_nn4beg[8] , \tile_x2y13_nn4beg[7] , \tile_x2y13_nn4beg[6] , \tile_x2y13_nn4beg[5] , \tile_x2y13_nn4beg[4] , \tile_x2y13_nn4beg[3] , \tile_x2y13_nn4beg[2] , \tile_x2y13_nn4beg[1] , \tile_x2y13_nn4beg[0] }),
.s1beg({ \tile_x2y12_s1beg[3] , \tile_x2y12_s1beg[2] , \tile_x2y12_s1beg[1] , \tile_x2y12_s1beg[0] }),
.s1end({ \tile_x2y11_s1beg[3] , \tile_x2y11_s1beg[2] , \tile_x2y11_s1beg[1] , \tile_x2y11_s1beg[0] }),
.s2beg({ \tile_x2y12_s2beg[7] , \tile_x2y12_s2beg[6] , \tile_x2y12_s2beg[5] , \tile_x2y12_s2beg[4] , \tile_x2y12_s2beg[3] , \tile_x2y12_s2beg[2] , \tile_x2y12_s2beg[1] , \tile_x2y12_s2beg[0] }),
.s2begb({ \tile_x2y12_s2begb[7] , \tile_x2y12_s2begb[6] , \tile_x2y12_s2begb[5] , \tile_x2y12_s2begb[4] , \tile_x2y12_s2begb[3] , \tile_x2y12_s2begb[2] , \tile_x2y12_s2begb[1] , \tile_x2y12_s2begb[0] }),
.s2end({ \tile_x2y11_s2begb[7] , \tile_x2y11_s2begb[6] , \tile_x2y11_s2begb[5] , \tile_x2y11_s2begb[4] , \tile_x2y11_s2begb[3] , \tile_x2y11_s2begb[2] , \tile_x2y11_s2begb[1] , \tile_x2y11_s2begb[0] }),
.s2mid({ \tile_x2y11_s2beg[7] , \tile_x2y11_s2beg[6] , \tile_x2y11_s2beg[5] , \tile_x2y11_s2beg[4] , \tile_x2y11_s2beg[3] , \tile_x2y11_s2beg[2] , \tile_x2y11_s2beg[1] , \tile_x2y11_s2beg[0] }),
.s4beg({ \tile_x2y12_s4beg[15] , \tile_x2y12_s4beg[14] , \tile_x2y12_s4beg[13] , \tile_x2y12_s4beg[12] , \tile_x2y12_s4beg[11] , \tile_x2y12_s4beg[10] , \tile_x2y12_s4beg[9] , \tile_x2y12_s4beg[8] , \tile_x2y12_s4beg[7] , \tile_x2y12_s4beg[6] , \tile_x2y12_s4beg[5] , \tile_x2y12_s4beg[4] , \tile_x2y12_s4beg[3] , \tile_x2y12_s4beg[2] , \tile_x2y12_s4beg[1] , \tile_x2y12_s4beg[0] }),
.s4end({ \tile_x2y11_s4beg[15] , \tile_x2y11_s4beg[14] , \tile_x2y11_s4beg[13] , \tile_x2y11_s4beg[12] , \tile_x2y11_s4beg[11] , \tile_x2y11_s4beg[10] , \tile_x2y11_s4beg[9] , \tile_x2y11_s4beg[8] , \tile_x2y11_s4beg[7] , \tile_x2y11_s4beg[6] , \tile_x2y11_s4beg[5] , \tile_x2y11_s4beg[4] , \tile_x2y11_s4beg[3] , \tile_x2y11_s4beg[2] , \tile_x2y11_s4beg[1] , \tile_x2y11_s4beg[0] }),
.ss4beg({ \tile_x2y12_ss4beg[15] , \tile_x2y12_ss4beg[14] , \tile_x2y12_ss4beg[13] , \tile_x2y12_ss4beg[12] , \tile_x2y12_ss4beg[11] , \tile_x2y12_ss4beg[10] , \tile_x2y12_ss4beg[9] , \tile_x2y12_ss4beg[8] , \tile_x2y12_ss4beg[7] , \tile_x2y12_ss4beg[6] , \tile_x2y12_ss4beg[5] , \tile_x2y12_ss4beg[4] , \tile_x2y12_ss4beg[3] , \tile_x2y12_ss4beg[2] , \tile_x2y12_ss4beg[1] , \tile_x2y12_ss4beg[0] }),
.ss4end({ \tile_x2y11_ss4beg[15] , \tile_x2y11_ss4beg[14] , \tile_x2y11_ss4beg[13] , \tile_x2y11_ss4beg[12] , \tile_x2y11_ss4beg[11] , \tile_x2y11_ss4beg[10] , \tile_x2y11_ss4beg[9] , \tile_x2y11_ss4beg[8] , \tile_x2y11_ss4beg[7] , \tile_x2y11_ss4beg[6] , \tile_x2y11_ss4beg[5] , \tile_x2y11_ss4beg[4] , \tile_x2y11_ss4beg[3] , \tile_x2y11_ss4beg[2] , \tile_x2y11_ss4beg[1] , \tile_x2y11_ss4beg[0] }),
.userclk(tile_x2y13_userclko),
.userclko(tile_x2y12_userclko),
.w1beg({ \tile_x2y12_w1beg[3] , \tile_x2y12_w1beg[2] , \tile_x2y12_w1beg[1] , \tile_x2y12_w1beg[0] }),
.w1end({ \tile_x3y12_w1beg[3] , \tile_x3y12_w1beg[2] , \tile_x3y12_w1beg[1] , \tile_x3y12_w1beg[0] }),
.w2beg({ \tile_x2y12_w2beg[7] , \tile_x2y12_w2beg[6] , \tile_x2y12_w2beg[5] , \tile_x2y12_w2beg[4] , \tile_x2y12_w2beg[3] , \tile_x2y12_w2beg[2] , \tile_x2y12_w2beg[1] , \tile_x2y12_w2beg[0] }),
.w2begb({ \tile_x2y12_w2begb[7] , \tile_x2y12_w2begb[6] , \tile_x2y12_w2begb[5] , \tile_x2y12_w2begb[4] , \tile_x2y12_w2begb[3] , \tile_x2y12_w2begb[2] , \tile_x2y12_w2begb[1] , \tile_x2y12_w2begb[0] }),
.w2end({ \tile_x3y12_w2begb[7] , \tile_x3y12_w2begb[6] , \tile_x3y12_w2begb[5] , \tile_x3y12_w2begb[4] , \tile_x3y12_w2begb[3] , \tile_x3y12_w2begb[2] , \tile_x3y12_w2begb[1] , \tile_x3y12_w2begb[0] }),
.w2mid({ \tile_x3y12_w2beg[7] , \tile_x3y12_w2beg[6] , \tile_x3y12_w2beg[5] , \tile_x3y12_w2beg[4] , \tile_x3y12_w2beg[3] , \tile_x3y12_w2beg[2] , \tile_x3y12_w2beg[1] , \tile_x3y12_w2beg[0] }),
.w6beg({ \tile_x2y12_w6beg[11] , \tile_x2y12_w6beg[10] , \tile_x2y12_w6beg[9] , \tile_x2y12_w6beg[8] , \tile_x2y12_w6beg[7] , \tile_x2y12_w6beg[6] , \tile_x2y12_w6beg[5] , \tile_x2y12_w6beg[4] , \tile_x2y12_w6beg[3] , \tile_x2y12_w6beg[2] , \tile_x2y12_w6beg[1] , \tile_x2y12_w6beg[0] }),
.w6end({ \tile_x3y12_w6beg[11] , \tile_x3y12_w6beg[10] , \tile_x3y12_w6beg[9] , \tile_x3y12_w6beg[8] , \tile_x3y12_w6beg[7] , \tile_x3y12_w6beg[6] , \tile_x3y12_w6beg[5] , \tile_x3y12_w6beg[4] , \tile_x3y12_w6beg[3] , \tile_x3y12_w6beg[2] , \tile_x3y12_w6beg[1] , \tile_x3y12_w6beg[0] }),
.ww4beg({ \tile_x2y12_ww4beg[15] , \tile_x2y12_ww4beg[14] , \tile_x2y12_ww4beg[13] , \tile_x2y12_ww4beg[12] , \tile_x2y12_ww4beg[11] , \tile_x2y12_ww4beg[10] , \tile_x2y12_ww4beg[9] , \tile_x2y12_ww4beg[8] , \tile_x2y12_ww4beg[7] , \tile_x2y12_ww4beg[6] , \tile_x2y12_ww4beg[5] , \tile_x2y12_ww4beg[4] , \tile_x2y12_ww4beg[3] , \tile_x2y12_ww4beg[2] , \tile_x2y12_ww4beg[1] , \tile_x2y12_ww4beg[0] }),
.ww4end({ \tile_x3y12_ww4beg[15] , \tile_x3y12_ww4beg[14] , \tile_x3y12_ww4beg[13] , \tile_x3y12_ww4beg[12] , \tile_x3y12_ww4beg[11] , \tile_x3y12_ww4beg[10] , \tile_x3y12_ww4beg[9] , \tile_x3y12_ww4beg[8] , \tile_x3y12_ww4beg[7] , \tile_x3y12_ww4beg[6] , \tile_x3y12_ww4beg[5] , \tile_x3y12_ww4beg[4] , \tile_x3y12_ww4beg[3] , \tile_x3y12_ww4beg[2] , \tile_x3y12_ww4beg[1] , \tile_x3y12_ww4beg[0] })
);
regfile tile_x2y13_regfile (
.e1beg({ \tile_x2y13_e1beg[3] , \tile_x2y13_e1beg[2] , \tile_x2y13_e1beg[1] , \tile_x2y13_e1beg[0] }),
.e1end({ \tile_x1y13_e1beg[3] , \tile_x1y13_e1beg[2] , \tile_x1y13_e1beg[1] , \tile_x1y13_e1beg[0] }),
.e2beg({ \tile_x2y13_e2beg[7] , \tile_x2y13_e2beg[6] , \tile_x2y13_e2beg[5] , \tile_x2y13_e2beg[4] , \tile_x2y13_e2beg[3] , \tile_x2y13_e2beg[2] , \tile_x2y13_e2beg[1] , \tile_x2y13_e2beg[0] }),
.e2begb({ \tile_x2y13_e2begb[7] , \tile_x2y13_e2begb[6] , \tile_x2y13_e2begb[5] , \tile_x2y13_e2begb[4] , \tile_x2y13_e2begb[3] , \tile_x2y13_e2begb[2] , \tile_x2y13_e2begb[1] , \tile_x2y13_e2begb[0] }),
.e2end({ \tile_x1y13_e2begb[7] , \tile_x1y13_e2begb[6] , \tile_x1y13_e2begb[5] , \tile_x1y13_e2begb[4] , \tile_x1y13_e2begb[3] , \tile_x1y13_e2begb[2] , \tile_x1y13_e2begb[1] , \tile_x1y13_e2begb[0] }),
.e2mid({ \tile_x1y13_e2beg[7] , \tile_x1y13_e2beg[6] , \tile_x1y13_e2beg[5] , \tile_x1y13_e2beg[4] , \tile_x1y13_e2beg[3] , \tile_x1y13_e2beg[2] , \tile_x1y13_e2beg[1] , \tile_x1y13_e2beg[0] }),
.e6beg({ \tile_x2y13_e6beg[11] , \tile_x2y13_e6beg[10] , \tile_x2y13_e6beg[9] , \tile_x2y13_e6beg[8] , \tile_x2y13_e6beg[7] , \tile_x2y13_e6beg[6] , \tile_x2y13_e6beg[5] , \tile_x2y13_e6beg[4] , \tile_x2y13_e6beg[3] , \tile_x2y13_e6beg[2] , \tile_x2y13_e6beg[1] , \tile_x2y13_e6beg[0] }),
.e6end({ \tile_x1y13_e6beg[11] , \tile_x1y13_e6beg[10] , \tile_x1y13_e6beg[9] , \tile_x1y13_e6beg[8] , \tile_x1y13_e6beg[7] , \tile_x1y13_e6beg[6] , \tile_x1y13_e6beg[5] , \tile_x1y13_e6beg[4] , \tile_x1y13_e6beg[3] , \tile_x1y13_e6beg[2] , \tile_x1y13_e6beg[1] , \tile_x1y13_e6beg[0] }),
.ee4beg({ \tile_x2y13_ee4beg[15] , \tile_x2y13_ee4beg[14] , \tile_x2y13_ee4beg[13] , \tile_x2y13_ee4beg[12] , \tile_x2y13_ee4beg[11] , \tile_x2y13_ee4beg[10] , \tile_x2y13_ee4beg[9] , \tile_x2y13_ee4beg[8] , \tile_x2y13_ee4beg[7] , \tile_x2y13_ee4beg[6] , \tile_x2y13_ee4beg[5] , \tile_x2y13_ee4beg[4] , \tile_x2y13_ee4beg[3] , \tile_x2y13_ee4beg[2] , \tile_x2y13_ee4beg[1] , \tile_x2y13_ee4beg[0] }),
.ee4end({ \tile_x1y13_ee4beg[15] , \tile_x1y13_ee4beg[14] , \tile_x1y13_ee4beg[13] , \tile_x1y13_ee4beg[12] , \tile_x1y13_ee4beg[11] , \tile_x1y13_ee4beg[10] , \tile_x1y13_ee4beg[9] , \tile_x1y13_ee4beg[8] , \tile_x1y13_ee4beg[7] , \tile_x1y13_ee4beg[6] , \tile_x1y13_ee4beg[5] , \tile_x1y13_ee4beg[4] , \tile_x1y13_ee4beg[3] , \tile_x1y13_ee4beg[2] , \tile_x1y13_ee4beg[1] , \tile_x1y13_ee4beg[0] }),
.framedata({ \tile_x1y13_framedata_o[31] , \tile_x1y13_framedata_o[30] , \tile_x1y13_framedata_o[29] , \tile_x1y13_framedata_o[28] , \tile_x1y13_framedata_o[27] , \tile_x1y13_framedata_o[26] , \tile_x1y13_framedata_o[25] , \tile_x1y13_framedata_o[24] , \tile_x1y13_framedata_o[23] , \tile_x1y13_framedata_o[22] , \tile_x1y13_framedata_o[21] , \tile_x1y13_framedata_o[20] , \tile_x1y13_framedata_o[19] , \tile_x1y13_framedata_o[18] , \tile_x1y13_framedata_o[17] , \tile_x1y13_framedata_o[16] , \tile_x1y13_framedata_o[15] , \tile_x1y13_framedata_o[14] , \tile_x1y13_framedata_o[13] , \tile_x1y13_framedata_o[12] , \tile_x1y13_framedata_o[11] , \tile_x1y13_framedata_o[10] , \tile_x1y13_framedata_o[9] , \tile_x1y13_framedata_o[8] , \tile_x1y13_framedata_o[7] , \tile_x1y13_framedata_o[6] , \tile_x1y13_framedata_o[5] , \tile_x1y13_framedata_o[4] , \tile_x1y13_framedata_o[3] , \tile_x1y13_framedata_o[2] , \tile_x1y13_framedata_o[1] , \tile_x1y13_framedata_o[0] }),
.framedata_o({ \tile_x2y13_framedata_o[31] , \tile_x2y13_framedata_o[30] , \tile_x2y13_framedata_o[29] , \tile_x2y13_framedata_o[28] , \tile_x2y13_framedata_o[27] , \tile_x2y13_framedata_o[26] , \tile_x2y13_framedata_o[25] , \tile_x2y13_framedata_o[24] , \tile_x2y13_framedata_o[23] , \tile_x2y13_framedata_o[22] , \tile_x2y13_framedata_o[21] , \tile_x2y13_framedata_o[20] , \tile_x2y13_framedata_o[19] , \tile_x2y13_framedata_o[18] , \tile_x2y13_framedata_o[17] , \tile_x2y13_framedata_o[16] , \tile_x2y13_framedata_o[15] , \tile_x2y13_framedata_o[14] , \tile_x2y13_framedata_o[13] , \tile_x2y13_framedata_o[12] , \tile_x2y13_framedata_o[11] , \tile_x2y13_framedata_o[10] , \tile_x2y13_framedata_o[9] , \tile_x2y13_framedata_o[8] , \tile_x2y13_framedata_o[7] , \tile_x2y13_framedata_o[6] , \tile_x2y13_framedata_o[5] , \tile_x2y13_framedata_o[4] , \tile_x2y13_framedata_o[3] , \tile_x2y13_framedata_o[2] , \tile_x2y13_framedata_o[1] , \tile_x2y13_framedata_o[0] }),
.framestrobe({ \tile_x2y14_framestrobe_o[19] , \tile_x2y14_framestrobe_o[18] , \tile_x2y14_framestrobe_o[17] , \tile_x2y14_framestrobe_o[16] , \tile_x2y14_framestrobe_o[15] , \tile_x2y14_framestrobe_o[14] , \tile_x2y14_framestrobe_o[13] , \tile_x2y14_framestrobe_o[12] , \tile_x2y14_framestrobe_o[11] , \tile_x2y14_framestrobe_o[10] , \tile_x2y14_framestrobe_o[9] , \tile_x2y14_framestrobe_o[8] , \tile_x2y14_framestrobe_o[7] , \tile_x2y14_framestrobe_o[6] , \tile_x2y14_framestrobe_o[5] , \tile_x2y14_framestrobe_o[4] , \tile_x2y14_framestrobe_o[3] , \tile_x2y14_framestrobe_o[2] , \tile_x2y14_framestrobe_o[1] , \tile_x2y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x2y13_framestrobe_o[19] , \tile_x2y13_framestrobe_o[18] , \tile_x2y13_framestrobe_o[17] , \tile_x2y13_framestrobe_o[16] , \tile_x2y13_framestrobe_o[15] , \tile_x2y13_framestrobe_o[14] , \tile_x2y13_framestrobe_o[13] , \tile_x2y13_framestrobe_o[12] , \tile_x2y13_framestrobe_o[11] , \tile_x2y13_framestrobe_o[10] , \tile_x2y13_framestrobe_o[9] , \tile_x2y13_framestrobe_o[8] , \tile_x2y13_framestrobe_o[7] , \tile_x2y13_framestrobe_o[6] , \tile_x2y13_framestrobe_o[5] , \tile_x2y13_framestrobe_o[4] , \tile_x2y13_framestrobe_o[3] , \tile_x2y13_framestrobe_o[2] , \tile_x2y13_framestrobe_o[1] , \tile_x2y13_framestrobe_o[0] }),
.n1beg({ \tile_x2y13_n1beg[3] , \tile_x2y13_n1beg[2] , \tile_x2y13_n1beg[1] , \tile_x2y13_n1beg[0] }),
.n1end({ \tile_x2y14_n1beg[3] , \tile_x2y14_n1beg[2] , \tile_x2y14_n1beg[1] , \tile_x2y14_n1beg[0] }),
.n2beg({ \tile_x2y13_n2beg[7] , \tile_x2y13_n2beg[6] , \tile_x2y13_n2beg[5] , \tile_x2y13_n2beg[4] , \tile_x2y13_n2beg[3] , \tile_x2y13_n2beg[2] , \tile_x2y13_n2beg[1] , \tile_x2y13_n2beg[0] }),
.n2begb({ \tile_x2y13_n2begb[7] , \tile_x2y13_n2begb[6] , \tile_x2y13_n2begb[5] , \tile_x2y13_n2begb[4] , \tile_x2y13_n2begb[3] , \tile_x2y13_n2begb[2] , \tile_x2y13_n2begb[1] , \tile_x2y13_n2begb[0] }),
.n2end({ \tile_x2y14_n2begb[7] , \tile_x2y14_n2begb[6] , \tile_x2y14_n2begb[5] , \tile_x2y14_n2begb[4] , \tile_x2y14_n2begb[3] , \tile_x2y14_n2begb[2] , \tile_x2y14_n2begb[1] , \tile_x2y14_n2begb[0] }),
.n2mid({ \tile_x2y14_n2beg[7] , \tile_x2y14_n2beg[6] , \tile_x2y14_n2beg[5] , \tile_x2y14_n2beg[4] , \tile_x2y14_n2beg[3] , \tile_x2y14_n2beg[2] , \tile_x2y14_n2beg[1] , \tile_x2y14_n2beg[0] }),
.n4beg({ \tile_x2y13_n4beg[15] , \tile_x2y13_n4beg[14] , \tile_x2y13_n4beg[13] , \tile_x2y13_n4beg[12] , \tile_x2y13_n4beg[11] , \tile_x2y13_n4beg[10] , \tile_x2y13_n4beg[9] , \tile_x2y13_n4beg[8] , \tile_x2y13_n4beg[7] , \tile_x2y13_n4beg[6] , \tile_x2y13_n4beg[5] , \tile_x2y13_n4beg[4] , \tile_x2y13_n4beg[3] , \tile_x2y13_n4beg[2] , \tile_x2y13_n4beg[1] , \tile_x2y13_n4beg[0] }),
.n4end({ \tile_x2y14_n4beg[15] , \tile_x2y14_n4beg[14] , \tile_x2y14_n4beg[13] , \tile_x2y14_n4beg[12] , \tile_x2y14_n4beg[11] , \tile_x2y14_n4beg[10] , \tile_x2y14_n4beg[9] , \tile_x2y14_n4beg[8] , \tile_x2y14_n4beg[7] , \tile_x2y14_n4beg[6] , \tile_x2y14_n4beg[5] , \tile_x2y14_n4beg[4] , \tile_x2y14_n4beg[3] , \tile_x2y14_n4beg[2] , \tile_x2y14_n4beg[1] , \tile_x2y14_n4beg[0] }),
.nn4beg({ \tile_x2y13_nn4beg[15] , \tile_x2y13_nn4beg[14] , \tile_x2y13_nn4beg[13] , \tile_x2y13_nn4beg[12] , \tile_x2y13_nn4beg[11] , \tile_x2y13_nn4beg[10] , \tile_x2y13_nn4beg[9] , \tile_x2y13_nn4beg[8] , \tile_x2y13_nn4beg[7] , \tile_x2y13_nn4beg[6] , \tile_x2y13_nn4beg[5] , \tile_x2y13_nn4beg[4] , \tile_x2y13_nn4beg[3] , \tile_x2y13_nn4beg[2] , \tile_x2y13_nn4beg[1] , \tile_x2y13_nn4beg[0] }),
.nn4end({ \tile_x2y14_nn4beg[15] , \tile_x2y14_nn4beg[14] , \tile_x2y14_nn4beg[13] , \tile_x2y14_nn4beg[12] , \tile_x2y14_nn4beg[11] , \tile_x2y14_nn4beg[10] , \tile_x2y14_nn4beg[9] , \tile_x2y14_nn4beg[8] , \tile_x2y14_nn4beg[7] , \tile_x2y14_nn4beg[6] , \tile_x2y14_nn4beg[5] , \tile_x2y14_nn4beg[4] , \tile_x2y14_nn4beg[3] , \tile_x2y14_nn4beg[2] , \tile_x2y14_nn4beg[1] , \tile_x2y14_nn4beg[0] }),
.s1beg({ \tile_x2y13_s1beg[3] , \tile_x2y13_s1beg[2] , \tile_x2y13_s1beg[1] , \tile_x2y13_s1beg[0] }),
.s1end({ \tile_x2y12_s1beg[3] , \tile_x2y12_s1beg[2] , \tile_x2y12_s1beg[1] , \tile_x2y12_s1beg[0] }),
.s2beg({ \tile_x2y13_s2beg[7] , \tile_x2y13_s2beg[6] , \tile_x2y13_s2beg[5] , \tile_x2y13_s2beg[4] , \tile_x2y13_s2beg[3] , \tile_x2y13_s2beg[2] , \tile_x2y13_s2beg[1] , \tile_x2y13_s2beg[0] }),
.s2begb({ \tile_x2y13_s2begb[7] , \tile_x2y13_s2begb[6] , \tile_x2y13_s2begb[5] , \tile_x2y13_s2begb[4] , \tile_x2y13_s2begb[3] , \tile_x2y13_s2begb[2] , \tile_x2y13_s2begb[1] , \tile_x2y13_s2begb[0] }),
.s2end({ \tile_x2y12_s2begb[7] , \tile_x2y12_s2begb[6] , \tile_x2y12_s2begb[5] , \tile_x2y12_s2begb[4] , \tile_x2y12_s2begb[3] , \tile_x2y12_s2begb[2] , \tile_x2y12_s2begb[1] , \tile_x2y12_s2begb[0] }),
.s2mid({ \tile_x2y12_s2beg[7] , \tile_x2y12_s2beg[6] , \tile_x2y12_s2beg[5] , \tile_x2y12_s2beg[4] , \tile_x2y12_s2beg[3] , \tile_x2y12_s2beg[2] , \tile_x2y12_s2beg[1] , \tile_x2y12_s2beg[0] }),
.s4beg({ \tile_x2y13_s4beg[15] , \tile_x2y13_s4beg[14] , \tile_x2y13_s4beg[13] , \tile_x2y13_s4beg[12] , \tile_x2y13_s4beg[11] , \tile_x2y13_s4beg[10] , \tile_x2y13_s4beg[9] , \tile_x2y13_s4beg[8] , \tile_x2y13_s4beg[7] , \tile_x2y13_s4beg[6] , \tile_x2y13_s4beg[5] , \tile_x2y13_s4beg[4] , \tile_x2y13_s4beg[3] , \tile_x2y13_s4beg[2] , \tile_x2y13_s4beg[1] , \tile_x2y13_s4beg[0] }),
.s4end({ \tile_x2y12_s4beg[15] , \tile_x2y12_s4beg[14] , \tile_x2y12_s4beg[13] , \tile_x2y12_s4beg[12] , \tile_x2y12_s4beg[11] , \tile_x2y12_s4beg[10] , \tile_x2y12_s4beg[9] , \tile_x2y12_s4beg[8] , \tile_x2y12_s4beg[7] , \tile_x2y12_s4beg[6] , \tile_x2y12_s4beg[5] , \tile_x2y12_s4beg[4] , \tile_x2y12_s4beg[3] , \tile_x2y12_s4beg[2] , \tile_x2y12_s4beg[1] , \tile_x2y12_s4beg[0] }),
.ss4beg({ \tile_x2y13_ss4beg[15] , \tile_x2y13_ss4beg[14] , \tile_x2y13_ss4beg[13] , \tile_x2y13_ss4beg[12] , \tile_x2y13_ss4beg[11] , \tile_x2y13_ss4beg[10] , \tile_x2y13_ss4beg[9] , \tile_x2y13_ss4beg[8] , \tile_x2y13_ss4beg[7] , \tile_x2y13_ss4beg[6] , \tile_x2y13_ss4beg[5] , \tile_x2y13_ss4beg[4] , \tile_x2y13_ss4beg[3] , \tile_x2y13_ss4beg[2] , \tile_x2y13_ss4beg[1] , \tile_x2y13_ss4beg[0] }),
.ss4end({ \tile_x2y12_ss4beg[15] , \tile_x2y12_ss4beg[14] , \tile_x2y12_ss4beg[13] , \tile_x2y12_ss4beg[12] , \tile_x2y12_ss4beg[11] , \tile_x2y12_ss4beg[10] , \tile_x2y12_ss4beg[9] , \tile_x2y12_ss4beg[8] , \tile_x2y12_ss4beg[7] , \tile_x2y12_ss4beg[6] , \tile_x2y12_ss4beg[5] , \tile_x2y12_ss4beg[4] , \tile_x2y12_ss4beg[3] , \tile_x2y12_ss4beg[2] , \tile_x2y12_ss4beg[1] , \tile_x2y12_ss4beg[0] }),
.userclk(tile_x2y14_userclko),
.userclko(tile_x2y13_userclko),
.w1beg({ \tile_x2y13_w1beg[3] , \tile_x2y13_w1beg[2] , \tile_x2y13_w1beg[1] , \tile_x2y13_w1beg[0] }),
.w1end({ \tile_x3y13_w1beg[3] , \tile_x3y13_w1beg[2] , \tile_x3y13_w1beg[1] , \tile_x3y13_w1beg[0] }),
.w2beg({ \tile_x2y13_w2beg[7] , \tile_x2y13_w2beg[6] , \tile_x2y13_w2beg[5] , \tile_x2y13_w2beg[4] , \tile_x2y13_w2beg[3] , \tile_x2y13_w2beg[2] , \tile_x2y13_w2beg[1] , \tile_x2y13_w2beg[0] }),
.w2begb({ \tile_x2y13_w2begb[7] , \tile_x2y13_w2begb[6] , \tile_x2y13_w2begb[5] , \tile_x2y13_w2begb[4] , \tile_x2y13_w2begb[3] , \tile_x2y13_w2begb[2] , \tile_x2y13_w2begb[1] , \tile_x2y13_w2begb[0] }),
.w2end({ \tile_x3y13_w2begb[7] , \tile_x3y13_w2begb[6] , \tile_x3y13_w2begb[5] , \tile_x3y13_w2begb[4] , \tile_x3y13_w2begb[3] , \tile_x3y13_w2begb[2] , \tile_x3y13_w2begb[1] , \tile_x3y13_w2begb[0] }),
.w2mid({ \tile_x3y13_w2beg[7] , \tile_x3y13_w2beg[6] , \tile_x3y13_w2beg[5] , \tile_x3y13_w2beg[4] , \tile_x3y13_w2beg[3] , \tile_x3y13_w2beg[2] , \tile_x3y13_w2beg[1] , \tile_x3y13_w2beg[0] }),
.w6beg({ \tile_x2y13_w6beg[11] , \tile_x2y13_w6beg[10] , \tile_x2y13_w6beg[9] , \tile_x2y13_w6beg[8] , \tile_x2y13_w6beg[7] , \tile_x2y13_w6beg[6] , \tile_x2y13_w6beg[5] , \tile_x2y13_w6beg[4] , \tile_x2y13_w6beg[3] , \tile_x2y13_w6beg[2] , \tile_x2y13_w6beg[1] , \tile_x2y13_w6beg[0] }),
.w6end({ \tile_x3y13_w6beg[11] , \tile_x3y13_w6beg[10] , \tile_x3y13_w6beg[9] , \tile_x3y13_w6beg[8] , \tile_x3y13_w6beg[7] , \tile_x3y13_w6beg[6] , \tile_x3y13_w6beg[5] , \tile_x3y13_w6beg[4] , \tile_x3y13_w6beg[3] , \tile_x3y13_w6beg[2] , \tile_x3y13_w6beg[1] , \tile_x3y13_w6beg[0] }),
.ww4beg({ \tile_x2y13_ww4beg[15] , \tile_x2y13_ww4beg[14] , \tile_x2y13_ww4beg[13] , \tile_x2y13_ww4beg[12] , \tile_x2y13_ww4beg[11] , \tile_x2y13_ww4beg[10] , \tile_x2y13_ww4beg[9] , \tile_x2y13_ww4beg[8] , \tile_x2y13_ww4beg[7] , \tile_x2y13_ww4beg[6] , \tile_x2y13_ww4beg[5] , \tile_x2y13_ww4beg[4] , \tile_x2y13_ww4beg[3] , \tile_x2y13_ww4beg[2] , \tile_x2y13_ww4beg[1] , \tile_x2y13_ww4beg[0] }),
.ww4end({ \tile_x3y13_ww4beg[15] , \tile_x3y13_ww4beg[14] , \tile_x3y13_ww4beg[13] , \tile_x3y13_ww4beg[12] , \tile_x3y13_ww4beg[11] , \tile_x3y13_ww4beg[10] , \tile_x3y13_ww4beg[9] , \tile_x3y13_ww4beg[8] , \tile_x3y13_ww4beg[7] , \tile_x3y13_ww4beg[6] , \tile_x3y13_ww4beg[5] , \tile_x3y13_ww4beg[4] , \tile_x3y13_ww4beg[3] , \tile_x3y13_ww4beg[2] , \tile_x3y13_ww4beg[1] , \tile_x3y13_ww4beg[0] })
);
regfile tile_x2y14_regfile (
.e1beg({ \tile_x2y14_e1beg[3] , \tile_x2y14_e1beg[2] , \tile_x2y14_e1beg[1] , \tile_x2y14_e1beg[0] }),
.e1end({ \tile_x1y14_e1beg[3] , \tile_x1y14_e1beg[2] , \tile_x1y14_e1beg[1] , \tile_x1y14_e1beg[0] }),
.e2beg({ \tile_x2y14_e2beg[7] , \tile_x2y14_e2beg[6] , \tile_x2y14_e2beg[5] , \tile_x2y14_e2beg[4] , \tile_x2y14_e2beg[3] , \tile_x2y14_e2beg[2] , \tile_x2y14_e2beg[1] , \tile_x2y14_e2beg[0] }),
.e2begb({ \tile_x2y14_e2begb[7] , \tile_x2y14_e2begb[6] , \tile_x2y14_e2begb[5] , \tile_x2y14_e2begb[4] , \tile_x2y14_e2begb[3] , \tile_x2y14_e2begb[2] , \tile_x2y14_e2begb[1] , \tile_x2y14_e2begb[0] }),
.e2end({ \tile_x1y14_e2begb[7] , \tile_x1y14_e2begb[6] , \tile_x1y14_e2begb[5] , \tile_x1y14_e2begb[4] , \tile_x1y14_e2begb[3] , \tile_x1y14_e2begb[2] , \tile_x1y14_e2begb[1] , \tile_x1y14_e2begb[0] }),
.e2mid({ \tile_x1y14_e2beg[7] , \tile_x1y14_e2beg[6] , \tile_x1y14_e2beg[5] , \tile_x1y14_e2beg[4] , \tile_x1y14_e2beg[3] , \tile_x1y14_e2beg[2] , \tile_x1y14_e2beg[1] , \tile_x1y14_e2beg[0] }),
.e6beg({ \tile_x2y14_e6beg[11] , \tile_x2y14_e6beg[10] , \tile_x2y14_e6beg[9] , \tile_x2y14_e6beg[8] , \tile_x2y14_e6beg[7] , \tile_x2y14_e6beg[6] , \tile_x2y14_e6beg[5] , \tile_x2y14_e6beg[4] , \tile_x2y14_e6beg[3] , \tile_x2y14_e6beg[2] , \tile_x2y14_e6beg[1] , \tile_x2y14_e6beg[0] }),
.e6end({ \tile_x1y14_e6beg[11] , \tile_x1y14_e6beg[10] , \tile_x1y14_e6beg[9] , \tile_x1y14_e6beg[8] , \tile_x1y14_e6beg[7] , \tile_x1y14_e6beg[6] , \tile_x1y14_e6beg[5] , \tile_x1y14_e6beg[4] , \tile_x1y14_e6beg[3] , \tile_x1y14_e6beg[2] , \tile_x1y14_e6beg[1] , \tile_x1y14_e6beg[0] }),
.ee4beg({ \tile_x2y14_ee4beg[15] , \tile_x2y14_ee4beg[14] , \tile_x2y14_ee4beg[13] , \tile_x2y14_ee4beg[12] , \tile_x2y14_ee4beg[11] , \tile_x2y14_ee4beg[10] , \tile_x2y14_ee4beg[9] , \tile_x2y14_ee4beg[8] , \tile_x2y14_ee4beg[7] , \tile_x2y14_ee4beg[6] , \tile_x2y14_ee4beg[5] , \tile_x2y14_ee4beg[4] , \tile_x2y14_ee4beg[3] , \tile_x2y14_ee4beg[2] , \tile_x2y14_ee4beg[1] , \tile_x2y14_ee4beg[0] }),
.ee4end({ \tile_x1y14_ee4beg[15] , \tile_x1y14_ee4beg[14] , \tile_x1y14_ee4beg[13] , \tile_x1y14_ee4beg[12] , \tile_x1y14_ee4beg[11] , \tile_x1y14_ee4beg[10] , \tile_x1y14_ee4beg[9] , \tile_x1y14_ee4beg[8] , \tile_x1y14_ee4beg[7] , \tile_x1y14_ee4beg[6] , \tile_x1y14_ee4beg[5] , \tile_x1y14_ee4beg[4] , \tile_x1y14_ee4beg[3] , \tile_x1y14_ee4beg[2] , \tile_x1y14_ee4beg[1] , \tile_x1y14_ee4beg[0] }),
.framedata({ \tile_x1y14_framedata_o[31] , \tile_x1y14_framedata_o[30] , \tile_x1y14_framedata_o[29] , \tile_x1y14_framedata_o[28] , \tile_x1y14_framedata_o[27] , \tile_x1y14_framedata_o[26] , \tile_x1y14_framedata_o[25] , \tile_x1y14_framedata_o[24] , \tile_x1y14_framedata_o[23] , \tile_x1y14_framedata_o[22] , \tile_x1y14_framedata_o[21] , \tile_x1y14_framedata_o[20] , \tile_x1y14_framedata_o[19] , \tile_x1y14_framedata_o[18] , \tile_x1y14_framedata_o[17] , \tile_x1y14_framedata_o[16] , \tile_x1y14_framedata_o[15] , \tile_x1y14_framedata_o[14] , \tile_x1y14_framedata_o[13] , \tile_x1y14_framedata_o[12] , \tile_x1y14_framedata_o[11] , \tile_x1y14_framedata_o[10] , \tile_x1y14_framedata_o[9] , \tile_x1y14_framedata_o[8] , \tile_x1y14_framedata_o[7] , \tile_x1y14_framedata_o[6] , \tile_x1y14_framedata_o[5] , \tile_x1y14_framedata_o[4] , \tile_x1y14_framedata_o[3] , \tile_x1y14_framedata_o[2] , \tile_x1y14_framedata_o[1] , \tile_x1y14_framedata_o[0] }),
.framedata_o({ \tile_x2y14_framedata_o[31] , \tile_x2y14_framedata_o[30] , \tile_x2y14_framedata_o[29] , \tile_x2y14_framedata_o[28] , \tile_x2y14_framedata_o[27] , \tile_x2y14_framedata_o[26] , \tile_x2y14_framedata_o[25] , \tile_x2y14_framedata_o[24] , \tile_x2y14_framedata_o[23] , \tile_x2y14_framedata_o[22] , \tile_x2y14_framedata_o[21] , \tile_x2y14_framedata_o[20] , \tile_x2y14_framedata_o[19] , \tile_x2y14_framedata_o[18] , \tile_x2y14_framedata_o[17] , \tile_x2y14_framedata_o[16] , \tile_x2y14_framedata_o[15] , \tile_x2y14_framedata_o[14] , \tile_x2y14_framedata_o[13] , \tile_x2y14_framedata_o[12] , \tile_x2y14_framedata_o[11] , \tile_x2y14_framedata_o[10] , \tile_x2y14_framedata_o[9] , \tile_x2y14_framedata_o[8] , \tile_x2y14_framedata_o[7] , \tile_x2y14_framedata_o[6] , \tile_x2y14_framedata_o[5] , \tile_x2y14_framedata_o[4] , \tile_x2y14_framedata_o[3] , \tile_x2y14_framedata_o[2] , \tile_x2y14_framedata_o[1] , \tile_x2y14_framedata_o[0] }),
.framestrobe({ \tile_x2y15_framestrobe_o[19] , \tile_x2y15_framestrobe_o[18] , \tile_x2y15_framestrobe_o[17] , \tile_x2y15_framestrobe_o[16] , \tile_x2y15_framestrobe_o[15] , \tile_x2y15_framestrobe_o[14] , \tile_x2y15_framestrobe_o[13] , \tile_x2y15_framestrobe_o[12] , \tile_x2y15_framestrobe_o[11] , \tile_x2y15_framestrobe_o[10] , \tile_x2y15_framestrobe_o[9] , \tile_x2y15_framestrobe_o[8] , \tile_x2y15_framestrobe_o[7] , \tile_x2y15_framestrobe_o[6] , \tile_x2y15_framestrobe_o[5] , \tile_x2y15_framestrobe_o[4] , \tile_x2y15_framestrobe_o[3] , \tile_x2y15_framestrobe_o[2] , \tile_x2y15_framestrobe_o[1] , \tile_x2y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x2y14_framestrobe_o[19] , \tile_x2y14_framestrobe_o[18] , \tile_x2y14_framestrobe_o[17] , \tile_x2y14_framestrobe_o[16] , \tile_x2y14_framestrobe_o[15] , \tile_x2y14_framestrobe_o[14] , \tile_x2y14_framestrobe_o[13] , \tile_x2y14_framestrobe_o[12] , \tile_x2y14_framestrobe_o[11] , \tile_x2y14_framestrobe_o[10] , \tile_x2y14_framestrobe_o[9] , \tile_x2y14_framestrobe_o[8] , \tile_x2y14_framestrobe_o[7] , \tile_x2y14_framestrobe_o[6] , \tile_x2y14_framestrobe_o[5] , \tile_x2y14_framestrobe_o[4] , \tile_x2y14_framestrobe_o[3] , \tile_x2y14_framestrobe_o[2] , \tile_x2y14_framestrobe_o[1] , \tile_x2y14_framestrobe_o[0] }),
.n1beg({ \tile_x2y14_n1beg[3] , \tile_x2y14_n1beg[2] , \tile_x2y14_n1beg[1] , \tile_x2y14_n1beg[0] }),
.n1end({ \tile_x2y15_n1beg[3] , \tile_x2y15_n1beg[2] , \tile_x2y15_n1beg[1] , \tile_x2y15_n1beg[0] }),
.n2beg({ \tile_x2y14_n2beg[7] , \tile_x2y14_n2beg[6] , \tile_x2y14_n2beg[5] , \tile_x2y14_n2beg[4] , \tile_x2y14_n2beg[3] , \tile_x2y14_n2beg[2] , \tile_x2y14_n2beg[1] , \tile_x2y14_n2beg[0] }),
.n2begb({ \tile_x2y14_n2begb[7] , \tile_x2y14_n2begb[6] , \tile_x2y14_n2begb[5] , \tile_x2y14_n2begb[4] , \tile_x2y14_n2begb[3] , \tile_x2y14_n2begb[2] , \tile_x2y14_n2begb[1] , \tile_x2y14_n2begb[0] }),
.n2end({ \tile_x2y15_n2begb[7] , \tile_x2y15_n2begb[6] , \tile_x2y15_n2begb[5] , \tile_x2y15_n2begb[4] , \tile_x2y15_n2begb[3] , \tile_x2y15_n2begb[2] , \tile_x2y15_n2begb[1] , \tile_x2y15_n2begb[0] }),
.n2mid({ \tile_x2y15_n2beg[7] , \tile_x2y15_n2beg[6] , \tile_x2y15_n2beg[5] , \tile_x2y15_n2beg[4] , \tile_x2y15_n2beg[3] , \tile_x2y15_n2beg[2] , \tile_x2y15_n2beg[1] , \tile_x2y15_n2beg[0] }),
.n4beg({ \tile_x2y14_n4beg[15] , \tile_x2y14_n4beg[14] , \tile_x2y14_n4beg[13] , \tile_x2y14_n4beg[12] , \tile_x2y14_n4beg[11] , \tile_x2y14_n4beg[10] , \tile_x2y14_n4beg[9] , \tile_x2y14_n4beg[8] , \tile_x2y14_n4beg[7] , \tile_x2y14_n4beg[6] , \tile_x2y14_n4beg[5] , \tile_x2y14_n4beg[4] , \tile_x2y14_n4beg[3] , \tile_x2y14_n4beg[2] , \tile_x2y14_n4beg[1] , \tile_x2y14_n4beg[0] }),
.n4end({ \tile_x2y15_n4beg[15] , \tile_x2y15_n4beg[14] , \tile_x2y15_n4beg[13] , \tile_x2y15_n4beg[12] , \tile_x2y15_n4beg[11] , \tile_x2y15_n4beg[10] , \tile_x2y15_n4beg[9] , \tile_x2y15_n4beg[8] , \tile_x2y15_n4beg[7] , \tile_x2y15_n4beg[6] , \tile_x2y15_n4beg[5] , \tile_x2y15_n4beg[4] , \tile_x2y15_n4beg[3] , \tile_x2y15_n4beg[2] , \tile_x2y15_n4beg[1] , \tile_x2y15_n4beg[0] }),
.nn4beg({ \tile_x2y14_nn4beg[15] , \tile_x2y14_nn4beg[14] , \tile_x2y14_nn4beg[13] , \tile_x2y14_nn4beg[12] , \tile_x2y14_nn4beg[11] , \tile_x2y14_nn4beg[10] , \tile_x2y14_nn4beg[9] , \tile_x2y14_nn4beg[8] , \tile_x2y14_nn4beg[7] , \tile_x2y14_nn4beg[6] , \tile_x2y14_nn4beg[5] , \tile_x2y14_nn4beg[4] , \tile_x2y14_nn4beg[3] , \tile_x2y14_nn4beg[2] , \tile_x2y14_nn4beg[1] , \tile_x2y14_nn4beg[0] }),
.nn4end({ \tile_x2y15_nn4beg[15] , \tile_x2y15_nn4beg[14] , \tile_x2y15_nn4beg[13] , \tile_x2y15_nn4beg[12] , \tile_x2y15_nn4beg[11] , \tile_x2y15_nn4beg[10] , \tile_x2y15_nn4beg[9] , \tile_x2y15_nn4beg[8] , \tile_x2y15_nn4beg[7] , \tile_x2y15_nn4beg[6] , \tile_x2y15_nn4beg[5] , \tile_x2y15_nn4beg[4] , \tile_x2y15_nn4beg[3] , \tile_x2y15_nn4beg[2] , \tile_x2y15_nn4beg[1] , \tile_x2y15_nn4beg[0] }),
.s1beg({ \tile_x2y14_s1beg[3] , \tile_x2y14_s1beg[2] , \tile_x2y14_s1beg[1] , \tile_x2y14_s1beg[0] }),
.s1end({ \tile_x2y13_s1beg[3] , \tile_x2y13_s1beg[2] , \tile_x2y13_s1beg[1] , \tile_x2y13_s1beg[0] }),
.s2beg({ \tile_x2y14_s2beg[7] , \tile_x2y14_s2beg[6] , \tile_x2y14_s2beg[5] , \tile_x2y14_s2beg[4] , \tile_x2y14_s2beg[3] , \tile_x2y14_s2beg[2] , \tile_x2y14_s2beg[1] , \tile_x2y14_s2beg[0] }),
.s2begb({ \tile_x2y14_s2begb[7] , \tile_x2y14_s2begb[6] , \tile_x2y14_s2begb[5] , \tile_x2y14_s2begb[4] , \tile_x2y14_s2begb[3] , \tile_x2y14_s2begb[2] , \tile_x2y14_s2begb[1] , \tile_x2y14_s2begb[0] }),
.s2end({ \tile_x2y13_s2begb[7] , \tile_x2y13_s2begb[6] , \tile_x2y13_s2begb[5] , \tile_x2y13_s2begb[4] , \tile_x2y13_s2begb[3] , \tile_x2y13_s2begb[2] , \tile_x2y13_s2begb[1] , \tile_x2y13_s2begb[0] }),
.s2mid({ \tile_x2y13_s2beg[7] , \tile_x2y13_s2beg[6] , \tile_x2y13_s2beg[5] , \tile_x2y13_s2beg[4] , \tile_x2y13_s2beg[3] , \tile_x2y13_s2beg[2] , \tile_x2y13_s2beg[1] , \tile_x2y13_s2beg[0] }),
.s4beg({ \tile_x2y14_s4beg[15] , \tile_x2y14_s4beg[14] , \tile_x2y14_s4beg[13] , \tile_x2y14_s4beg[12] , \tile_x2y14_s4beg[11] , \tile_x2y14_s4beg[10] , \tile_x2y14_s4beg[9] , \tile_x2y14_s4beg[8] , \tile_x2y14_s4beg[7] , \tile_x2y14_s4beg[6] , \tile_x2y14_s4beg[5] , \tile_x2y14_s4beg[4] , \tile_x2y14_s4beg[3] , \tile_x2y14_s4beg[2] , \tile_x2y14_s4beg[1] , \tile_x2y14_s4beg[0] }),
.s4end({ \tile_x2y13_s4beg[15] , \tile_x2y13_s4beg[14] , \tile_x2y13_s4beg[13] , \tile_x2y13_s4beg[12] , \tile_x2y13_s4beg[11] , \tile_x2y13_s4beg[10] , \tile_x2y13_s4beg[9] , \tile_x2y13_s4beg[8] , \tile_x2y13_s4beg[7] , \tile_x2y13_s4beg[6] , \tile_x2y13_s4beg[5] , \tile_x2y13_s4beg[4] , \tile_x2y13_s4beg[3] , \tile_x2y13_s4beg[2] , \tile_x2y13_s4beg[1] , \tile_x2y13_s4beg[0] }),
.ss4beg({ \tile_x2y14_ss4beg[15] , \tile_x2y14_ss4beg[14] , \tile_x2y14_ss4beg[13] , \tile_x2y14_ss4beg[12] , \tile_x2y14_ss4beg[11] , \tile_x2y14_ss4beg[10] , \tile_x2y14_ss4beg[9] , \tile_x2y14_ss4beg[8] , \tile_x2y14_ss4beg[7] , \tile_x2y14_ss4beg[6] , \tile_x2y14_ss4beg[5] , \tile_x2y14_ss4beg[4] , \tile_x2y14_ss4beg[3] , \tile_x2y14_ss4beg[2] , \tile_x2y14_ss4beg[1] , \tile_x2y14_ss4beg[0] }),
.ss4end({ \tile_x2y13_ss4beg[15] , \tile_x2y13_ss4beg[14] , \tile_x2y13_ss4beg[13] , \tile_x2y13_ss4beg[12] , \tile_x2y13_ss4beg[11] , \tile_x2y13_ss4beg[10] , \tile_x2y13_ss4beg[9] , \tile_x2y13_ss4beg[8] , \tile_x2y13_ss4beg[7] , \tile_x2y13_ss4beg[6] , \tile_x2y13_ss4beg[5] , \tile_x2y13_ss4beg[4] , \tile_x2y13_ss4beg[3] , \tile_x2y13_ss4beg[2] , \tile_x2y13_ss4beg[1] , \tile_x2y13_ss4beg[0] }),
.userclk(tile_x2y15_userclko),
.userclko(tile_x2y14_userclko),
.w1beg({ \tile_x2y14_w1beg[3] , \tile_x2y14_w1beg[2] , \tile_x2y14_w1beg[1] , \tile_x2y14_w1beg[0] }),
.w1end({ \tile_x3y14_w1beg[3] , \tile_x3y14_w1beg[2] , \tile_x3y14_w1beg[1] , \tile_x3y14_w1beg[0] }),
.w2beg({ \tile_x2y14_w2beg[7] , \tile_x2y14_w2beg[6] , \tile_x2y14_w2beg[5] , \tile_x2y14_w2beg[4] , \tile_x2y14_w2beg[3] , \tile_x2y14_w2beg[2] , \tile_x2y14_w2beg[1] , \tile_x2y14_w2beg[0] }),
.w2begb({ \tile_x2y14_w2begb[7] , \tile_x2y14_w2begb[6] , \tile_x2y14_w2begb[5] , \tile_x2y14_w2begb[4] , \tile_x2y14_w2begb[3] , \tile_x2y14_w2begb[2] , \tile_x2y14_w2begb[1] , \tile_x2y14_w2begb[0] }),
.w2end({ \tile_x3y14_w2begb[7] , \tile_x3y14_w2begb[6] , \tile_x3y14_w2begb[5] , \tile_x3y14_w2begb[4] , \tile_x3y14_w2begb[3] , \tile_x3y14_w2begb[2] , \tile_x3y14_w2begb[1] , \tile_x3y14_w2begb[0] }),
.w2mid({ \tile_x3y14_w2beg[7] , \tile_x3y14_w2beg[6] , \tile_x3y14_w2beg[5] , \tile_x3y14_w2beg[4] , \tile_x3y14_w2beg[3] , \tile_x3y14_w2beg[2] , \tile_x3y14_w2beg[1] , \tile_x3y14_w2beg[0] }),
.w6beg({ \tile_x2y14_w6beg[11] , \tile_x2y14_w6beg[10] , \tile_x2y14_w6beg[9] , \tile_x2y14_w6beg[8] , \tile_x2y14_w6beg[7] , \tile_x2y14_w6beg[6] , \tile_x2y14_w6beg[5] , \tile_x2y14_w6beg[4] , \tile_x2y14_w6beg[3] , \tile_x2y14_w6beg[2] , \tile_x2y14_w6beg[1] , \tile_x2y14_w6beg[0] }),
.w6end({ \tile_x3y14_w6beg[11] , \tile_x3y14_w6beg[10] , \tile_x3y14_w6beg[9] , \tile_x3y14_w6beg[8] , \tile_x3y14_w6beg[7] , \tile_x3y14_w6beg[6] , \tile_x3y14_w6beg[5] , \tile_x3y14_w6beg[4] , \tile_x3y14_w6beg[3] , \tile_x3y14_w6beg[2] , \tile_x3y14_w6beg[1] , \tile_x3y14_w6beg[0] }),
.ww4beg({ \tile_x2y14_ww4beg[15] , \tile_x2y14_ww4beg[14] , \tile_x2y14_ww4beg[13] , \tile_x2y14_ww4beg[12] , \tile_x2y14_ww4beg[11] , \tile_x2y14_ww4beg[10] , \tile_x2y14_ww4beg[9] , \tile_x2y14_ww4beg[8] , \tile_x2y14_ww4beg[7] , \tile_x2y14_ww4beg[6] , \tile_x2y14_ww4beg[5] , \tile_x2y14_ww4beg[4] , \tile_x2y14_ww4beg[3] , \tile_x2y14_ww4beg[2] , \tile_x2y14_ww4beg[1] , \tile_x2y14_ww4beg[0] }),
.ww4end({ \tile_x3y14_ww4beg[15] , \tile_x3y14_ww4beg[14] , \tile_x3y14_ww4beg[13] , \tile_x3y14_ww4beg[12] , \tile_x3y14_ww4beg[11] , \tile_x3y14_ww4beg[10] , \tile_x3y14_ww4beg[9] , \tile_x3y14_ww4beg[8] , \tile_x3y14_ww4beg[7] , \tile_x3y14_ww4beg[6] , \tile_x3y14_ww4beg[5] , \tile_x3y14_ww4beg[4] , \tile_x3y14_ww4beg[3] , \tile_x3y14_ww4beg[2] , \tile_x3y14_ww4beg[1] , \tile_x3y14_ww4beg[0] })
);
s_term_single2 tile_x2y15_s_term_single2 (
.framestrobe(framestrobe[59:40]),
.framestrobe_o({ \tile_x2y15_framestrobe_o[19] , \tile_x2y15_framestrobe_o[18] , \tile_x2y15_framestrobe_o[17] , \tile_x2y15_framestrobe_o[16] , \tile_x2y15_framestrobe_o[15] , \tile_x2y15_framestrobe_o[14] , \tile_x2y15_framestrobe_o[13] , \tile_x2y15_framestrobe_o[12] , \tile_x2y15_framestrobe_o[11] , \tile_x2y15_framestrobe_o[10] , \tile_x2y15_framestrobe_o[9] , \tile_x2y15_framestrobe_o[8] , \tile_x2y15_framestrobe_o[7] , \tile_x2y15_framestrobe_o[6] , \tile_x2y15_framestrobe_o[5] , \tile_x2y15_framestrobe_o[4] , \tile_x2y15_framestrobe_o[3] , \tile_x2y15_framestrobe_o[2] , \tile_x2y15_framestrobe_o[1] , \tile_x2y15_framestrobe_o[0] }),
.n1beg({ \tile_x2y15_n1beg[3] , \tile_x2y15_n1beg[2] , \tile_x2y15_n1beg[1] , \tile_x2y15_n1beg[0] }),
.n2beg({ \tile_x2y15_n2beg[7] , \tile_x2y15_n2beg[6] , \tile_x2y15_n2beg[5] , \tile_x2y15_n2beg[4] , \tile_x2y15_n2beg[3] , \tile_x2y15_n2beg[2] , \tile_x2y15_n2beg[1] , \tile_x2y15_n2beg[0] }),
.n2begb({ \tile_x2y15_n2begb[7] , \tile_x2y15_n2begb[6] , \tile_x2y15_n2begb[5] , \tile_x2y15_n2begb[4] , \tile_x2y15_n2begb[3] , \tile_x2y15_n2begb[2] , \tile_x2y15_n2begb[1] , \tile_x2y15_n2begb[0] }),
.n4beg({ \tile_x2y15_n4beg[15] , \tile_x2y15_n4beg[14] , \tile_x2y15_n4beg[13] , \tile_x2y15_n4beg[12] , \tile_x2y15_n4beg[11] , \tile_x2y15_n4beg[10] , \tile_x2y15_n4beg[9] , \tile_x2y15_n4beg[8] , \tile_x2y15_n4beg[7] , \tile_x2y15_n4beg[6] , \tile_x2y15_n4beg[5] , \tile_x2y15_n4beg[4] , \tile_x2y15_n4beg[3] , \tile_x2y15_n4beg[2] , \tile_x2y15_n4beg[1] , \tile_x2y15_n4beg[0] }),
.nn4beg({ \tile_x2y15_nn4beg[15] , \tile_x2y15_nn4beg[14] , \tile_x2y15_nn4beg[13] , \tile_x2y15_nn4beg[12] , \tile_x2y15_nn4beg[11] , \tile_x2y15_nn4beg[10] , \tile_x2y15_nn4beg[9] , \tile_x2y15_nn4beg[8] , \tile_x2y15_nn4beg[7] , \tile_x2y15_nn4beg[6] , \tile_x2y15_nn4beg[5] , \tile_x2y15_nn4beg[4] , \tile_x2y15_nn4beg[3] , \tile_x2y15_nn4beg[2] , \tile_x2y15_nn4beg[1] , \tile_x2y15_nn4beg[0] }),
.s1end({ \tile_x2y14_s1beg[3] , \tile_x2y14_s1beg[2] , \tile_x2y14_s1beg[1] , \tile_x2y14_s1beg[0] }),
.s2end({ \tile_x2y14_s2begb[7] , \tile_x2y14_s2begb[6] , \tile_x2y14_s2begb[5] , \tile_x2y14_s2begb[4] , \tile_x2y14_s2begb[3] , \tile_x2y14_s2begb[2] , \tile_x2y14_s2begb[1] , \tile_x2y14_s2begb[0] }),
.s2mid({ \tile_x2y14_s2beg[7] , \tile_x2y14_s2beg[6] , \tile_x2y14_s2beg[5] , \tile_x2y14_s2beg[4] , \tile_x2y14_s2beg[3] , \tile_x2y14_s2beg[2] , \tile_x2y14_s2beg[1] , \tile_x2y14_s2beg[0] }),
.s4end({ \tile_x2y14_s4beg[15] , \tile_x2y14_s4beg[14] , \tile_x2y14_s4beg[13] , \tile_x2y14_s4beg[12] , \tile_x2y14_s4beg[11] , \tile_x2y14_s4beg[10] , \tile_x2y14_s4beg[9] , \tile_x2y14_s4beg[8] , \tile_x2y14_s4beg[7] , \tile_x2y14_s4beg[6] , \tile_x2y14_s4beg[5] , \tile_x2y14_s4beg[4] , \tile_x2y14_s4beg[3] , \tile_x2y14_s4beg[2] , \tile_x2y14_s4beg[1] , \tile_x2y14_s4beg[0] }),
.ss4end({ \tile_x2y14_ss4beg[15] , \tile_x2y14_ss4beg[14] , \tile_x2y14_ss4beg[13] , \tile_x2y14_ss4beg[12] , \tile_x2y14_ss4beg[11] , \tile_x2y14_ss4beg[10] , \tile_x2y14_ss4beg[9] , \tile_x2y14_ss4beg[8] , \tile_x2y14_ss4beg[7] , \tile_x2y14_ss4beg[6] , \tile_x2y14_ss4beg[5] , \tile_x2y14_ss4beg[4] , \tile_x2y14_ss4beg[3] , \tile_x2y14_ss4beg[2] , \tile_x2y14_ss4beg[1] , \tile_x2y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x2y15_userclko)
);
n_term_single2 tile_x2y9_n_term_single2 (
.framestrobe({ \tile_x2y10_framestrobe_o[19] , \tile_x2y10_framestrobe_o[18] , \tile_x2y10_framestrobe_o[17] , \tile_x2y10_framestrobe_o[16] , \tile_x2y10_framestrobe_o[15] , \tile_x2y10_framestrobe_o[14] , \tile_x2y10_framestrobe_o[13] , \tile_x2y10_framestrobe_o[12] , \tile_x2y10_framestrobe_o[11] , \tile_x2y10_framestrobe_o[10] , \tile_x2y10_framestrobe_o[9] , \tile_x2y10_framestrobe_o[8] , \tile_x2y10_framestrobe_o[7] , \tile_x2y10_framestrobe_o[6] , \tile_x2y10_framestrobe_o[5] , \tile_x2y10_framestrobe_o[4] , \tile_x2y10_framestrobe_o[3] , \tile_x2y10_framestrobe_o[2] , \tile_x2y10_framestrobe_o[1] , \tile_x2y10_framestrobe_o[0] }),
.framestrobe_o({ \tile_x2y9_framestrobe_o[19] , \tile_x2y9_framestrobe_o[18] , \tile_x2y9_framestrobe_o[17] , \tile_x2y9_framestrobe_o[16] , \tile_x2y9_framestrobe_o[15] , \tile_x2y9_framestrobe_o[14] , \tile_x2y9_framestrobe_o[13] , \tile_x2y9_framestrobe_o[12] , \tile_x2y9_framestrobe_o[11] , \tile_x2y9_framestrobe_o[10] , \tile_x2y9_framestrobe_o[9] , \tile_x2y9_framestrobe_o[8] , \tile_x2y9_framestrobe_o[7] , \tile_x2y9_framestrobe_o[6] , \tile_x2y9_framestrobe_o[5] , \tile_x2y9_framestrobe_o[4] , \tile_x2y9_framestrobe_o[3] , \tile_x2y9_framestrobe_o[2] , \tile_x2y9_framestrobe_o[1] , \tile_x2y9_framestrobe_o[0] }),
.n1end({ \tile_x2y10_n1beg[3] , \tile_x2y10_n1beg[2] , \tile_x2y10_n1beg[1] , \tile_x2y10_n1beg[0] }),
.n2end({ \tile_x2y10_n2begb[7] , \tile_x2y10_n2begb[6] , \tile_x2y10_n2begb[5] , \tile_x2y10_n2begb[4] , \tile_x2y10_n2begb[3] , \tile_x2y10_n2begb[2] , \tile_x2y10_n2begb[1] , \tile_x2y10_n2begb[0] }),
.n2mid({ \tile_x2y10_n2beg[7] , \tile_x2y10_n2beg[6] , \tile_x2y10_n2beg[5] , \tile_x2y10_n2beg[4] , \tile_x2y10_n2beg[3] , \tile_x2y10_n2beg[2] , \tile_x2y10_n2beg[1] , \tile_x2y10_n2beg[0] }),
.n4end({ \tile_x2y10_n4beg[15] , \tile_x2y10_n4beg[14] , \tile_x2y10_n4beg[13] , \tile_x2y10_n4beg[12] , \tile_x2y10_n4beg[11] , \tile_x2y10_n4beg[10] , \tile_x2y10_n4beg[9] , \tile_x2y10_n4beg[8] , \tile_x2y10_n4beg[7] , \tile_x2y10_n4beg[6] , \tile_x2y10_n4beg[5] , \tile_x2y10_n4beg[4] , \tile_x2y10_n4beg[3] , \tile_x2y10_n4beg[2] , \tile_x2y10_n4beg[1] , \tile_x2y10_n4beg[0] }),
.nn4end({ \tile_x2y10_nn4beg[15] , \tile_x2y10_nn4beg[14] , \tile_x2y10_nn4beg[13] , \tile_x2y10_nn4beg[12] , \tile_x2y10_nn4beg[11] , \tile_x2y10_nn4beg[10] , \tile_x2y10_nn4beg[9] , \tile_x2y10_nn4beg[8] , \tile_x2y10_nn4beg[7] , \tile_x2y10_nn4beg[6] , \tile_x2y10_nn4beg[5] , \tile_x2y10_nn4beg[4] , \tile_x2y10_nn4beg[3] , \tile_x2y10_nn4beg[2] , \tile_x2y10_nn4beg[1] , \tile_x2y10_nn4beg[0] }),
.s1beg({ \tile_x2y9_s1beg[3] , \tile_x2y9_s1beg[2] , \tile_x2y9_s1beg[1] , \tile_x2y9_s1beg[0] }),
.s2beg({ \tile_x2y9_s2beg[7] , \tile_x2y9_s2beg[6] , \tile_x2y9_s2beg[5] , \tile_x2y9_s2beg[4] , \tile_x2y9_s2beg[3] , \tile_x2y9_s2beg[2] , \tile_x2y9_s2beg[1] , \tile_x2y9_s2beg[0] }),
.s2begb({ \tile_x2y9_s2begb[7] , \tile_x2y9_s2begb[6] , \tile_x2y9_s2begb[5] , \tile_x2y9_s2begb[4] , \tile_x2y9_s2begb[3] , \tile_x2y9_s2begb[2] , \tile_x2y9_s2begb[1] , \tile_x2y9_s2begb[0] }),
.s4beg({ \tile_x2y9_s4beg[15] , \tile_x2y9_s4beg[14] , \tile_x2y9_s4beg[13] , \tile_x2y9_s4beg[12] , \tile_x2y9_s4beg[11] , \tile_x2y9_s4beg[10] , \tile_x2y9_s4beg[9] , \tile_x2y9_s4beg[8] , \tile_x2y9_s4beg[7] , \tile_x2y9_s4beg[6] , \tile_x2y9_s4beg[5] , \tile_x2y9_s4beg[4] , \tile_x2y9_s4beg[3] , \tile_x2y9_s4beg[2] , \tile_x2y9_s4beg[1] , \tile_x2y9_s4beg[0] }),
.ss4beg({ \tile_x2y9_ss4beg[15] , \tile_x2y9_ss4beg[14] , \tile_x2y9_ss4beg[13] , \tile_x2y9_ss4beg[12] , \tile_x2y9_ss4beg[11] , \tile_x2y9_ss4beg[10] , \tile_x2y9_ss4beg[9] , \tile_x2y9_ss4beg[8] , \tile_x2y9_ss4beg[7] , \tile_x2y9_ss4beg[6] , \tile_x2y9_ss4beg[5] , \tile_x2y9_ss4beg[4] , \tile_x2y9_ss4beg[3] , \tile_x2y9_ss4beg[2] , \tile_x2y9_ss4beg[1] , \tile_x2y9_ss4beg[0] }),
.userclk(tile_x2y10_userclko),
.userclko(tile_x2y9_userclko)
);
lut4ab tile_x3y10_lut4ab (
.ci(tile_x3y11_co),
.co(tile_x3y10_co),
.e1beg({ \tile_x3y10_e1beg[3] , \tile_x3y10_e1beg[2] , \tile_x3y10_e1beg[1] , \tile_x3y10_e1beg[0] }),
.e1end({ \tile_x2y10_e1beg[3] , \tile_x2y10_e1beg[2] , \tile_x2y10_e1beg[1] , \tile_x2y10_e1beg[0] }),
.e2beg({ \tile_x3y10_e2beg[7] , \tile_x3y10_e2beg[6] , \tile_x3y10_e2beg[5] , \tile_x3y10_e2beg[4] , \tile_x3y10_e2beg[3] , \tile_x3y10_e2beg[2] , \tile_x3y10_e2beg[1] , \tile_x3y10_e2beg[0] }),
.e2begb({ \tile_x3y10_e2begb[7] , \tile_x3y10_e2begb[6] , \tile_x3y10_e2begb[5] , \tile_x3y10_e2begb[4] , \tile_x3y10_e2begb[3] , \tile_x3y10_e2begb[2] , \tile_x3y10_e2begb[1] , \tile_x3y10_e2begb[0] }),
.e2end({ \tile_x2y10_e2begb[7] , \tile_x2y10_e2begb[6] , \tile_x2y10_e2begb[5] , \tile_x2y10_e2begb[4] , \tile_x2y10_e2begb[3] , \tile_x2y10_e2begb[2] , \tile_x2y10_e2begb[1] , \tile_x2y10_e2begb[0] }),
.e2mid({ \tile_x2y10_e2beg[7] , \tile_x2y10_e2beg[6] , \tile_x2y10_e2beg[5] , \tile_x2y10_e2beg[4] , \tile_x2y10_e2beg[3] , \tile_x2y10_e2beg[2] , \tile_x2y10_e2beg[1] , \tile_x2y10_e2beg[0] }),
.e6beg({ \tile_x3y10_e6beg[11] , \tile_x3y10_e6beg[10] , \tile_x3y10_e6beg[9] , \tile_x3y10_e6beg[8] , \tile_x3y10_e6beg[7] , \tile_x3y10_e6beg[6] , \tile_x3y10_e6beg[5] , \tile_x3y10_e6beg[4] , \tile_x3y10_e6beg[3] , \tile_x3y10_e6beg[2] , \tile_x3y10_e6beg[1] , \tile_x3y10_e6beg[0] }),
.e6end({ \tile_x2y10_e6beg[11] , \tile_x2y10_e6beg[10] , \tile_x2y10_e6beg[9] , \tile_x2y10_e6beg[8] , \tile_x2y10_e6beg[7] , \tile_x2y10_e6beg[6] , \tile_x2y10_e6beg[5] , \tile_x2y10_e6beg[4] , \tile_x2y10_e6beg[3] , \tile_x2y10_e6beg[2] , \tile_x2y10_e6beg[1] , \tile_x2y10_e6beg[0] }),
.ee4beg({ \tile_x3y10_ee4beg[15] , \tile_x3y10_ee4beg[14] , \tile_x3y10_ee4beg[13] , \tile_x3y10_ee4beg[12] , \tile_x3y10_ee4beg[11] , \tile_x3y10_ee4beg[10] , \tile_x3y10_ee4beg[9] , \tile_x3y10_ee4beg[8] , \tile_x3y10_ee4beg[7] , \tile_x3y10_ee4beg[6] , \tile_x3y10_ee4beg[5] , \tile_x3y10_ee4beg[4] , \tile_x3y10_ee4beg[3] , \tile_x3y10_ee4beg[2] , \tile_x3y10_ee4beg[1] , \tile_x3y10_ee4beg[0] }),
.ee4end({ \tile_x2y10_ee4beg[15] , \tile_x2y10_ee4beg[14] , \tile_x2y10_ee4beg[13] , \tile_x2y10_ee4beg[12] , \tile_x2y10_ee4beg[11] , \tile_x2y10_ee4beg[10] , \tile_x2y10_ee4beg[9] , \tile_x2y10_ee4beg[8] , \tile_x2y10_ee4beg[7] , \tile_x2y10_ee4beg[6] , \tile_x2y10_ee4beg[5] , \tile_x2y10_ee4beg[4] , \tile_x2y10_ee4beg[3] , \tile_x2y10_ee4beg[2] , \tile_x2y10_ee4beg[1] , \tile_x2y10_ee4beg[0] }),
.framedata({ \tile_x2y10_framedata_o[31] , \tile_x2y10_framedata_o[30] , \tile_x2y10_framedata_o[29] , \tile_x2y10_framedata_o[28] , \tile_x2y10_framedata_o[27] , \tile_x2y10_framedata_o[26] , \tile_x2y10_framedata_o[25] , \tile_x2y10_framedata_o[24] , \tile_x2y10_framedata_o[23] , \tile_x2y10_framedata_o[22] , \tile_x2y10_framedata_o[21] , \tile_x2y10_framedata_o[20] , \tile_x2y10_framedata_o[19] , \tile_x2y10_framedata_o[18] , \tile_x2y10_framedata_o[17] , \tile_x2y10_framedata_o[16] , \tile_x2y10_framedata_o[15] , \tile_x2y10_framedata_o[14] , \tile_x2y10_framedata_o[13] , \tile_x2y10_framedata_o[12] , \tile_x2y10_framedata_o[11] , \tile_x2y10_framedata_o[10] , \tile_x2y10_framedata_o[9] , \tile_x2y10_framedata_o[8] , \tile_x2y10_framedata_o[7] , \tile_x2y10_framedata_o[6] , \tile_x2y10_framedata_o[5] , \tile_x2y10_framedata_o[4] , \tile_x2y10_framedata_o[3] , \tile_x2y10_framedata_o[2] , \tile_x2y10_framedata_o[1] , \tile_x2y10_framedata_o[0] }),
.framedata_o({ \tile_x3y10_framedata_o[31] , \tile_x3y10_framedata_o[30] , \tile_x3y10_framedata_o[29] , \tile_x3y10_framedata_o[28] , \tile_x3y10_framedata_o[27] , \tile_x3y10_framedata_o[26] , \tile_x3y10_framedata_o[25] , \tile_x3y10_framedata_o[24] , \tile_x3y10_framedata_o[23] , \tile_x3y10_framedata_o[22] , \tile_x3y10_framedata_o[21] , \tile_x3y10_framedata_o[20] , \tile_x3y10_framedata_o[19] , \tile_x3y10_framedata_o[18] , \tile_x3y10_framedata_o[17] , \tile_x3y10_framedata_o[16] , \tile_x3y10_framedata_o[15] , \tile_x3y10_framedata_o[14] , \tile_x3y10_framedata_o[13] , \tile_x3y10_framedata_o[12] , \tile_x3y10_framedata_o[11] , \tile_x3y10_framedata_o[10] , \tile_x3y10_framedata_o[9] , \tile_x3y10_framedata_o[8] , \tile_x3y10_framedata_o[7] , \tile_x3y10_framedata_o[6] , \tile_x3y10_framedata_o[5] , \tile_x3y10_framedata_o[4] , \tile_x3y10_framedata_o[3] , \tile_x3y10_framedata_o[2] , \tile_x3y10_framedata_o[1] , \tile_x3y10_framedata_o[0] }),
.framestrobe({ \tile_x3y11_framestrobe_o[19] , \tile_x3y11_framestrobe_o[18] , \tile_x3y11_framestrobe_o[17] , \tile_x3y11_framestrobe_o[16] , \tile_x3y11_framestrobe_o[15] , \tile_x3y11_framestrobe_o[14] , \tile_x3y11_framestrobe_o[13] , \tile_x3y11_framestrobe_o[12] , \tile_x3y11_framestrobe_o[11] , \tile_x3y11_framestrobe_o[10] , \tile_x3y11_framestrobe_o[9] , \tile_x3y11_framestrobe_o[8] , \tile_x3y11_framestrobe_o[7] , \tile_x3y11_framestrobe_o[6] , \tile_x3y11_framestrobe_o[5] , \tile_x3y11_framestrobe_o[4] , \tile_x3y11_framestrobe_o[3] , \tile_x3y11_framestrobe_o[2] , \tile_x3y11_framestrobe_o[1] , \tile_x3y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y10_framestrobe_o[19] , \tile_x3y10_framestrobe_o[18] , \tile_x3y10_framestrobe_o[17] , \tile_x3y10_framestrobe_o[16] , \tile_x3y10_framestrobe_o[15] , \tile_x3y10_framestrobe_o[14] , \tile_x3y10_framestrobe_o[13] , \tile_x3y10_framestrobe_o[12] , \tile_x3y10_framestrobe_o[11] , \tile_x3y10_framestrobe_o[10] , \tile_x3y10_framestrobe_o[9] , \tile_x3y10_framestrobe_o[8] , \tile_x3y10_framestrobe_o[7] , \tile_x3y10_framestrobe_o[6] , \tile_x3y10_framestrobe_o[5] , \tile_x3y10_framestrobe_o[4] , \tile_x3y10_framestrobe_o[3] , \tile_x3y10_framestrobe_o[2] , \tile_x3y10_framestrobe_o[1] , \tile_x3y10_framestrobe_o[0] }),
.n1beg({ \tile_x3y10_n1beg[3] , \tile_x3y10_n1beg[2] , \tile_x3y10_n1beg[1] , \tile_x3y10_n1beg[0] }),
.n1end({ \tile_x3y11_n1beg[3] , \tile_x3y11_n1beg[2] , \tile_x3y11_n1beg[1] , \tile_x3y11_n1beg[0] }),
.n2beg({ \tile_x3y10_n2beg[7] , \tile_x3y10_n2beg[6] , \tile_x3y10_n2beg[5] , \tile_x3y10_n2beg[4] , \tile_x3y10_n2beg[3] , \tile_x3y10_n2beg[2] , \tile_x3y10_n2beg[1] , \tile_x3y10_n2beg[0] }),
.n2begb({ \tile_x3y10_n2begb[7] , \tile_x3y10_n2begb[6] , \tile_x3y10_n2begb[5] , \tile_x3y10_n2begb[4] , \tile_x3y10_n2begb[3] , \tile_x3y10_n2begb[2] , \tile_x3y10_n2begb[1] , \tile_x3y10_n2begb[0] }),
.n2end({ \tile_x3y11_n2begb[7] , \tile_x3y11_n2begb[6] , \tile_x3y11_n2begb[5] , \tile_x3y11_n2begb[4] , \tile_x3y11_n2begb[3] , \tile_x3y11_n2begb[2] , \tile_x3y11_n2begb[1] , \tile_x3y11_n2begb[0] }),
.n2mid({ \tile_x3y11_n2beg[7] , \tile_x3y11_n2beg[6] , \tile_x3y11_n2beg[5] , \tile_x3y11_n2beg[4] , \tile_x3y11_n2beg[3] , \tile_x3y11_n2beg[2] , \tile_x3y11_n2beg[1] , \tile_x3y11_n2beg[0] }),
.n4beg({ \tile_x3y10_n4beg[15] , \tile_x3y10_n4beg[14] , \tile_x3y10_n4beg[13] , \tile_x3y10_n4beg[12] , \tile_x3y10_n4beg[11] , \tile_x3y10_n4beg[10] , \tile_x3y10_n4beg[9] , \tile_x3y10_n4beg[8] , \tile_x3y10_n4beg[7] , \tile_x3y10_n4beg[6] , \tile_x3y10_n4beg[5] , \tile_x3y10_n4beg[4] , \tile_x3y10_n4beg[3] , \tile_x3y10_n4beg[2] , \tile_x3y10_n4beg[1] , \tile_x3y10_n4beg[0] }),
.n4end({ \tile_x3y11_n4beg[15] , \tile_x3y11_n4beg[14] , \tile_x3y11_n4beg[13] , \tile_x3y11_n4beg[12] , \tile_x3y11_n4beg[11] , \tile_x3y11_n4beg[10] , \tile_x3y11_n4beg[9] , \tile_x3y11_n4beg[8] , \tile_x3y11_n4beg[7] , \tile_x3y11_n4beg[6] , \tile_x3y11_n4beg[5] , \tile_x3y11_n4beg[4] , \tile_x3y11_n4beg[3] , \tile_x3y11_n4beg[2] , \tile_x3y11_n4beg[1] , \tile_x3y11_n4beg[0] }),
.nn4beg({ \tile_x3y10_nn4beg[15] , \tile_x3y10_nn4beg[14] , \tile_x3y10_nn4beg[13] , \tile_x3y10_nn4beg[12] , \tile_x3y10_nn4beg[11] , \tile_x3y10_nn4beg[10] , \tile_x3y10_nn4beg[9] , \tile_x3y10_nn4beg[8] , \tile_x3y10_nn4beg[7] , \tile_x3y10_nn4beg[6] , \tile_x3y10_nn4beg[5] , \tile_x3y10_nn4beg[4] , \tile_x3y10_nn4beg[3] , \tile_x3y10_nn4beg[2] , \tile_x3y10_nn4beg[1] , \tile_x3y10_nn4beg[0] }),
.nn4end({ \tile_x3y11_nn4beg[15] , \tile_x3y11_nn4beg[14] , \tile_x3y11_nn4beg[13] , \tile_x3y11_nn4beg[12] , \tile_x3y11_nn4beg[11] , \tile_x3y11_nn4beg[10] , \tile_x3y11_nn4beg[9] , \tile_x3y11_nn4beg[8] , \tile_x3y11_nn4beg[7] , \tile_x3y11_nn4beg[6] , \tile_x3y11_nn4beg[5] , \tile_x3y11_nn4beg[4] , \tile_x3y11_nn4beg[3] , \tile_x3y11_nn4beg[2] , \tile_x3y11_nn4beg[1] , \tile_x3y11_nn4beg[0] }),
.s1beg({ \tile_x3y10_s1beg[3] , \tile_x3y10_s1beg[2] , \tile_x3y10_s1beg[1] , \tile_x3y10_s1beg[0] }),
.s1end({ \tile_x3y9_s1beg[3] , \tile_x3y9_s1beg[2] , \tile_x3y9_s1beg[1] , \tile_x3y9_s1beg[0] }),
.s2beg({ \tile_x3y10_s2beg[7] , \tile_x3y10_s2beg[6] , \tile_x3y10_s2beg[5] , \tile_x3y10_s2beg[4] , \tile_x3y10_s2beg[3] , \tile_x3y10_s2beg[2] , \tile_x3y10_s2beg[1] , \tile_x3y10_s2beg[0] }),
.s2begb({ \tile_x3y10_s2begb[7] , \tile_x3y10_s2begb[6] , \tile_x3y10_s2begb[5] , \tile_x3y10_s2begb[4] , \tile_x3y10_s2begb[3] , \tile_x3y10_s2begb[2] , \tile_x3y10_s2begb[1] , \tile_x3y10_s2begb[0] }),
.s2end({ \tile_x3y9_s2begb[7] , \tile_x3y9_s2begb[6] , \tile_x3y9_s2begb[5] , \tile_x3y9_s2begb[4] , \tile_x3y9_s2begb[3] , \tile_x3y9_s2begb[2] , \tile_x3y9_s2begb[1] , \tile_x3y9_s2begb[0] }),
.s2mid({ \tile_x3y9_s2beg[7] , \tile_x3y9_s2beg[6] , \tile_x3y9_s2beg[5] , \tile_x3y9_s2beg[4] , \tile_x3y9_s2beg[3] , \tile_x3y9_s2beg[2] , \tile_x3y9_s2beg[1] , \tile_x3y9_s2beg[0] }),
.s4beg({ \tile_x3y10_s4beg[15] , \tile_x3y10_s4beg[14] , \tile_x3y10_s4beg[13] , \tile_x3y10_s4beg[12] , \tile_x3y10_s4beg[11] , \tile_x3y10_s4beg[10] , \tile_x3y10_s4beg[9] , \tile_x3y10_s4beg[8] , \tile_x3y10_s4beg[7] , \tile_x3y10_s4beg[6] , \tile_x3y10_s4beg[5] , \tile_x3y10_s4beg[4] , \tile_x3y10_s4beg[3] , \tile_x3y10_s4beg[2] , \tile_x3y10_s4beg[1] , \tile_x3y10_s4beg[0] }),
.s4end({ \tile_x3y9_s4beg[15] , \tile_x3y9_s4beg[14] , \tile_x3y9_s4beg[13] , \tile_x3y9_s4beg[12] , \tile_x3y9_s4beg[11] , \tile_x3y9_s4beg[10] , \tile_x3y9_s4beg[9] , \tile_x3y9_s4beg[8] , \tile_x3y9_s4beg[7] , \tile_x3y9_s4beg[6] , \tile_x3y9_s4beg[5] , \tile_x3y9_s4beg[4] , \tile_x3y9_s4beg[3] , \tile_x3y9_s4beg[2] , \tile_x3y9_s4beg[1] , \tile_x3y9_s4beg[0] }),
.ss4beg({ \tile_x3y10_ss4beg[15] , \tile_x3y10_ss4beg[14] , \tile_x3y10_ss4beg[13] , \tile_x3y10_ss4beg[12] , \tile_x3y10_ss4beg[11] , \tile_x3y10_ss4beg[10] , \tile_x3y10_ss4beg[9] , \tile_x3y10_ss4beg[8] , \tile_x3y10_ss4beg[7] , \tile_x3y10_ss4beg[6] , \tile_x3y10_ss4beg[5] , \tile_x3y10_ss4beg[4] , \tile_x3y10_ss4beg[3] , \tile_x3y10_ss4beg[2] , \tile_x3y10_ss4beg[1] , \tile_x3y10_ss4beg[0] }),
.ss4end({ \tile_x3y9_ss4beg[15] , \tile_x3y9_ss4beg[14] , \tile_x3y9_ss4beg[13] , \tile_x3y9_ss4beg[12] , \tile_x3y9_ss4beg[11] , \tile_x3y9_ss4beg[10] , \tile_x3y9_ss4beg[9] , \tile_x3y9_ss4beg[8] , \tile_x3y9_ss4beg[7] , \tile_x3y9_ss4beg[6] , \tile_x3y9_ss4beg[5] , \tile_x3y9_ss4beg[4] , \tile_x3y9_ss4beg[3] , \tile_x3y9_ss4beg[2] , \tile_x3y9_ss4beg[1] , \tile_x3y9_ss4beg[0] }),
.userclk(tile_x3y11_userclko),
.userclko(tile_x3y10_userclko),
.w1beg({ \tile_x3y10_w1beg[3] , \tile_x3y10_w1beg[2] , \tile_x3y10_w1beg[1] , \tile_x3y10_w1beg[0] }),
.w1end({ \tile_x4y10_w1beg[3] , \tile_x4y10_w1beg[2] , \tile_x4y10_w1beg[1] , \tile_x4y10_w1beg[0] }),
.w2beg({ \tile_x3y10_w2beg[7] , \tile_x3y10_w2beg[6] , \tile_x3y10_w2beg[5] , \tile_x3y10_w2beg[4] , \tile_x3y10_w2beg[3] , \tile_x3y10_w2beg[2] , \tile_x3y10_w2beg[1] , \tile_x3y10_w2beg[0] }),
.w2begb({ \tile_x3y10_w2begb[7] , \tile_x3y10_w2begb[6] , \tile_x3y10_w2begb[5] , \tile_x3y10_w2begb[4] , \tile_x3y10_w2begb[3] , \tile_x3y10_w2begb[2] , \tile_x3y10_w2begb[1] , \tile_x3y10_w2begb[0] }),
.w2end({ \tile_x4y10_w2begb[7] , \tile_x4y10_w2begb[6] , \tile_x4y10_w2begb[5] , \tile_x4y10_w2begb[4] , \tile_x4y10_w2begb[3] , \tile_x4y10_w2begb[2] , \tile_x4y10_w2begb[1] , \tile_x4y10_w2begb[0] }),
.w2mid({ \tile_x4y10_w2beg[7] , \tile_x4y10_w2beg[6] , \tile_x4y10_w2beg[5] , \tile_x4y10_w2beg[4] , \tile_x4y10_w2beg[3] , \tile_x4y10_w2beg[2] , \tile_x4y10_w2beg[1] , \tile_x4y10_w2beg[0] }),
.w6beg({ \tile_x3y10_w6beg[11] , \tile_x3y10_w6beg[10] , \tile_x3y10_w6beg[9] , \tile_x3y10_w6beg[8] , \tile_x3y10_w6beg[7] , \tile_x3y10_w6beg[6] , \tile_x3y10_w6beg[5] , \tile_x3y10_w6beg[4] , \tile_x3y10_w6beg[3] , \tile_x3y10_w6beg[2] , \tile_x3y10_w6beg[1] , \tile_x3y10_w6beg[0] }),
.w6end({ \tile_x4y10_w6beg[11] , \tile_x4y10_w6beg[10] , \tile_x4y10_w6beg[9] , \tile_x4y10_w6beg[8] , \tile_x4y10_w6beg[7] , \tile_x4y10_w6beg[6] , \tile_x4y10_w6beg[5] , \tile_x4y10_w6beg[4] , \tile_x4y10_w6beg[3] , \tile_x4y10_w6beg[2] , \tile_x4y10_w6beg[1] , \tile_x4y10_w6beg[0] }),
.ww4beg({ \tile_x3y10_ww4beg[15] , \tile_x3y10_ww4beg[14] , \tile_x3y10_ww4beg[13] , \tile_x3y10_ww4beg[12] , \tile_x3y10_ww4beg[11] , \tile_x3y10_ww4beg[10] , \tile_x3y10_ww4beg[9] , \tile_x3y10_ww4beg[8] , \tile_x3y10_ww4beg[7] , \tile_x3y10_ww4beg[6] , \tile_x3y10_ww4beg[5] , \tile_x3y10_ww4beg[4] , \tile_x3y10_ww4beg[3] , \tile_x3y10_ww4beg[2] , \tile_x3y10_ww4beg[1] , \tile_x3y10_ww4beg[0] }),
.ww4end({ \tile_x4y10_ww4beg[15] , \tile_x4y10_ww4beg[14] , \tile_x4y10_ww4beg[13] , \tile_x4y10_ww4beg[12] , \tile_x4y10_ww4beg[11] , \tile_x4y10_ww4beg[10] , \tile_x4y10_ww4beg[9] , \tile_x4y10_ww4beg[8] , \tile_x4y10_ww4beg[7] , \tile_x4y10_ww4beg[6] , \tile_x4y10_ww4beg[5] , \tile_x4y10_ww4beg[4] , \tile_x4y10_ww4beg[3] , \tile_x4y10_ww4beg[2] , \tile_x4y10_ww4beg[1] , \tile_x4y10_ww4beg[0] })
);
lut4ab tile_x3y11_lut4ab (
.ci(tile_x3y12_co),
.co(tile_x3y11_co),
.e1beg({ \tile_x3y11_e1beg[3] , \tile_x3y11_e1beg[2] , \tile_x3y11_e1beg[1] , \tile_x3y11_e1beg[0] }),
.e1end({ \tile_x2y11_e1beg[3] , \tile_x2y11_e1beg[2] , \tile_x2y11_e1beg[1] , \tile_x2y11_e1beg[0] }),
.e2beg({ \tile_x3y11_e2beg[7] , \tile_x3y11_e2beg[6] , \tile_x3y11_e2beg[5] , \tile_x3y11_e2beg[4] , \tile_x3y11_e2beg[3] , \tile_x3y11_e2beg[2] , \tile_x3y11_e2beg[1] , \tile_x3y11_e2beg[0] }),
.e2begb({ \tile_x3y11_e2begb[7] , \tile_x3y11_e2begb[6] , \tile_x3y11_e2begb[5] , \tile_x3y11_e2begb[4] , \tile_x3y11_e2begb[3] , \tile_x3y11_e2begb[2] , \tile_x3y11_e2begb[1] , \tile_x3y11_e2begb[0] }),
.e2end({ \tile_x2y11_e2begb[7] , \tile_x2y11_e2begb[6] , \tile_x2y11_e2begb[5] , \tile_x2y11_e2begb[4] , \tile_x2y11_e2begb[3] , \tile_x2y11_e2begb[2] , \tile_x2y11_e2begb[1] , \tile_x2y11_e2begb[0] }),
.e2mid({ \tile_x2y11_e2beg[7] , \tile_x2y11_e2beg[6] , \tile_x2y11_e2beg[5] , \tile_x2y11_e2beg[4] , \tile_x2y11_e2beg[3] , \tile_x2y11_e2beg[2] , \tile_x2y11_e2beg[1] , \tile_x2y11_e2beg[0] }),
.e6beg({ \tile_x3y11_e6beg[11] , \tile_x3y11_e6beg[10] , \tile_x3y11_e6beg[9] , \tile_x3y11_e6beg[8] , \tile_x3y11_e6beg[7] , \tile_x3y11_e6beg[6] , \tile_x3y11_e6beg[5] , \tile_x3y11_e6beg[4] , \tile_x3y11_e6beg[3] , \tile_x3y11_e6beg[2] , \tile_x3y11_e6beg[1] , \tile_x3y11_e6beg[0] }),
.e6end({ \tile_x2y11_e6beg[11] , \tile_x2y11_e6beg[10] , \tile_x2y11_e6beg[9] , \tile_x2y11_e6beg[8] , \tile_x2y11_e6beg[7] , \tile_x2y11_e6beg[6] , \tile_x2y11_e6beg[5] , \tile_x2y11_e6beg[4] , \tile_x2y11_e6beg[3] , \tile_x2y11_e6beg[2] , \tile_x2y11_e6beg[1] , \tile_x2y11_e6beg[0] }),
.ee4beg({ \tile_x3y11_ee4beg[15] , \tile_x3y11_ee4beg[14] , \tile_x3y11_ee4beg[13] , \tile_x3y11_ee4beg[12] , \tile_x3y11_ee4beg[11] , \tile_x3y11_ee4beg[10] , \tile_x3y11_ee4beg[9] , \tile_x3y11_ee4beg[8] , \tile_x3y11_ee4beg[7] , \tile_x3y11_ee4beg[6] , \tile_x3y11_ee4beg[5] , \tile_x3y11_ee4beg[4] , \tile_x3y11_ee4beg[3] , \tile_x3y11_ee4beg[2] , \tile_x3y11_ee4beg[1] , \tile_x3y11_ee4beg[0] }),
.ee4end({ \tile_x2y11_ee4beg[15] , \tile_x2y11_ee4beg[14] , \tile_x2y11_ee4beg[13] , \tile_x2y11_ee4beg[12] , \tile_x2y11_ee4beg[11] , \tile_x2y11_ee4beg[10] , \tile_x2y11_ee4beg[9] , \tile_x2y11_ee4beg[8] , \tile_x2y11_ee4beg[7] , \tile_x2y11_ee4beg[6] , \tile_x2y11_ee4beg[5] , \tile_x2y11_ee4beg[4] , \tile_x2y11_ee4beg[3] , \tile_x2y11_ee4beg[2] , \tile_x2y11_ee4beg[1] , \tile_x2y11_ee4beg[0] }),
.framedata({ \tile_x2y11_framedata_o[31] , \tile_x2y11_framedata_o[30] , \tile_x2y11_framedata_o[29] , \tile_x2y11_framedata_o[28] , \tile_x2y11_framedata_o[27] , \tile_x2y11_framedata_o[26] , \tile_x2y11_framedata_o[25] , \tile_x2y11_framedata_o[24] , \tile_x2y11_framedata_o[23] , \tile_x2y11_framedata_o[22] , \tile_x2y11_framedata_o[21] , \tile_x2y11_framedata_o[20] , \tile_x2y11_framedata_o[19] , \tile_x2y11_framedata_o[18] , \tile_x2y11_framedata_o[17] , \tile_x2y11_framedata_o[16] , \tile_x2y11_framedata_o[15] , \tile_x2y11_framedata_o[14] , \tile_x2y11_framedata_o[13] , \tile_x2y11_framedata_o[12] , \tile_x2y11_framedata_o[11] , \tile_x2y11_framedata_o[10] , \tile_x2y11_framedata_o[9] , \tile_x2y11_framedata_o[8] , \tile_x2y11_framedata_o[7] , \tile_x2y11_framedata_o[6] , \tile_x2y11_framedata_o[5] , \tile_x2y11_framedata_o[4] , \tile_x2y11_framedata_o[3] , \tile_x2y11_framedata_o[2] , \tile_x2y11_framedata_o[1] , \tile_x2y11_framedata_o[0] }),
.framedata_o({ \tile_x3y11_framedata_o[31] , \tile_x3y11_framedata_o[30] , \tile_x3y11_framedata_o[29] , \tile_x3y11_framedata_o[28] , \tile_x3y11_framedata_o[27] , \tile_x3y11_framedata_o[26] , \tile_x3y11_framedata_o[25] , \tile_x3y11_framedata_o[24] , \tile_x3y11_framedata_o[23] , \tile_x3y11_framedata_o[22] , \tile_x3y11_framedata_o[21] , \tile_x3y11_framedata_o[20] , \tile_x3y11_framedata_o[19] , \tile_x3y11_framedata_o[18] , \tile_x3y11_framedata_o[17] , \tile_x3y11_framedata_o[16] , \tile_x3y11_framedata_o[15] , \tile_x3y11_framedata_o[14] , \tile_x3y11_framedata_o[13] , \tile_x3y11_framedata_o[12] , \tile_x3y11_framedata_o[11] , \tile_x3y11_framedata_o[10] , \tile_x3y11_framedata_o[9] , \tile_x3y11_framedata_o[8] , \tile_x3y11_framedata_o[7] , \tile_x3y11_framedata_o[6] , \tile_x3y11_framedata_o[5] , \tile_x3y11_framedata_o[4] , \tile_x3y11_framedata_o[3] , \tile_x3y11_framedata_o[2] , \tile_x3y11_framedata_o[1] , \tile_x3y11_framedata_o[0] }),
.framestrobe({ \tile_x3y12_framestrobe_o[19] , \tile_x3y12_framestrobe_o[18] , \tile_x3y12_framestrobe_o[17] , \tile_x3y12_framestrobe_o[16] , \tile_x3y12_framestrobe_o[15] , \tile_x3y12_framestrobe_o[14] , \tile_x3y12_framestrobe_o[13] , \tile_x3y12_framestrobe_o[12] , \tile_x3y12_framestrobe_o[11] , \tile_x3y12_framestrobe_o[10] , \tile_x3y12_framestrobe_o[9] , \tile_x3y12_framestrobe_o[8] , \tile_x3y12_framestrobe_o[7] , \tile_x3y12_framestrobe_o[6] , \tile_x3y12_framestrobe_o[5] , \tile_x3y12_framestrobe_o[4] , \tile_x3y12_framestrobe_o[3] , \tile_x3y12_framestrobe_o[2] , \tile_x3y12_framestrobe_o[1] , \tile_x3y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y11_framestrobe_o[19] , \tile_x3y11_framestrobe_o[18] , \tile_x3y11_framestrobe_o[17] , \tile_x3y11_framestrobe_o[16] , \tile_x3y11_framestrobe_o[15] , \tile_x3y11_framestrobe_o[14] , \tile_x3y11_framestrobe_o[13] , \tile_x3y11_framestrobe_o[12] , \tile_x3y11_framestrobe_o[11] , \tile_x3y11_framestrobe_o[10] , \tile_x3y11_framestrobe_o[9] , \tile_x3y11_framestrobe_o[8] , \tile_x3y11_framestrobe_o[7] , \tile_x3y11_framestrobe_o[6] , \tile_x3y11_framestrobe_o[5] , \tile_x3y11_framestrobe_o[4] , \tile_x3y11_framestrobe_o[3] , \tile_x3y11_framestrobe_o[2] , \tile_x3y11_framestrobe_o[1] , \tile_x3y11_framestrobe_o[0] }),
.n1beg({ \tile_x3y11_n1beg[3] , \tile_x3y11_n1beg[2] , \tile_x3y11_n1beg[1] , \tile_x3y11_n1beg[0] }),
.n1end({ \tile_x3y12_n1beg[3] , \tile_x3y12_n1beg[2] , \tile_x3y12_n1beg[1] , \tile_x3y12_n1beg[0] }),
.n2beg({ \tile_x3y11_n2beg[7] , \tile_x3y11_n2beg[6] , \tile_x3y11_n2beg[5] , \tile_x3y11_n2beg[4] , \tile_x3y11_n2beg[3] , \tile_x3y11_n2beg[2] , \tile_x3y11_n2beg[1] , \tile_x3y11_n2beg[0] }),
.n2begb({ \tile_x3y11_n2begb[7] , \tile_x3y11_n2begb[6] , \tile_x3y11_n2begb[5] , \tile_x3y11_n2begb[4] , \tile_x3y11_n2begb[3] , \tile_x3y11_n2begb[2] , \tile_x3y11_n2begb[1] , \tile_x3y11_n2begb[0] }),
.n2end({ \tile_x3y12_n2begb[7] , \tile_x3y12_n2begb[6] , \tile_x3y12_n2begb[5] , \tile_x3y12_n2begb[4] , \tile_x3y12_n2begb[3] , \tile_x3y12_n2begb[2] , \tile_x3y12_n2begb[1] , \tile_x3y12_n2begb[0] }),
.n2mid({ \tile_x3y12_n2beg[7] , \tile_x3y12_n2beg[6] , \tile_x3y12_n2beg[5] , \tile_x3y12_n2beg[4] , \tile_x3y12_n2beg[3] , \tile_x3y12_n2beg[2] , \tile_x3y12_n2beg[1] , \tile_x3y12_n2beg[0] }),
.n4beg({ \tile_x3y11_n4beg[15] , \tile_x3y11_n4beg[14] , \tile_x3y11_n4beg[13] , \tile_x3y11_n4beg[12] , \tile_x3y11_n4beg[11] , \tile_x3y11_n4beg[10] , \tile_x3y11_n4beg[9] , \tile_x3y11_n4beg[8] , \tile_x3y11_n4beg[7] , \tile_x3y11_n4beg[6] , \tile_x3y11_n4beg[5] , \tile_x3y11_n4beg[4] , \tile_x3y11_n4beg[3] , \tile_x3y11_n4beg[2] , \tile_x3y11_n4beg[1] , \tile_x3y11_n4beg[0] }),
.n4end({ \tile_x3y12_n4beg[15] , \tile_x3y12_n4beg[14] , \tile_x3y12_n4beg[13] , \tile_x3y12_n4beg[12] , \tile_x3y12_n4beg[11] , \tile_x3y12_n4beg[10] , \tile_x3y12_n4beg[9] , \tile_x3y12_n4beg[8] , \tile_x3y12_n4beg[7] , \tile_x3y12_n4beg[6] , \tile_x3y12_n4beg[5] , \tile_x3y12_n4beg[4] , \tile_x3y12_n4beg[3] , \tile_x3y12_n4beg[2] , \tile_x3y12_n4beg[1] , \tile_x3y12_n4beg[0] }),
.nn4beg({ \tile_x3y11_nn4beg[15] , \tile_x3y11_nn4beg[14] , \tile_x3y11_nn4beg[13] , \tile_x3y11_nn4beg[12] , \tile_x3y11_nn4beg[11] , \tile_x3y11_nn4beg[10] , \tile_x3y11_nn4beg[9] , \tile_x3y11_nn4beg[8] , \tile_x3y11_nn4beg[7] , \tile_x3y11_nn4beg[6] , \tile_x3y11_nn4beg[5] , \tile_x3y11_nn4beg[4] , \tile_x3y11_nn4beg[3] , \tile_x3y11_nn4beg[2] , \tile_x3y11_nn4beg[1] , \tile_x3y11_nn4beg[0] }),
.nn4end({ \tile_x3y12_nn4beg[15] , \tile_x3y12_nn4beg[14] , \tile_x3y12_nn4beg[13] , \tile_x3y12_nn4beg[12] , \tile_x3y12_nn4beg[11] , \tile_x3y12_nn4beg[10] , \tile_x3y12_nn4beg[9] , \tile_x3y12_nn4beg[8] , \tile_x3y12_nn4beg[7] , \tile_x3y12_nn4beg[6] , \tile_x3y12_nn4beg[5] , \tile_x3y12_nn4beg[4] , \tile_x3y12_nn4beg[3] , \tile_x3y12_nn4beg[2] , \tile_x3y12_nn4beg[1] , \tile_x3y12_nn4beg[0] }),
.s1beg({ \tile_x3y11_s1beg[3] , \tile_x3y11_s1beg[2] , \tile_x3y11_s1beg[1] , \tile_x3y11_s1beg[0] }),
.s1end({ \tile_x3y10_s1beg[3] , \tile_x3y10_s1beg[2] , \tile_x3y10_s1beg[1] , \tile_x3y10_s1beg[0] }),
.s2beg({ \tile_x3y11_s2beg[7] , \tile_x3y11_s2beg[6] , \tile_x3y11_s2beg[5] , \tile_x3y11_s2beg[4] , \tile_x3y11_s2beg[3] , \tile_x3y11_s2beg[2] , \tile_x3y11_s2beg[1] , \tile_x3y11_s2beg[0] }),
.s2begb({ \tile_x3y11_s2begb[7] , \tile_x3y11_s2begb[6] , \tile_x3y11_s2begb[5] , \tile_x3y11_s2begb[4] , \tile_x3y11_s2begb[3] , \tile_x3y11_s2begb[2] , \tile_x3y11_s2begb[1] , \tile_x3y11_s2begb[0] }),
.s2end({ \tile_x3y10_s2begb[7] , \tile_x3y10_s2begb[6] , \tile_x3y10_s2begb[5] , \tile_x3y10_s2begb[4] , \tile_x3y10_s2begb[3] , \tile_x3y10_s2begb[2] , \tile_x3y10_s2begb[1] , \tile_x3y10_s2begb[0] }),
.s2mid({ \tile_x3y10_s2beg[7] , \tile_x3y10_s2beg[6] , \tile_x3y10_s2beg[5] , \tile_x3y10_s2beg[4] , \tile_x3y10_s2beg[3] , \tile_x3y10_s2beg[2] , \tile_x3y10_s2beg[1] , \tile_x3y10_s2beg[0] }),
.s4beg({ \tile_x3y11_s4beg[15] , \tile_x3y11_s4beg[14] , \tile_x3y11_s4beg[13] , \tile_x3y11_s4beg[12] , \tile_x3y11_s4beg[11] , \tile_x3y11_s4beg[10] , \tile_x3y11_s4beg[9] , \tile_x3y11_s4beg[8] , \tile_x3y11_s4beg[7] , \tile_x3y11_s4beg[6] , \tile_x3y11_s4beg[5] , \tile_x3y11_s4beg[4] , \tile_x3y11_s4beg[3] , \tile_x3y11_s4beg[2] , \tile_x3y11_s4beg[1] , \tile_x3y11_s4beg[0] }),
.s4end({ \tile_x3y10_s4beg[15] , \tile_x3y10_s4beg[14] , \tile_x3y10_s4beg[13] , \tile_x3y10_s4beg[12] , \tile_x3y10_s4beg[11] , \tile_x3y10_s4beg[10] , \tile_x3y10_s4beg[9] , \tile_x3y10_s4beg[8] , \tile_x3y10_s4beg[7] , \tile_x3y10_s4beg[6] , \tile_x3y10_s4beg[5] , \tile_x3y10_s4beg[4] , \tile_x3y10_s4beg[3] , \tile_x3y10_s4beg[2] , \tile_x3y10_s4beg[1] , \tile_x3y10_s4beg[0] }),
.ss4beg({ \tile_x3y11_ss4beg[15] , \tile_x3y11_ss4beg[14] , \tile_x3y11_ss4beg[13] , \tile_x3y11_ss4beg[12] , \tile_x3y11_ss4beg[11] , \tile_x3y11_ss4beg[10] , \tile_x3y11_ss4beg[9] , \tile_x3y11_ss4beg[8] , \tile_x3y11_ss4beg[7] , \tile_x3y11_ss4beg[6] , \tile_x3y11_ss4beg[5] , \tile_x3y11_ss4beg[4] , \tile_x3y11_ss4beg[3] , \tile_x3y11_ss4beg[2] , \tile_x3y11_ss4beg[1] , \tile_x3y11_ss4beg[0] }),
.ss4end({ \tile_x3y10_ss4beg[15] , \tile_x3y10_ss4beg[14] , \tile_x3y10_ss4beg[13] , \tile_x3y10_ss4beg[12] , \tile_x3y10_ss4beg[11] , \tile_x3y10_ss4beg[10] , \tile_x3y10_ss4beg[9] , \tile_x3y10_ss4beg[8] , \tile_x3y10_ss4beg[7] , \tile_x3y10_ss4beg[6] , \tile_x3y10_ss4beg[5] , \tile_x3y10_ss4beg[4] , \tile_x3y10_ss4beg[3] , \tile_x3y10_ss4beg[2] , \tile_x3y10_ss4beg[1] , \tile_x3y10_ss4beg[0] }),
.userclk(tile_x3y12_userclko),
.userclko(tile_x3y11_userclko),
.w1beg({ \tile_x3y11_w1beg[3] , \tile_x3y11_w1beg[2] , \tile_x3y11_w1beg[1] , \tile_x3y11_w1beg[0] }),
.w1end({ \tile_x4y11_w1beg[3] , \tile_x4y11_w1beg[2] , \tile_x4y11_w1beg[1] , \tile_x4y11_w1beg[0] }),
.w2beg({ \tile_x3y11_w2beg[7] , \tile_x3y11_w2beg[6] , \tile_x3y11_w2beg[5] , \tile_x3y11_w2beg[4] , \tile_x3y11_w2beg[3] , \tile_x3y11_w2beg[2] , \tile_x3y11_w2beg[1] , \tile_x3y11_w2beg[0] }),
.w2begb({ \tile_x3y11_w2begb[7] , \tile_x3y11_w2begb[6] , \tile_x3y11_w2begb[5] , \tile_x3y11_w2begb[4] , \tile_x3y11_w2begb[3] , \tile_x3y11_w2begb[2] , \tile_x3y11_w2begb[1] , \tile_x3y11_w2begb[0] }),
.w2end({ \tile_x4y11_w2begb[7] , \tile_x4y11_w2begb[6] , \tile_x4y11_w2begb[5] , \tile_x4y11_w2begb[4] , \tile_x4y11_w2begb[3] , \tile_x4y11_w2begb[2] , \tile_x4y11_w2begb[1] , \tile_x4y11_w2begb[0] }),
.w2mid({ \tile_x4y11_w2beg[7] , \tile_x4y11_w2beg[6] , \tile_x4y11_w2beg[5] , \tile_x4y11_w2beg[4] , \tile_x4y11_w2beg[3] , \tile_x4y11_w2beg[2] , \tile_x4y11_w2beg[1] , \tile_x4y11_w2beg[0] }),
.w6beg({ \tile_x3y11_w6beg[11] , \tile_x3y11_w6beg[10] , \tile_x3y11_w6beg[9] , \tile_x3y11_w6beg[8] , \tile_x3y11_w6beg[7] , \tile_x3y11_w6beg[6] , \tile_x3y11_w6beg[5] , \tile_x3y11_w6beg[4] , \tile_x3y11_w6beg[3] , \tile_x3y11_w6beg[2] , \tile_x3y11_w6beg[1] , \tile_x3y11_w6beg[0] }),
.w6end({ \tile_x4y11_w6beg[11] , \tile_x4y11_w6beg[10] , \tile_x4y11_w6beg[9] , \tile_x4y11_w6beg[8] , \tile_x4y11_w6beg[7] , \tile_x4y11_w6beg[6] , \tile_x4y11_w6beg[5] , \tile_x4y11_w6beg[4] , \tile_x4y11_w6beg[3] , \tile_x4y11_w6beg[2] , \tile_x4y11_w6beg[1] , \tile_x4y11_w6beg[0] }),
.ww4beg({ \tile_x3y11_ww4beg[15] , \tile_x3y11_ww4beg[14] , \tile_x3y11_ww4beg[13] , \tile_x3y11_ww4beg[12] , \tile_x3y11_ww4beg[11] , \tile_x3y11_ww4beg[10] , \tile_x3y11_ww4beg[9] , \tile_x3y11_ww4beg[8] , \tile_x3y11_ww4beg[7] , \tile_x3y11_ww4beg[6] , \tile_x3y11_ww4beg[5] , \tile_x3y11_ww4beg[4] , \tile_x3y11_ww4beg[3] , \tile_x3y11_ww4beg[2] , \tile_x3y11_ww4beg[1] , \tile_x3y11_ww4beg[0] }),
.ww4end({ \tile_x4y11_ww4beg[15] , \tile_x4y11_ww4beg[14] , \tile_x4y11_ww4beg[13] , \tile_x4y11_ww4beg[12] , \tile_x4y11_ww4beg[11] , \tile_x4y11_ww4beg[10] , \tile_x4y11_ww4beg[9] , \tile_x4y11_ww4beg[8] , \tile_x4y11_ww4beg[7] , \tile_x4y11_ww4beg[6] , \tile_x4y11_ww4beg[5] , \tile_x4y11_ww4beg[4] , \tile_x4y11_ww4beg[3] , \tile_x4y11_ww4beg[2] , \tile_x4y11_ww4beg[1] , \tile_x4y11_ww4beg[0] })
);
lut4ab tile_x3y12_lut4ab (
.ci(tile_x3y13_co),
.co(tile_x3y12_co),
.e1beg({ \tile_x3y12_e1beg[3] , \tile_x3y12_e1beg[2] , \tile_x3y12_e1beg[1] , \tile_x3y12_e1beg[0] }),
.e1end({ \tile_x2y12_e1beg[3] , \tile_x2y12_e1beg[2] , \tile_x2y12_e1beg[1] , \tile_x2y12_e1beg[0] }),
.e2beg({ \tile_x3y12_e2beg[7] , \tile_x3y12_e2beg[6] , \tile_x3y12_e2beg[5] , \tile_x3y12_e2beg[4] , \tile_x3y12_e2beg[3] , \tile_x3y12_e2beg[2] , \tile_x3y12_e2beg[1] , \tile_x3y12_e2beg[0] }),
.e2begb({ \tile_x3y12_e2begb[7] , \tile_x3y12_e2begb[6] , \tile_x3y12_e2begb[5] , \tile_x3y12_e2begb[4] , \tile_x3y12_e2begb[3] , \tile_x3y12_e2begb[2] , \tile_x3y12_e2begb[1] , \tile_x3y12_e2begb[0] }),
.e2end({ \tile_x2y12_e2begb[7] , \tile_x2y12_e2begb[6] , \tile_x2y12_e2begb[5] , \tile_x2y12_e2begb[4] , \tile_x2y12_e2begb[3] , \tile_x2y12_e2begb[2] , \tile_x2y12_e2begb[1] , \tile_x2y12_e2begb[0] }),
.e2mid({ \tile_x2y12_e2beg[7] , \tile_x2y12_e2beg[6] , \tile_x2y12_e2beg[5] , \tile_x2y12_e2beg[4] , \tile_x2y12_e2beg[3] , \tile_x2y12_e2beg[2] , \tile_x2y12_e2beg[1] , \tile_x2y12_e2beg[0] }),
.e6beg({ \tile_x3y12_e6beg[11] , \tile_x3y12_e6beg[10] , \tile_x3y12_e6beg[9] , \tile_x3y12_e6beg[8] , \tile_x3y12_e6beg[7] , \tile_x3y12_e6beg[6] , \tile_x3y12_e6beg[5] , \tile_x3y12_e6beg[4] , \tile_x3y12_e6beg[3] , \tile_x3y12_e6beg[2] , \tile_x3y12_e6beg[1] , \tile_x3y12_e6beg[0] }),
.e6end({ \tile_x2y12_e6beg[11] , \tile_x2y12_e6beg[10] , \tile_x2y12_e6beg[9] , \tile_x2y12_e6beg[8] , \tile_x2y12_e6beg[7] , \tile_x2y12_e6beg[6] , \tile_x2y12_e6beg[5] , \tile_x2y12_e6beg[4] , \tile_x2y12_e6beg[3] , \tile_x2y12_e6beg[2] , \tile_x2y12_e6beg[1] , \tile_x2y12_e6beg[0] }),
.ee4beg({ \tile_x3y12_ee4beg[15] , \tile_x3y12_ee4beg[14] , \tile_x3y12_ee4beg[13] , \tile_x3y12_ee4beg[12] , \tile_x3y12_ee4beg[11] , \tile_x3y12_ee4beg[10] , \tile_x3y12_ee4beg[9] , \tile_x3y12_ee4beg[8] , \tile_x3y12_ee4beg[7] , \tile_x3y12_ee4beg[6] , \tile_x3y12_ee4beg[5] , \tile_x3y12_ee4beg[4] , \tile_x3y12_ee4beg[3] , \tile_x3y12_ee4beg[2] , \tile_x3y12_ee4beg[1] , \tile_x3y12_ee4beg[0] }),
.ee4end({ \tile_x2y12_ee4beg[15] , \tile_x2y12_ee4beg[14] , \tile_x2y12_ee4beg[13] , \tile_x2y12_ee4beg[12] , \tile_x2y12_ee4beg[11] , \tile_x2y12_ee4beg[10] , \tile_x2y12_ee4beg[9] , \tile_x2y12_ee4beg[8] , \tile_x2y12_ee4beg[7] , \tile_x2y12_ee4beg[6] , \tile_x2y12_ee4beg[5] , \tile_x2y12_ee4beg[4] , \tile_x2y12_ee4beg[3] , \tile_x2y12_ee4beg[2] , \tile_x2y12_ee4beg[1] , \tile_x2y12_ee4beg[0] }),
.framedata({ \tile_x2y12_framedata_o[31] , \tile_x2y12_framedata_o[30] , \tile_x2y12_framedata_o[29] , \tile_x2y12_framedata_o[28] , \tile_x2y12_framedata_o[27] , \tile_x2y12_framedata_o[26] , \tile_x2y12_framedata_o[25] , \tile_x2y12_framedata_o[24] , \tile_x2y12_framedata_o[23] , \tile_x2y12_framedata_o[22] , \tile_x2y12_framedata_o[21] , \tile_x2y12_framedata_o[20] , \tile_x2y12_framedata_o[19] , \tile_x2y12_framedata_o[18] , \tile_x2y12_framedata_o[17] , \tile_x2y12_framedata_o[16] , \tile_x2y12_framedata_o[15] , \tile_x2y12_framedata_o[14] , \tile_x2y12_framedata_o[13] , \tile_x2y12_framedata_o[12] , \tile_x2y12_framedata_o[11] , \tile_x2y12_framedata_o[10] , \tile_x2y12_framedata_o[9] , \tile_x2y12_framedata_o[8] , \tile_x2y12_framedata_o[7] , \tile_x2y12_framedata_o[6] , \tile_x2y12_framedata_o[5] , \tile_x2y12_framedata_o[4] , \tile_x2y12_framedata_o[3] , \tile_x2y12_framedata_o[2] , \tile_x2y12_framedata_o[1] , \tile_x2y12_framedata_o[0] }),
.framedata_o({ \tile_x3y12_framedata_o[31] , \tile_x3y12_framedata_o[30] , \tile_x3y12_framedata_o[29] , \tile_x3y12_framedata_o[28] , \tile_x3y12_framedata_o[27] , \tile_x3y12_framedata_o[26] , \tile_x3y12_framedata_o[25] , \tile_x3y12_framedata_o[24] , \tile_x3y12_framedata_o[23] , \tile_x3y12_framedata_o[22] , \tile_x3y12_framedata_o[21] , \tile_x3y12_framedata_o[20] , \tile_x3y12_framedata_o[19] , \tile_x3y12_framedata_o[18] , \tile_x3y12_framedata_o[17] , \tile_x3y12_framedata_o[16] , \tile_x3y12_framedata_o[15] , \tile_x3y12_framedata_o[14] , \tile_x3y12_framedata_o[13] , \tile_x3y12_framedata_o[12] , \tile_x3y12_framedata_o[11] , \tile_x3y12_framedata_o[10] , \tile_x3y12_framedata_o[9] , \tile_x3y12_framedata_o[8] , \tile_x3y12_framedata_o[7] , \tile_x3y12_framedata_o[6] , \tile_x3y12_framedata_o[5] , \tile_x3y12_framedata_o[4] , \tile_x3y12_framedata_o[3] , \tile_x3y12_framedata_o[2] , \tile_x3y12_framedata_o[1] , \tile_x3y12_framedata_o[0] }),
.framestrobe({ \tile_x3y13_framestrobe_o[19] , \tile_x3y13_framestrobe_o[18] , \tile_x3y13_framestrobe_o[17] , \tile_x3y13_framestrobe_o[16] , \tile_x3y13_framestrobe_o[15] , \tile_x3y13_framestrobe_o[14] , \tile_x3y13_framestrobe_o[13] , \tile_x3y13_framestrobe_o[12] , \tile_x3y13_framestrobe_o[11] , \tile_x3y13_framestrobe_o[10] , \tile_x3y13_framestrobe_o[9] , \tile_x3y13_framestrobe_o[8] , \tile_x3y13_framestrobe_o[7] , \tile_x3y13_framestrobe_o[6] , \tile_x3y13_framestrobe_o[5] , \tile_x3y13_framestrobe_o[4] , \tile_x3y13_framestrobe_o[3] , \tile_x3y13_framestrobe_o[2] , \tile_x3y13_framestrobe_o[1] , \tile_x3y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y12_framestrobe_o[19] , \tile_x3y12_framestrobe_o[18] , \tile_x3y12_framestrobe_o[17] , \tile_x3y12_framestrobe_o[16] , \tile_x3y12_framestrobe_o[15] , \tile_x3y12_framestrobe_o[14] , \tile_x3y12_framestrobe_o[13] , \tile_x3y12_framestrobe_o[12] , \tile_x3y12_framestrobe_o[11] , \tile_x3y12_framestrobe_o[10] , \tile_x3y12_framestrobe_o[9] , \tile_x3y12_framestrobe_o[8] , \tile_x3y12_framestrobe_o[7] , \tile_x3y12_framestrobe_o[6] , \tile_x3y12_framestrobe_o[5] , \tile_x3y12_framestrobe_o[4] , \tile_x3y12_framestrobe_o[3] , \tile_x3y12_framestrobe_o[2] , \tile_x3y12_framestrobe_o[1] , \tile_x3y12_framestrobe_o[0] }),
.n1beg({ \tile_x3y12_n1beg[3] , \tile_x3y12_n1beg[2] , \tile_x3y12_n1beg[1] , \tile_x3y12_n1beg[0] }),
.n1end({ \tile_x3y13_n1beg[3] , \tile_x3y13_n1beg[2] , \tile_x3y13_n1beg[1] , \tile_x3y13_n1beg[0] }),
.n2beg({ \tile_x3y12_n2beg[7] , \tile_x3y12_n2beg[6] , \tile_x3y12_n2beg[5] , \tile_x3y12_n2beg[4] , \tile_x3y12_n2beg[3] , \tile_x3y12_n2beg[2] , \tile_x3y12_n2beg[1] , \tile_x3y12_n2beg[0] }),
.n2begb({ \tile_x3y12_n2begb[7] , \tile_x3y12_n2begb[6] , \tile_x3y12_n2begb[5] , \tile_x3y12_n2begb[4] , \tile_x3y12_n2begb[3] , \tile_x3y12_n2begb[2] , \tile_x3y12_n2begb[1] , \tile_x3y12_n2begb[0] }),
.n2end({ \tile_x3y13_n2begb[7] , \tile_x3y13_n2begb[6] , \tile_x3y13_n2begb[5] , \tile_x3y13_n2begb[4] , \tile_x3y13_n2begb[3] , \tile_x3y13_n2begb[2] , \tile_x3y13_n2begb[1] , \tile_x3y13_n2begb[0] }),
.n2mid({ \tile_x3y13_n2beg[7] , \tile_x3y13_n2beg[6] , \tile_x3y13_n2beg[5] , \tile_x3y13_n2beg[4] , \tile_x3y13_n2beg[3] , \tile_x3y13_n2beg[2] , \tile_x3y13_n2beg[1] , \tile_x3y13_n2beg[0] }),
.n4beg({ \tile_x3y12_n4beg[15] , \tile_x3y12_n4beg[14] , \tile_x3y12_n4beg[13] , \tile_x3y12_n4beg[12] , \tile_x3y12_n4beg[11] , \tile_x3y12_n4beg[10] , \tile_x3y12_n4beg[9] , \tile_x3y12_n4beg[8] , \tile_x3y12_n4beg[7] , \tile_x3y12_n4beg[6] , \tile_x3y12_n4beg[5] , \tile_x3y12_n4beg[4] , \tile_x3y12_n4beg[3] , \tile_x3y12_n4beg[2] , \tile_x3y12_n4beg[1] , \tile_x3y12_n4beg[0] }),
.n4end({ \tile_x3y13_n4beg[15] , \tile_x3y13_n4beg[14] , \tile_x3y13_n4beg[13] , \tile_x3y13_n4beg[12] , \tile_x3y13_n4beg[11] , \tile_x3y13_n4beg[10] , \tile_x3y13_n4beg[9] , \tile_x3y13_n4beg[8] , \tile_x3y13_n4beg[7] , \tile_x3y13_n4beg[6] , \tile_x3y13_n4beg[5] , \tile_x3y13_n4beg[4] , \tile_x3y13_n4beg[3] , \tile_x3y13_n4beg[2] , \tile_x3y13_n4beg[1] , \tile_x3y13_n4beg[0] }),
.nn4beg({ \tile_x3y12_nn4beg[15] , \tile_x3y12_nn4beg[14] , \tile_x3y12_nn4beg[13] , \tile_x3y12_nn4beg[12] , \tile_x3y12_nn4beg[11] , \tile_x3y12_nn4beg[10] , \tile_x3y12_nn4beg[9] , \tile_x3y12_nn4beg[8] , \tile_x3y12_nn4beg[7] , \tile_x3y12_nn4beg[6] , \tile_x3y12_nn4beg[5] , \tile_x3y12_nn4beg[4] , \tile_x3y12_nn4beg[3] , \tile_x3y12_nn4beg[2] , \tile_x3y12_nn4beg[1] , \tile_x3y12_nn4beg[0] }),
.nn4end({ \tile_x3y13_nn4beg[15] , \tile_x3y13_nn4beg[14] , \tile_x3y13_nn4beg[13] , \tile_x3y13_nn4beg[12] , \tile_x3y13_nn4beg[11] , \tile_x3y13_nn4beg[10] , \tile_x3y13_nn4beg[9] , \tile_x3y13_nn4beg[8] , \tile_x3y13_nn4beg[7] , \tile_x3y13_nn4beg[6] , \tile_x3y13_nn4beg[5] , \tile_x3y13_nn4beg[4] , \tile_x3y13_nn4beg[3] , \tile_x3y13_nn4beg[2] , \tile_x3y13_nn4beg[1] , \tile_x3y13_nn4beg[0] }),
.s1beg({ \tile_x3y12_s1beg[3] , \tile_x3y12_s1beg[2] , \tile_x3y12_s1beg[1] , \tile_x3y12_s1beg[0] }),
.s1end({ \tile_x3y11_s1beg[3] , \tile_x3y11_s1beg[2] , \tile_x3y11_s1beg[1] , \tile_x3y11_s1beg[0] }),
.s2beg({ \tile_x3y12_s2beg[7] , \tile_x3y12_s2beg[6] , \tile_x3y12_s2beg[5] , \tile_x3y12_s2beg[4] , \tile_x3y12_s2beg[3] , \tile_x3y12_s2beg[2] , \tile_x3y12_s2beg[1] , \tile_x3y12_s2beg[0] }),
.s2begb({ \tile_x3y12_s2begb[7] , \tile_x3y12_s2begb[6] , \tile_x3y12_s2begb[5] , \tile_x3y12_s2begb[4] , \tile_x3y12_s2begb[3] , \tile_x3y12_s2begb[2] , \tile_x3y12_s2begb[1] , \tile_x3y12_s2begb[0] }),
.s2end({ \tile_x3y11_s2begb[7] , \tile_x3y11_s2begb[6] , \tile_x3y11_s2begb[5] , \tile_x3y11_s2begb[4] , \tile_x3y11_s2begb[3] , \tile_x3y11_s2begb[2] , \tile_x3y11_s2begb[1] , \tile_x3y11_s2begb[0] }),
.s2mid({ \tile_x3y11_s2beg[7] , \tile_x3y11_s2beg[6] , \tile_x3y11_s2beg[5] , \tile_x3y11_s2beg[4] , \tile_x3y11_s2beg[3] , \tile_x3y11_s2beg[2] , \tile_x3y11_s2beg[1] , \tile_x3y11_s2beg[0] }),
.s4beg({ \tile_x3y12_s4beg[15] , \tile_x3y12_s4beg[14] , \tile_x3y12_s4beg[13] , \tile_x3y12_s4beg[12] , \tile_x3y12_s4beg[11] , \tile_x3y12_s4beg[10] , \tile_x3y12_s4beg[9] , \tile_x3y12_s4beg[8] , \tile_x3y12_s4beg[7] , \tile_x3y12_s4beg[6] , \tile_x3y12_s4beg[5] , \tile_x3y12_s4beg[4] , \tile_x3y12_s4beg[3] , \tile_x3y12_s4beg[2] , \tile_x3y12_s4beg[1] , \tile_x3y12_s4beg[0] }),
.s4end({ \tile_x3y11_s4beg[15] , \tile_x3y11_s4beg[14] , \tile_x3y11_s4beg[13] , \tile_x3y11_s4beg[12] , \tile_x3y11_s4beg[11] , \tile_x3y11_s4beg[10] , \tile_x3y11_s4beg[9] , \tile_x3y11_s4beg[8] , \tile_x3y11_s4beg[7] , \tile_x3y11_s4beg[6] , \tile_x3y11_s4beg[5] , \tile_x3y11_s4beg[4] , \tile_x3y11_s4beg[3] , \tile_x3y11_s4beg[2] , \tile_x3y11_s4beg[1] , \tile_x3y11_s4beg[0] }),
.ss4beg({ \tile_x3y12_ss4beg[15] , \tile_x3y12_ss4beg[14] , \tile_x3y12_ss4beg[13] , \tile_x3y12_ss4beg[12] , \tile_x3y12_ss4beg[11] , \tile_x3y12_ss4beg[10] , \tile_x3y12_ss4beg[9] , \tile_x3y12_ss4beg[8] , \tile_x3y12_ss4beg[7] , \tile_x3y12_ss4beg[6] , \tile_x3y12_ss4beg[5] , \tile_x3y12_ss4beg[4] , \tile_x3y12_ss4beg[3] , \tile_x3y12_ss4beg[2] , \tile_x3y12_ss4beg[1] , \tile_x3y12_ss4beg[0] }),
.ss4end({ \tile_x3y11_ss4beg[15] , \tile_x3y11_ss4beg[14] , \tile_x3y11_ss4beg[13] , \tile_x3y11_ss4beg[12] , \tile_x3y11_ss4beg[11] , \tile_x3y11_ss4beg[10] , \tile_x3y11_ss4beg[9] , \tile_x3y11_ss4beg[8] , \tile_x3y11_ss4beg[7] , \tile_x3y11_ss4beg[6] , \tile_x3y11_ss4beg[5] , \tile_x3y11_ss4beg[4] , \tile_x3y11_ss4beg[3] , \tile_x3y11_ss4beg[2] , \tile_x3y11_ss4beg[1] , \tile_x3y11_ss4beg[0] }),
.userclk(tile_x3y13_userclko),
.userclko(tile_x3y12_userclko),
.w1beg({ \tile_x3y12_w1beg[3] , \tile_x3y12_w1beg[2] , \tile_x3y12_w1beg[1] , \tile_x3y12_w1beg[0] }),
.w1end({ \tile_x4y12_w1beg[3] , \tile_x4y12_w1beg[2] , \tile_x4y12_w1beg[1] , \tile_x4y12_w1beg[0] }),
.w2beg({ \tile_x3y12_w2beg[7] , \tile_x3y12_w2beg[6] , \tile_x3y12_w2beg[5] , \tile_x3y12_w2beg[4] , \tile_x3y12_w2beg[3] , \tile_x3y12_w2beg[2] , \tile_x3y12_w2beg[1] , \tile_x3y12_w2beg[0] }),
.w2begb({ \tile_x3y12_w2begb[7] , \tile_x3y12_w2begb[6] , \tile_x3y12_w2begb[5] , \tile_x3y12_w2begb[4] , \tile_x3y12_w2begb[3] , \tile_x3y12_w2begb[2] , \tile_x3y12_w2begb[1] , \tile_x3y12_w2begb[0] }),
.w2end({ \tile_x4y12_w2begb[7] , \tile_x4y12_w2begb[6] , \tile_x4y12_w2begb[5] , \tile_x4y12_w2begb[4] , \tile_x4y12_w2begb[3] , \tile_x4y12_w2begb[2] , \tile_x4y12_w2begb[1] , \tile_x4y12_w2begb[0] }),
.w2mid({ \tile_x4y12_w2beg[7] , \tile_x4y12_w2beg[6] , \tile_x4y12_w2beg[5] , \tile_x4y12_w2beg[4] , \tile_x4y12_w2beg[3] , \tile_x4y12_w2beg[2] , \tile_x4y12_w2beg[1] , \tile_x4y12_w2beg[0] }),
.w6beg({ \tile_x3y12_w6beg[11] , \tile_x3y12_w6beg[10] , \tile_x3y12_w6beg[9] , \tile_x3y12_w6beg[8] , \tile_x3y12_w6beg[7] , \tile_x3y12_w6beg[6] , \tile_x3y12_w6beg[5] , \tile_x3y12_w6beg[4] , \tile_x3y12_w6beg[3] , \tile_x3y12_w6beg[2] , \tile_x3y12_w6beg[1] , \tile_x3y12_w6beg[0] }),
.w6end({ \tile_x4y12_w6beg[11] , \tile_x4y12_w6beg[10] , \tile_x4y12_w6beg[9] , \tile_x4y12_w6beg[8] , \tile_x4y12_w6beg[7] , \tile_x4y12_w6beg[6] , \tile_x4y12_w6beg[5] , \tile_x4y12_w6beg[4] , \tile_x4y12_w6beg[3] , \tile_x4y12_w6beg[2] , \tile_x4y12_w6beg[1] , \tile_x4y12_w6beg[0] }),
.ww4beg({ \tile_x3y12_ww4beg[15] , \tile_x3y12_ww4beg[14] , \tile_x3y12_ww4beg[13] , \tile_x3y12_ww4beg[12] , \tile_x3y12_ww4beg[11] , \tile_x3y12_ww4beg[10] , \tile_x3y12_ww4beg[9] , \tile_x3y12_ww4beg[8] , \tile_x3y12_ww4beg[7] , \tile_x3y12_ww4beg[6] , \tile_x3y12_ww4beg[5] , \tile_x3y12_ww4beg[4] , \tile_x3y12_ww4beg[3] , \tile_x3y12_ww4beg[2] , \tile_x3y12_ww4beg[1] , \tile_x3y12_ww4beg[0] }),
.ww4end({ \tile_x4y12_ww4beg[15] , \tile_x4y12_ww4beg[14] , \tile_x4y12_ww4beg[13] , \tile_x4y12_ww4beg[12] , \tile_x4y12_ww4beg[11] , \tile_x4y12_ww4beg[10] , \tile_x4y12_ww4beg[9] , \tile_x4y12_ww4beg[8] , \tile_x4y12_ww4beg[7] , \tile_x4y12_ww4beg[6] , \tile_x4y12_ww4beg[5] , \tile_x4y12_ww4beg[4] , \tile_x4y12_ww4beg[3] , \tile_x4y12_ww4beg[2] , \tile_x4y12_ww4beg[1] , \tile_x4y12_ww4beg[0] })
);
lut4ab tile_x3y13_lut4ab (
.ci(tile_x3y14_co),
.co(tile_x3y13_co),
.e1beg({ \tile_x3y13_e1beg[3] , \tile_x3y13_e1beg[2] , \tile_x3y13_e1beg[1] , \tile_x3y13_e1beg[0] }),
.e1end({ \tile_x2y13_e1beg[3] , \tile_x2y13_e1beg[2] , \tile_x2y13_e1beg[1] , \tile_x2y13_e1beg[0] }),
.e2beg({ \tile_x3y13_e2beg[7] , \tile_x3y13_e2beg[6] , \tile_x3y13_e2beg[5] , \tile_x3y13_e2beg[4] , \tile_x3y13_e2beg[3] , \tile_x3y13_e2beg[2] , \tile_x3y13_e2beg[1] , \tile_x3y13_e2beg[0] }),
.e2begb({ \tile_x3y13_e2begb[7] , \tile_x3y13_e2begb[6] , \tile_x3y13_e2begb[5] , \tile_x3y13_e2begb[4] , \tile_x3y13_e2begb[3] , \tile_x3y13_e2begb[2] , \tile_x3y13_e2begb[1] , \tile_x3y13_e2begb[0] }),
.e2end({ \tile_x2y13_e2begb[7] , \tile_x2y13_e2begb[6] , \tile_x2y13_e2begb[5] , \tile_x2y13_e2begb[4] , \tile_x2y13_e2begb[3] , \tile_x2y13_e2begb[2] , \tile_x2y13_e2begb[1] , \tile_x2y13_e2begb[0] }),
.e2mid({ \tile_x2y13_e2beg[7] , \tile_x2y13_e2beg[6] , \tile_x2y13_e2beg[5] , \tile_x2y13_e2beg[4] , \tile_x2y13_e2beg[3] , \tile_x2y13_e2beg[2] , \tile_x2y13_e2beg[1] , \tile_x2y13_e2beg[0] }),
.e6beg({ \tile_x3y13_e6beg[11] , \tile_x3y13_e6beg[10] , \tile_x3y13_e6beg[9] , \tile_x3y13_e6beg[8] , \tile_x3y13_e6beg[7] , \tile_x3y13_e6beg[6] , \tile_x3y13_e6beg[5] , \tile_x3y13_e6beg[4] , \tile_x3y13_e6beg[3] , \tile_x3y13_e6beg[2] , \tile_x3y13_e6beg[1] , \tile_x3y13_e6beg[0] }),
.e6end({ \tile_x2y13_e6beg[11] , \tile_x2y13_e6beg[10] , \tile_x2y13_e6beg[9] , \tile_x2y13_e6beg[8] , \tile_x2y13_e6beg[7] , \tile_x2y13_e6beg[6] , \tile_x2y13_e6beg[5] , \tile_x2y13_e6beg[4] , \tile_x2y13_e6beg[3] , \tile_x2y13_e6beg[2] , \tile_x2y13_e6beg[1] , \tile_x2y13_e6beg[0] }),
.ee4beg({ \tile_x3y13_ee4beg[15] , \tile_x3y13_ee4beg[14] , \tile_x3y13_ee4beg[13] , \tile_x3y13_ee4beg[12] , \tile_x3y13_ee4beg[11] , \tile_x3y13_ee4beg[10] , \tile_x3y13_ee4beg[9] , \tile_x3y13_ee4beg[8] , \tile_x3y13_ee4beg[7] , \tile_x3y13_ee4beg[6] , \tile_x3y13_ee4beg[5] , \tile_x3y13_ee4beg[4] , \tile_x3y13_ee4beg[3] , \tile_x3y13_ee4beg[2] , \tile_x3y13_ee4beg[1] , \tile_x3y13_ee4beg[0] }),
.ee4end({ \tile_x2y13_ee4beg[15] , \tile_x2y13_ee4beg[14] , \tile_x2y13_ee4beg[13] , \tile_x2y13_ee4beg[12] , \tile_x2y13_ee4beg[11] , \tile_x2y13_ee4beg[10] , \tile_x2y13_ee4beg[9] , \tile_x2y13_ee4beg[8] , \tile_x2y13_ee4beg[7] , \tile_x2y13_ee4beg[6] , \tile_x2y13_ee4beg[5] , \tile_x2y13_ee4beg[4] , \tile_x2y13_ee4beg[3] , \tile_x2y13_ee4beg[2] , \tile_x2y13_ee4beg[1] , \tile_x2y13_ee4beg[0] }),
.framedata({ \tile_x2y13_framedata_o[31] , \tile_x2y13_framedata_o[30] , \tile_x2y13_framedata_o[29] , \tile_x2y13_framedata_o[28] , \tile_x2y13_framedata_o[27] , \tile_x2y13_framedata_o[26] , \tile_x2y13_framedata_o[25] , \tile_x2y13_framedata_o[24] , \tile_x2y13_framedata_o[23] , \tile_x2y13_framedata_o[22] , \tile_x2y13_framedata_o[21] , \tile_x2y13_framedata_o[20] , \tile_x2y13_framedata_o[19] , \tile_x2y13_framedata_o[18] , \tile_x2y13_framedata_o[17] , \tile_x2y13_framedata_o[16] , \tile_x2y13_framedata_o[15] , \tile_x2y13_framedata_o[14] , \tile_x2y13_framedata_o[13] , \tile_x2y13_framedata_o[12] , \tile_x2y13_framedata_o[11] , \tile_x2y13_framedata_o[10] , \tile_x2y13_framedata_o[9] , \tile_x2y13_framedata_o[8] , \tile_x2y13_framedata_o[7] , \tile_x2y13_framedata_o[6] , \tile_x2y13_framedata_o[5] , \tile_x2y13_framedata_o[4] , \tile_x2y13_framedata_o[3] , \tile_x2y13_framedata_o[2] , \tile_x2y13_framedata_o[1] , \tile_x2y13_framedata_o[0] }),
.framedata_o({ \tile_x3y13_framedata_o[31] , \tile_x3y13_framedata_o[30] , \tile_x3y13_framedata_o[29] , \tile_x3y13_framedata_o[28] , \tile_x3y13_framedata_o[27] , \tile_x3y13_framedata_o[26] , \tile_x3y13_framedata_o[25] , \tile_x3y13_framedata_o[24] , \tile_x3y13_framedata_o[23] , \tile_x3y13_framedata_o[22] , \tile_x3y13_framedata_o[21] , \tile_x3y13_framedata_o[20] , \tile_x3y13_framedata_o[19] , \tile_x3y13_framedata_o[18] , \tile_x3y13_framedata_o[17] , \tile_x3y13_framedata_o[16] , \tile_x3y13_framedata_o[15] , \tile_x3y13_framedata_o[14] , \tile_x3y13_framedata_o[13] , \tile_x3y13_framedata_o[12] , \tile_x3y13_framedata_o[11] , \tile_x3y13_framedata_o[10] , \tile_x3y13_framedata_o[9] , \tile_x3y13_framedata_o[8] , \tile_x3y13_framedata_o[7] , \tile_x3y13_framedata_o[6] , \tile_x3y13_framedata_o[5] , \tile_x3y13_framedata_o[4] , \tile_x3y13_framedata_o[3] , \tile_x3y13_framedata_o[2] , \tile_x3y13_framedata_o[1] , \tile_x3y13_framedata_o[0] }),
.framestrobe({ \tile_x3y14_framestrobe_o[19] , \tile_x3y14_framestrobe_o[18] , \tile_x3y14_framestrobe_o[17] , \tile_x3y14_framestrobe_o[16] , \tile_x3y14_framestrobe_o[15] , \tile_x3y14_framestrobe_o[14] , \tile_x3y14_framestrobe_o[13] , \tile_x3y14_framestrobe_o[12] , \tile_x3y14_framestrobe_o[11] , \tile_x3y14_framestrobe_o[10] , \tile_x3y14_framestrobe_o[9] , \tile_x3y14_framestrobe_o[8] , \tile_x3y14_framestrobe_o[7] , \tile_x3y14_framestrobe_o[6] , \tile_x3y14_framestrobe_o[5] , \tile_x3y14_framestrobe_o[4] , \tile_x3y14_framestrobe_o[3] , \tile_x3y14_framestrobe_o[2] , \tile_x3y14_framestrobe_o[1] , \tile_x3y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y13_framestrobe_o[19] , \tile_x3y13_framestrobe_o[18] , \tile_x3y13_framestrobe_o[17] , \tile_x3y13_framestrobe_o[16] , \tile_x3y13_framestrobe_o[15] , \tile_x3y13_framestrobe_o[14] , \tile_x3y13_framestrobe_o[13] , \tile_x3y13_framestrobe_o[12] , \tile_x3y13_framestrobe_o[11] , \tile_x3y13_framestrobe_o[10] , \tile_x3y13_framestrobe_o[9] , \tile_x3y13_framestrobe_o[8] , \tile_x3y13_framestrobe_o[7] , \tile_x3y13_framestrobe_o[6] , \tile_x3y13_framestrobe_o[5] , \tile_x3y13_framestrobe_o[4] , \tile_x3y13_framestrobe_o[3] , \tile_x3y13_framestrobe_o[2] , \tile_x3y13_framestrobe_o[1] , \tile_x3y13_framestrobe_o[0] }),
.n1beg({ \tile_x3y13_n1beg[3] , \tile_x3y13_n1beg[2] , \tile_x3y13_n1beg[1] , \tile_x3y13_n1beg[0] }),
.n1end({ \tile_x3y14_n1beg[3] , \tile_x3y14_n1beg[2] , \tile_x3y14_n1beg[1] , \tile_x3y14_n1beg[0] }),
.n2beg({ \tile_x3y13_n2beg[7] , \tile_x3y13_n2beg[6] , \tile_x3y13_n2beg[5] , \tile_x3y13_n2beg[4] , \tile_x3y13_n2beg[3] , \tile_x3y13_n2beg[2] , \tile_x3y13_n2beg[1] , \tile_x3y13_n2beg[0] }),
.n2begb({ \tile_x3y13_n2begb[7] , \tile_x3y13_n2begb[6] , \tile_x3y13_n2begb[5] , \tile_x3y13_n2begb[4] , \tile_x3y13_n2begb[3] , \tile_x3y13_n2begb[2] , \tile_x3y13_n2begb[1] , \tile_x3y13_n2begb[0] }),
.n2end({ \tile_x3y14_n2begb[7] , \tile_x3y14_n2begb[6] , \tile_x3y14_n2begb[5] , \tile_x3y14_n2begb[4] , \tile_x3y14_n2begb[3] , \tile_x3y14_n2begb[2] , \tile_x3y14_n2begb[1] , \tile_x3y14_n2begb[0] }),
.n2mid({ \tile_x3y14_n2beg[7] , \tile_x3y14_n2beg[6] , \tile_x3y14_n2beg[5] , \tile_x3y14_n2beg[4] , \tile_x3y14_n2beg[3] , \tile_x3y14_n2beg[2] , \tile_x3y14_n2beg[1] , \tile_x3y14_n2beg[0] }),
.n4beg({ \tile_x3y13_n4beg[15] , \tile_x3y13_n4beg[14] , \tile_x3y13_n4beg[13] , \tile_x3y13_n4beg[12] , \tile_x3y13_n4beg[11] , \tile_x3y13_n4beg[10] , \tile_x3y13_n4beg[9] , \tile_x3y13_n4beg[8] , \tile_x3y13_n4beg[7] , \tile_x3y13_n4beg[6] , \tile_x3y13_n4beg[5] , \tile_x3y13_n4beg[4] , \tile_x3y13_n4beg[3] , \tile_x3y13_n4beg[2] , \tile_x3y13_n4beg[1] , \tile_x3y13_n4beg[0] }),
.n4end({ \tile_x3y14_n4beg[15] , \tile_x3y14_n4beg[14] , \tile_x3y14_n4beg[13] , \tile_x3y14_n4beg[12] , \tile_x3y14_n4beg[11] , \tile_x3y14_n4beg[10] , \tile_x3y14_n4beg[9] , \tile_x3y14_n4beg[8] , \tile_x3y14_n4beg[7] , \tile_x3y14_n4beg[6] , \tile_x3y14_n4beg[5] , \tile_x3y14_n4beg[4] , \tile_x3y14_n4beg[3] , \tile_x3y14_n4beg[2] , \tile_x3y14_n4beg[1] , \tile_x3y14_n4beg[0] }),
.nn4beg({ \tile_x3y13_nn4beg[15] , \tile_x3y13_nn4beg[14] , \tile_x3y13_nn4beg[13] , \tile_x3y13_nn4beg[12] , \tile_x3y13_nn4beg[11] , \tile_x3y13_nn4beg[10] , \tile_x3y13_nn4beg[9] , \tile_x3y13_nn4beg[8] , \tile_x3y13_nn4beg[7] , \tile_x3y13_nn4beg[6] , \tile_x3y13_nn4beg[5] , \tile_x3y13_nn4beg[4] , \tile_x3y13_nn4beg[3] , \tile_x3y13_nn4beg[2] , \tile_x3y13_nn4beg[1] , \tile_x3y13_nn4beg[0] }),
.nn4end({ \tile_x3y14_nn4beg[15] , \tile_x3y14_nn4beg[14] , \tile_x3y14_nn4beg[13] , \tile_x3y14_nn4beg[12] , \tile_x3y14_nn4beg[11] , \tile_x3y14_nn4beg[10] , \tile_x3y14_nn4beg[9] , \tile_x3y14_nn4beg[8] , \tile_x3y14_nn4beg[7] , \tile_x3y14_nn4beg[6] , \tile_x3y14_nn4beg[5] , \tile_x3y14_nn4beg[4] , \tile_x3y14_nn4beg[3] , \tile_x3y14_nn4beg[2] , \tile_x3y14_nn4beg[1] , \tile_x3y14_nn4beg[0] }),
.s1beg({ \tile_x3y13_s1beg[3] , \tile_x3y13_s1beg[2] , \tile_x3y13_s1beg[1] , \tile_x3y13_s1beg[0] }),
.s1end({ \tile_x3y12_s1beg[3] , \tile_x3y12_s1beg[2] , \tile_x3y12_s1beg[1] , \tile_x3y12_s1beg[0] }),
.s2beg({ \tile_x3y13_s2beg[7] , \tile_x3y13_s2beg[6] , \tile_x3y13_s2beg[5] , \tile_x3y13_s2beg[4] , \tile_x3y13_s2beg[3] , \tile_x3y13_s2beg[2] , \tile_x3y13_s2beg[1] , \tile_x3y13_s2beg[0] }),
.s2begb({ \tile_x3y13_s2begb[7] , \tile_x3y13_s2begb[6] , \tile_x3y13_s2begb[5] , \tile_x3y13_s2begb[4] , \tile_x3y13_s2begb[3] , \tile_x3y13_s2begb[2] , \tile_x3y13_s2begb[1] , \tile_x3y13_s2begb[0] }),
.s2end({ \tile_x3y12_s2begb[7] , \tile_x3y12_s2begb[6] , \tile_x3y12_s2begb[5] , \tile_x3y12_s2begb[4] , \tile_x3y12_s2begb[3] , \tile_x3y12_s2begb[2] , \tile_x3y12_s2begb[1] , \tile_x3y12_s2begb[0] }),
.s2mid({ \tile_x3y12_s2beg[7] , \tile_x3y12_s2beg[6] , \tile_x3y12_s2beg[5] , \tile_x3y12_s2beg[4] , \tile_x3y12_s2beg[3] , \tile_x3y12_s2beg[2] , \tile_x3y12_s2beg[1] , \tile_x3y12_s2beg[0] }),
.s4beg({ \tile_x3y13_s4beg[15] , \tile_x3y13_s4beg[14] , \tile_x3y13_s4beg[13] , \tile_x3y13_s4beg[12] , \tile_x3y13_s4beg[11] , \tile_x3y13_s4beg[10] , \tile_x3y13_s4beg[9] , \tile_x3y13_s4beg[8] , \tile_x3y13_s4beg[7] , \tile_x3y13_s4beg[6] , \tile_x3y13_s4beg[5] , \tile_x3y13_s4beg[4] , \tile_x3y13_s4beg[3] , \tile_x3y13_s4beg[2] , \tile_x3y13_s4beg[1] , \tile_x3y13_s4beg[0] }),
.s4end({ \tile_x3y12_s4beg[15] , \tile_x3y12_s4beg[14] , \tile_x3y12_s4beg[13] , \tile_x3y12_s4beg[12] , \tile_x3y12_s4beg[11] , \tile_x3y12_s4beg[10] , \tile_x3y12_s4beg[9] , \tile_x3y12_s4beg[8] , \tile_x3y12_s4beg[7] , \tile_x3y12_s4beg[6] , \tile_x3y12_s4beg[5] , \tile_x3y12_s4beg[4] , \tile_x3y12_s4beg[3] , \tile_x3y12_s4beg[2] , \tile_x3y12_s4beg[1] , \tile_x3y12_s4beg[0] }),
.ss4beg({ \tile_x3y13_ss4beg[15] , \tile_x3y13_ss4beg[14] , \tile_x3y13_ss4beg[13] , \tile_x3y13_ss4beg[12] , \tile_x3y13_ss4beg[11] , \tile_x3y13_ss4beg[10] , \tile_x3y13_ss4beg[9] , \tile_x3y13_ss4beg[8] , \tile_x3y13_ss4beg[7] , \tile_x3y13_ss4beg[6] , \tile_x3y13_ss4beg[5] , \tile_x3y13_ss4beg[4] , \tile_x3y13_ss4beg[3] , \tile_x3y13_ss4beg[2] , \tile_x3y13_ss4beg[1] , \tile_x3y13_ss4beg[0] }),
.ss4end({ \tile_x3y12_ss4beg[15] , \tile_x3y12_ss4beg[14] , \tile_x3y12_ss4beg[13] , \tile_x3y12_ss4beg[12] , \tile_x3y12_ss4beg[11] , \tile_x3y12_ss4beg[10] , \tile_x3y12_ss4beg[9] , \tile_x3y12_ss4beg[8] , \tile_x3y12_ss4beg[7] , \tile_x3y12_ss4beg[6] , \tile_x3y12_ss4beg[5] , \tile_x3y12_ss4beg[4] , \tile_x3y12_ss4beg[3] , \tile_x3y12_ss4beg[2] , \tile_x3y12_ss4beg[1] , \tile_x3y12_ss4beg[0] }),
.userclk(tile_x3y14_userclko),
.userclko(tile_x3y13_userclko),
.w1beg({ \tile_x3y13_w1beg[3] , \tile_x3y13_w1beg[2] , \tile_x3y13_w1beg[1] , \tile_x3y13_w1beg[0] }),
.w1end({ \tile_x4y13_w1beg[3] , \tile_x4y13_w1beg[2] , \tile_x4y13_w1beg[1] , \tile_x4y13_w1beg[0] }),
.w2beg({ \tile_x3y13_w2beg[7] , \tile_x3y13_w2beg[6] , \tile_x3y13_w2beg[5] , \tile_x3y13_w2beg[4] , \tile_x3y13_w2beg[3] , \tile_x3y13_w2beg[2] , \tile_x3y13_w2beg[1] , \tile_x3y13_w2beg[0] }),
.w2begb({ \tile_x3y13_w2begb[7] , \tile_x3y13_w2begb[6] , \tile_x3y13_w2begb[5] , \tile_x3y13_w2begb[4] , \tile_x3y13_w2begb[3] , \tile_x3y13_w2begb[2] , \tile_x3y13_w2begb[1] , \tile_x3y13_w2begb[0] }),
.w2end({ \tile_x4y13_w2begb[7] , \tile_x4y13_w2begb[6] , \tile_x4y13_w2begb[5] , \tile_x4y13_w2begb[4] , \tile_x4y13_w2begb[3] , \tile_x4y13_w2begb[2] , \tile_x4y13_w2begb[1] , \tile_x4y13_w2begb[0] }),
.w2mid({ \tile_x4y13_w2beg[7] , \tile_x4y13_w2beg[6] , \tile_x4y13_w2beg[5] , \tile_x4y13_w2beg[4] , \tile_x4y13_w2beg[3] , \tile_x4y13_w2beg[2] , \tile_x4y13_w2beg[1] , \tile_x4y13_w2beg[0] }),
.w6beg({ \tile_x3y13_w6beg[11] , \tile_x3y13_w6beg[10] , \tile_x3y13_w6beg[9] , \tile_x3y13_w6beg[8] , \tile_x3y13_w6beg[7] , \tile_x3y13_w6beg[6] , \tile_x3y13_w6beg[5] , \tile_x3y13_w6beg[4] , \tile_x3y13_w6beg[3] , \tile_x3y13_w6beg[2] , \tile_x3y13_w6beg[1] , \tile_x3y13_w6beg[0] }),
.w6end({ \tile_x4y13_w6beg[11] , \tile_x4y13_w6beg[10] , \tile_x4y13_w6beg[9] , \tile_x4y13_w6beg[8] , \tile_x4y13_w6beg[7] , \tile_x4y13_w6beg[6] , \tile_x4y13_w6beg[5] , \tile_x4y13_w6beg[4] , \tile_x4y13_w6beg[3] , \tile_x4y13_w6beg[2] , \tile_x4y13_w6beg[1] , \tile_x4y13_w6beg[0] }),
.ww4beg({ \tile_x3y13_ww4beg[15] , \tile_x3y13_ww4beg[14] , \tile_x3y13_ww4beg[13] , \tile_x3y13_ww4beg[12] , \tile_x3y13_ww4beg[11] , \tile_x3y13_ww4beg[10] , \tile_x3y13_ww4beg[9] , \tile_x3y13_ww4beg[8] , \tile_x3y13_ww4beg[7] , \tile_x3y13_ww4beg[6] , \tile_x3y13_ww4beg[5] , \tile_x3y13_ww4beg[4] , \tile_x3y13_ww4beg[3] , \tile_x3y13_ww4beg[2] , \tile_x3y13_ww4beg[1] , \tile_x3y13_ww4beg[0] }),
.ww4end({ \tile_x4y13_ww4beg[15] , \tile_x4y13_ww4beg[14] , \tile_x4y13_ww4beg[13] , \tile_x4y13_ww4beg[12] , \tile_x4y13_ww4beg[11] , \tile_x4y13_ww4beg[10] , \tile_x4y13_ww4beg[9] , \tile_x4y13_ww4beg[8] , \tile_x4y13_ww4beg[7] , \tile_x4y13_ww4beg[6] , \tile_x4y13_ww4beg[5] , \tile_x4y13_ww4beg[4] , \tile_x4y13_ww4beg[3] , \tile_x4y13_ww4beg[2] , \tile_x4y13_ww4beg[1] , \tile_x4y13_ww4beg[0] })
);
lut4ab tile_x3y14_lut4ab (
.ci(tile_x3y15_co),
.co(tile_x3y14_co),
.e1beg({ \tile_x3y14_e1beg[3] , \tile_x3y14_e1beg[2] , \tile_x3y14_e1beg[1] , \tile_x3y14_e1beg[0] }),
.e1end({ \tile_x2y14_e1beg[3] , \tile_x2y14_e1beg[2] , \tile_x2y14_e1beg[1] , \tile_x2y14_e1beg[0] }),
.e2beg({ \tile_x3y14_e2beg[7] , \tile_x3y14_e2beg[6] , \tile_x3y14_e2beg[5] , \tile_x3y14_e2beg[4] , \tile_x3y14_e2beg[3] , \tile_x3y14_e2beg[2] , \tile_x3y14_e2beg[1] , \tile_x3y14_e2beg[0] }),
.e2begb({ \tile_x3y14_e2begb[7] , \tile_x3y14_e2begb[6] , \tile_x3y14_e2begb[5] , \tile_x3y14_e2begb[4] , \tile_x3y14_e2begb[3] , \tile_x3y14_e2begb[2] , \tile_x3y14_e2begb[1] , \tile_x3y14_e2begb[0] }),
.e2end({ \tile_x2y14_e2begb[7] , \tile_x2y14_e2begb[6] , \tile_x2y14_e2begb[5] , \tile_x2y14_e2begb[4] , \tile_x2y14_e2begb[3] , \tile_x2y14_e2begb[2] , \tile_x2y14_e2begb[1] , \tile_x2y14_e2begb[0] }),
.e2mid({ \tile_x2y14_e2beg[7] , \tile_x2y14_e2beg[6] , \tile_x2y14_e2beg[5] , \tile_x2y14_e2beg[4] , \tile_x2y14_e2beg[3] , \tile_x2y14_e2beg[2] , \tile_x2y14_e2beg[1] , \tile_x2y14_e2beg[0] }),
.e6beg({ \tile_x3y14_e6beg[11] , \tile_x3y14_e6beg[10] , \tile_x3y14_e6beg[9] , \tile_x3y14_e6beg[8] , \tile_x3y14_e6beg[7] , \tile_x3y14_e6beg[6] , \tile_x3y14_e6beg[5] , \tile_x3y14_e6beg[4] , \tile_x3y14_e6beg[3] , \tile_x3y14_e6beg[2] , \tile_x3y14_e6beg[1] , \tile_x3y14_e6beg[0] }),
.e6end({ \tile_x2y14_e6beg[11] , \tile_x2y14_e6beg[10] , \tile_x2y14_e6beg[9] , \tile_x2y14_e6beg[8] , \tile_x2y14_e6beg[7] , \tile_x2y14_e6beg[6] , \tile_x2y14_e6beg[5] , \tile_x2y14_e6beg[4] , \tile_x2y14_e6beg[3] , \tile_x2y14_e6beg[2] , \tile_x2y14_e6beg[1] , \tile_x2y14_e6beg[0] }),
.ee4beg({ \tile_x3y14_ee4beg[15] , \tile_x3y14_ee4beg[14] , \tile_x3y14_ee4beg[13] , \tile_x3y14_ee4beg[12] , \tile_x3y14_ee4beg[11] , \tile_x3y14_ee4beg[10] , \tile_x3y14_ee4beg[9] , \tile_x3y14_ee4beg[8] , \tile_x3y14_ee4beg[7] , \tile_x3y14_ee4beg[6] , \tile_x3y14_ee4beg[5] , \tile_x3y14_ee4beg[4] , \tile_x3y14_ee4beg[3] , \tile_x3y14_ee4beg[2] , \tile_x3y14_ee4beg[1] , \tile_x3y14_ee4beg[0] }),
.ee4end({ \tile_x2y14_ee4beg[15] , \tile_x2y14_ee4beg[14] , \tile_x2y14_ee4beg[13] , \tile_x2y14_ee4beg[12] , \tile_x2y14_ee4beg[11] , \tile_x2y14_ee4beg[10] , \tile_x2y14_ee4beg[9] , \tile_x2y14_ee4beg[8] , \tile_x2y14_ee4beg[7] , \tile_x2y14_ee4beg[6] , \tile_x2y14_ee4beg[5] , \tile_x2y14_ee4beg[4] , \tile_x2y14_ee4beg[3] , \tile_x2y14_ee4beg[2] , \tile_x2y14_ee4beg[1] , \tile_x2y14_ee4beg[0] }),
.framedata({ \tile_x2y14_framedata_o[31] , \tile_x2y14_framedata_o[30] , \tile_x2y14_framedata_o[29] , \tile_x2y14_framedata_o[28] , \tile_x2y14_framedata_o[27] , \tile_x2y14_framedata_o[26] , \tile_x2y14_framedata_o[25] , \tile_x2y14_framedata_o[24] , \tile_x2y14_framedata_o[23] , \tile_x2y14_framedata_o[22] , \tile_x2y14_framedata_o[21] , \tile_x2y14_framedata_o[20] , \tile_x2y14_framedata_o[19] , \tile_x2y14_framedata_o[18] , \tile_x2y14_framedata_o[17] , \tile_x2y14_framedata_o[16] , \tile_x2y14_framedata_o[15] , \tile_x2y14_framedata_o[14] , \tile_x2y14_framedata_o[13] , \tile_x2y14_framedata_o[12] , \tile_x2y14_framedata_o[11] , \tile_x2y14_framedata_o[10] , \tile_x2y14_framedata_o[9] , \tile_x2y14_framedata_o[8] , \tile_x2y14_framedata_o[7] , \tile_x2y14_framedata_o[6] , \tile_x2y14_framedata_o[5] , \tile_x2y14_framedata_o[4] , \tile_x2y14_framedata_o[3] , \tile_x2y14_framedata_o[2] , \tile_x2y14_framedata_o[1] , \tile_x2y14_framedata_o[0] }),
.framedata_o({ \tile_x3y14_framedata_o[31] , \tile_x3y14_framedata_o[30] , \tile_x3y14_framedata_o[29] , \tile_x3y14_framedata_o[28] , \tile_x3y14_framedata_o[27] , \tile_x3y14_framedata_o[26] , \tile_x3y14_framedata_o[25] , \tile_x3y14_framedata_o[24] , \tile_x3y14_framedata_o[23] , \tile_x3y14_framedata_o[22] , \tile_x3y14_framedata_o[21] , \tile_x3y14_framedata_o[20] , \tile_x3y14_framedata_o[19] , \tile_x3y14_framedata_o[18] , \tile_x3y14_framedata_o[17] , \tile_x3y14_framedata_o[16] , \tile_x3y14_framedata_o[15] , \tile_x3y14_framedata_o[14] , \tile_x3y14_framedata_o[13] , \tile_x3y14_framedata_o[12] , \tile_x3y14_framedata_o[11] , \tile_x3y14_framedata_o[10] , \tile_x3y14_framedata_o[9] , \tile_x3y14_framedata_o[8] , \tile_x3y14_framedata_o[7] , \tile_x3y14_framedata_o[6] , \tile_x3y14_framedata_o[5] , \tile_x3y14_framedata_o[4] , \tile_x3y14_framedata_o[3] , \tile_x3y14_framedata_o[2] , \tile_x3y14_framedata_o[1] , \tile_x3y14_framedata_o[0] }),
.framestrobe({ \tile_x3y15_framestrobe_o[19] , \tile_x3y15_framestrobe_o[18] , \tile_x3y15_framestrobe_o[17] , \tile_x3y15_framestrobe_o[16] , \tile_x3y15_framestrobe_o[15] , \tile_x3y15_framestrobe_o[14] , \tile_x3y15_framestrobe_o[13] , \tile_x3y15_framestrobe_o[12] , \tile_x3y15_framestrobe_o[11] , \tile_x3y15_framestrobe_o[10] , \tile_x3y15_framestrobe_o[9] , \tile_x3y15_framestrobe_o[8] , \tile_x3y15_framestrobe_o[7] , \tile_x3y15_framestrobe_o[6] , \tile_x3y15_framestrobe_o[5] , \tile_x3y15_framestrobe_o[4] , \tile_x3y15_framestrobe_o[3] , \tile_x3y15_framestrobe_o[2] , \tile_x3y15_framestrobe_o[1] , \tile_x3y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y14_framestrobe_o[19] , \tile_x3y14_framestrobe_o[18] , \tile_x3y14_framestrobe_o[17] , \tile_x3y14_framestrobe_o[16] , \tile_x3y14_framestrobe_o[15] , \tile_x3y14_framestrobe_o[14] , \tile_x3y14_framestrobe_o[13] , \tile_x3y14_framestrobe_o[12] , \tile_x3y14_framestrobe_o[11] , \tile_x3y14_framestrobe_o[10] , \tile_x3y14_framestrobe_o[9] , \tile_x3y14_framestrobe_o[8] , \tile_x3y14_framestrobe_o[7] , \tile_x3y14_framestrobe_o[6] , \tile_x3y14_framestrobe_o[5] , \tile_x3y14_framestrobe_o[4] , \tile_x3y14_framestrobe_o[3] , \tile_x3y14_framestrobe_o[2] , \tile_x3y14_framestrobe_o[1] , \tile_x3y14_framestrobe_o[0] }),
.n1beg({ \tile_x3y14_n1beg[3] , \tile_x3y14_n1beg[2] , \tile_x3y14_n1beg[1] , \tile_x3y14_n1beg[0] }),
.n1end({ \tile_x3y15_n1beg[3] , \tile_x3y15_n1beg[2] , \tile_x3y15_n1beg[1] , \tile_x3y15_n1beg[0] }),
.n2beg({ \tile_x3y14_n2beg[7] , \tile_x3y14_n2beg[6] , \tile_x3y14_n2beg[5] , \tile_x3y14_n2beg[4] , \tile_x3y14_n2beg[3] , \tile_x3y14_n2beg[2] , \tile_x3y14_n2beg[1] , \tile_x3y14_n2beg[0] }),
.n2begb({ \tile_x3y14_n2begb[7] , \tile_x3y14_n2begb[6] , \tile_x3y14_n2begb[5] , \tile_x3y14_n2begb[4] , \tile_x3y14_n2begb[3] , \tile_x3y14_n2begb[2] , \tile_x3y14_n2begb[1] , \tile_x3y14_n2begb[0] }),
.n2end({ \tile_x3y15_n2begb[7] , \tile_x3y15_n2begb[6] , \tile_x3y15_n2begb[5] , \tile_x3y15_n2begb[4] , \tile_x3y15_n2begb[3] , \tile_x3y15_n2begb[2] , \tile_x3y15_n2begb[1] , \tile_x3y15_n2begb[0] }),
.n2mid({ \tile_x3y15_n2beg[7] , \tile_x3y15_n2beg[6] , \tile_x3y15_n2beg[5] , \tile_x3y15_n2beg[4] , \tile_x3y15_n2beg[3] , \tile_x3y15_n2beg[2] , \tile_x3y15_n2beg[1] , \tile_x3y15_n2beg[0] }),
.n4beg({ \tile_x3y14_n4beg[15] , \tile_x3y14_n4beg[14] , \tile_x3y14_n4beg[13] , \tile_x3y14_n4beg[12] , \tile_x3y14_n4beg[11] , \tile_x3y14_n4beg[10] , \tile_x3y14_n4beg[9] , \tile_x3y14_n4beg[8] , \tile_x3y14_n4beg[7] , \tile_x3y14_n4beg[6] , \tile_x3y14_n4beg[5] , \tile_x3y14_n4beg[4] , \tile_x3y14_n4beg[3] , \tile_x3y14_n4beg[2] , \tile_x3y14_n4beg[1] , \tile_x3y14_n4beg[0] }),
.n4end({ \tile_x3y15_n4beg[15] , \tile_x3y15_n4beg[14] , \tile_x3y15_n4beg[13] , \tile_x3y15_n4beg[12] , \tile_x3y15_n4beg[11] , \tile_x3y15_n4beg[10] , \tile_x3y15_n4beg[9] , \tile_x3y15_n4beg[8] , \tile_x3y15_n4beg[7] , \tile_x3y15_n4beg[6] , \tile_x3y15_n4beg[5] , \tile_x3y15_n4beg[4] , \tile_x3y15_n4beg[3] , \tile_x3y15_n4beg[2] , \tile_x3y15_n4beg[1] , \tile_x3y15_n4beg[0] }),
.nn4beg({ \tile_x3y14_nn4beg[15] , \tile_x3y14_nn4beg[14] , \tile_x3y14_nn4beg[13] , \tile_x3y14_nn4beg[12] , \tile_x3y14_nn4beg[11] , \tile_x3y14_nn4beg[10] , \tile_x3y14_nn4beg[9] , \tile_x3y14_nn4beg[8] , \tile_x3y14_nn4beg[7] , \tile_x3y14_nn4beg[6] , \tile_x3y14_nn4beg[5] , \tile_x3y14_nn4beg[4] , \tile_x3y14_nn4beg[3] , \tile_x3y14_nn4beg[2] , \tile_x3y14_nn4beg[1] , \tile_x3y14_nn4beg[0] }),
.nn4end({ \tile_x3y15_nn4beg[15] , \tile_x3y15_nn4beg[14] , \tile_x3y15_nn4beg[13] , \tile_x3y15_nn4beg[12] , \tile_x3y15_nn4beg[11] , \tile_x3y15_nn4beg[10] , \tile_x3y15_nn4beg[9] , \tile_x3y15_nn4beg[8] , \tile_x3y15_nn4beg[7] , \tile_x3y15_nn4beg[6] , \tile_x3y15_nn4beg[5] , \tile_x3y15_nn4beg[4] , \tile_x3y15_nn4beg[3] , \tile_x3y15_nn4beg[2] , \tile_x3y15_nn4beg[1] , \tile_x3y15_nn4beg[0] }),
.s1beg({ \tile_x3y14_s1beg[3] , \tile_x3y14_s1beg[2] , \tile_x3y14_s1beg[1] , \tile_x3y14_s1beg[0] }),
.s1end({ \tile_x3y13_s1beg[3] , \tile_x3y13_s1beg[2] , \tile_x3y13_s1beg[1] , \tile_x3y13_s1beg[0] }),
.s2beg({ \tile_x3y14_s2beg[7] , \tile_x3y14_s2beg[6] , \tile_x3y14_s2beg[5] , \tile_x3y14_s2beg[4] , \tile_x3y14_s2beg[3] , \tile_x3y14_s2beg[2] , \tile_x3y14_s2beg[1] , \tile_x3y14_s2beg[0] }),
.s2begb({ \tile_x3y14_s2begb[7] , \tile_x3y14_s2begb[6] , \tile_x3y14_s2begb[5] , \tile_x3y14_s2begb[4] , \tile_x3y14_s2begb[3] , \tile_x3y14_s2begb[2] , \tile_x3y14_s2begb[1] , \tile_x3y14_s2begb[0] }),
.s2end({ \tile_x3y13_s2begb[7] , \tile_x3y13_s2begb[6] , \tile_x3y13_s2begb[5] , \tile_x3y13_s2begb[4] , \tile_x3y13_s2begb[3] , \tile_x3y13_s2begb[2] , \tile_x3y13_s2begb[1] , \tile_x3y13_s2begb[0] }),
.s2mid({ \tile_x3y13_s2beg[7] , \tile_x3y13_s2beg[6] , \tile_x3y13_s2beg[5] , \tile_x3y13_s2beg[4] , \tile_x3y13_s2beg[3] , \tile_x3y13_s2beg[2] , \tile_x3y13_s2beg[1] , \tile_x3y13_s2beg[0] }),
.s4beg({ \tile_x3y14_s4beg[15] , \tile_x3y14_s4beg[14] , \tile_x3y14_s4beg[13] , \tile_x3y14_s4beg[12] , \tile_x3y14_s4beg[11] , \tile_x3y14_s4beg[10] , \tile_x3y14_s4beg[9] , \tile_x3y14_s4beg[8] , \tile_x3y14_s4beg[7] , \tile_x3y14_s4beg[6] , \tile_x3y14_s4beg[5] , \tile_x3y14_s4beg[4] , \tile_x3y14_s4beg[3] , \tile_x3y14_s4beg[2] , \tile_x3y14_s4beg[1] , \tile_x3y14_s4beg[0] }),
.s4end({ \tile_x3y13_s4beg[15] , \tile_x3y13_s4beg[14] , \tile_x3y13_s4beg[13] , \tile_x3y13_s4beg[12] , \tile_x3y13_s4beg[11] , \tile_x3y13_s4beg[10] , \tile_x3y13_s4beg[9] , \tile_x3y13_s4beg[8] , \tile_x3y13_s4beg[7] , \tile_x3y13_s4beg[6] , \tile_x3y13_s4beg[5] , \tile_x3y13_s4beg[4] , \tile_x3y13_s4beg[3] , \tile_x3y13_s4beg[2] , \tile_x3y13_s4beg[1] , \tile_x3y13_s4beg[0] }),
.ss4beg({ \tile_x3y14_ss4beg[15] , \tile_x3y14_ss4beg[14] , \tile_x3y14_ss4beg[13] , \tile_x3y14_ss4beg[12] , \tile_x3y14_ss4beg[11] , \tile_x3y14_ss4beg[10] , \tile_x3y14_ss4beg[9] , \tile_x3y14_ss4beg[8] , \tile_x3y14_ss4beg[7] , \tile_x3y14_ss4beg[6] , \tile_x3y14_ss4beg[5] , \tile_x3y14_ss4beg[4] , \tile_x3y14_ss4beg[3] , \tile_x3y14_ss4beg[2] , \tile_x3y14_ss4beg[1] , \tile_x3y14_ss4beg[0] }),
.ss4end({ \tile_x3y13_ss4beg[15] , \tile_x3y13_ss4beg[14] , \tile_x3y13_ss4beg[13] , \tile_x3y13_ss4beg[12] , \tile_x3y13_ss4beg[11] , \tile_x3y13_ss4beg[10] , \tile_x3y13_ss4beg[9] , \tile_x3y13_ss4beg[8] , \tile_x3y13_ss4beg[7] , \tile_x3y13_ss4beg[6] , \tile_x3y13_ss4beg[5] , \tile_x3y13_ss4beg[4] , \tile_x3y13_ss4beg[3] , \tile_x3y13_ss4beg[2] , \tile_x3y13_ss4beg[1] , \tile_x3y13_ss4beg[0] }),
.userclk(tile_x3y15_userclko),
.userclko(tile_x3y14_userclko),
.w1beg({ \tile_x3y14_w1beg[3] , \tile_x3y14_w1beg[2] , \tile_x3y14_w1beg[1] , \tile_x3y14_w1beg[0] }),
.w1end({ \tile_x4y14_w1beg[3] , \tile_x4y14_w1beg[2] , \tile_x4y14_w1beg[1] , \tile_x4y14_w1beg[0] }),
.w2beg({ \tile_x3y14_w2beg[7] , \tile_x3y14_w2beg[6] , \tile_x3y14_w2beg[5] , \tile_x3y14_w2beg[4] , \tile_x3y14_w2beg[3] , \tile_x3y14_w2beg[2] , \tile_x3y14_w2beg[1] , \tile_x3y14_w2beg[0] }),
.w2begb({ \tile_x3y14_w2begb[7] , \tile_x3y14_w2begb[6] , \tile_x3y14_w2begb[5] , \tile_x3y14_w2begb[4] , \tile_x3y14_w2begb[3] , \tile_x3y14_w2begb[2] , \tile_x3y14_w2begb[1] , \tile_x3y14_w2begb[0] }),
.w2end({ \tile_x4y14_w2begb[7] , \tile_x4y14_w2begb[6] , \tile_x4y14_w2begb[5] , \tile_x4y14_w2begb[4] , \tile_x4y14_w2begb[3] , \tile_x4y14_w2begb[2] , \tile_x4y14_w2begb[1] , \tile_x4y14_w2begb[0] }),
.w2mid({ \tile_x4y14_w2beg[7] , \tile_x4y14_w2beg[6] , \tile_x4y14_w2beg[5] , \tile_x4y14_w2beg[4] , \tile_x4y14_w2beg[3] , \tile_x4y14_w2beg[2] , \tile_x4y14_w2beg[1] , \tile_x4y14_w2beg[0] }),
.w6beg({ \tile_x3y14_w6beg[11] , \tile_x3y14_w6beg[10] , \tile_x3y14_w6beg[9] , \tile_x3y14_w6beg[8] , \tile_x3y14_w6beg[7] , \tile_x3y14_w6beg[6] , \tile_x3y14_w6beg[5] , \tile_x3y14_w6beg[4] , \tile_x3y14_w6beg[3] , \tile_x3y14_w6beg[2] , \tile_x3y14_w6beg[1] , \tile_x3y14_w6beg[0] }),
.w6end({ \tile_x4y14_w6beg[11] , \tile_x4y14_w6beg[10] , \tile_x4y14_w6beg[9] , \tile_x4y14_w6beg[8] , \tile_x4y14_w6beg[7] , \tile_x4y14_w6beg[6] , \tile_x4y14_w6beg[5] , \tile_x4y14_w6beg[4] , \tile_x4y14_w6beg[3] , \tile_x4y14_w6beg[2] , \tile_x4y14_w6beg[1] , \tile_x4y14_w6beg[0] }),
.ww4beg({ \tile_x3y14_ww4beg[15] , \tile_x3y14_ww4beg[14] , \tile_x3y14_ww4beg[13] , \tile_x3y14_ww4beg[12] , \tile_x3y14_ww4beg[11] , \tile_x3y14_ww4beg[10] , \tile_x3y14_ww4beg[9] , \tile_x3y14_ww4beg[8] , \tile_x3y14_ww4beg[7] , \tile_x3y14_ww4beg[6] , \tile_x3y14_ww4beg[5] , \tile_x3y14_ww4beg[4] , \tile_x3y14_ww4beg[3] , \tile_x3y14_ww4beg[2] , \tile_x3y14_ww4beg[1] , \tile_x3y14_ww4beg[0] }),
.ww4end({ \tile_x4y14_ww4beg[15] , \tile_x4y14_ww4beg[14] , \tile_x4y14_ww4beg[13] , \tile_x4y14_ww4beg[12] , \tile_x4y14_ww4beg[11] , \tile_x4y14_ww4beg[10] , \tile_x4y14_ww4beg[9] , \tile_x4y14_ww4beg[8] , \tile_x4y14_ww4beg[7] , \tile_x4y14_ww4beg[6] , \tile_x4y14_ww4beg[5] , \tile_x4y14_ww4beg[4] , \tile_x4y14_ww4beg[3] , \tile_x4y14_ww4beg[2] , \tile_x4y14_ww4beg[1] , \tile_x4y14_ww4beg[0] })
);
s_term_single tile_x3y15_s_term_single (
.co(tile_x3y15_co),
.framestrobe(framestrobe[79:60]),
.framestrobe_o({ \tile_x3y15_framestrobe_o[19] , \tile_x3y15_framestrobe_o[18] , \tile_x3y15_framestrobe_o[17] , \tile_x3y15_framestrobe_o[16] , \tile_x3y15_framestrobe_o[15] , \tile_x3y15_framestrobe_o[14] , \tile_x3y15_framestrobe_o[13] , \tile_x3y15_framestrobe_o[12] , \tile_x3y15_framestrobe_o[11] , \tile_x3y15_framestrobe_o[10] , \tile_x3y15_framestrobe_o[9] , \tile_x3y15_framestrobe_o[8] , \tile_x3y15_framestrobe_o[7] , \tile_x3y15_framestrobe_o[6] , \tile_x3y15_framestrobe_o[5] , \tile_x3y15_framestrobe_o[4] , \tile_x3y15_framestrobe_o[3] , \tile_x3y15_framestrobe_o[2] , \tile_x3y15_framestrobe_o[1] , \tile_x3y15_framestrobe_o[0] }),
.n1beg({ \tile_x3y15_n1beg[3] , \tile_x3y15_n1beg[2] , \tile_x3y15_n1beg[1] , \tile_x3y15_n1beg[0] }),
.n2beg({ \tile_x3y15_n2beg[7] , \tile_x3y15_n2beg[6] , \tile_x3y15_n2beg[5] , \tile_x3y15_n2beg[4] , \tile_x3y15_n2beg[3] , \tile_x3y15_n2beg[2] , \tile_x3y15_n2beg[1] , \tile_x3y15_n2beg[0] }),
.n2begb({ \tile_x3y15_n2begb[7] , \tile_x3y15_n2begb[6] , \tile_x3y15_n2begb[5] , \tile_x3y15_n2begb[4] , \tile_x3y15_n2begb[3] , \tile_x3y15_n2begb[2] , \tile_x3y15_n2begb[1] , \tile_x3y15_n2begb[0] }),
.n4beg({ \tile_x3y15_n4beg[15] , \tile_x3y15_n4beg[14] , \tile_x3y15_n4beg[13] , \tile_x3y15_n4beg[12] , \tile_x3y15_n4beg[11] , \tile_x3y15_n4beg[10] , \tile_x3y15_n4beg[9] , \tile_x3y15_n4beg[8] , \tile_x3y15_n4beg[7] , \tile_x3y15_n4beg[6] , \tile_x3y15_n4beg[5] , \tile_x3y15_n4beg[4] , \tile_x3y15_n4beg[3] , \tile_x3y15_n4beg[2] , \tile_x3y15_n4beg[1] , \tile_x3y15_n4beg[0] }),
.nn4beg({ \tile_x3y15_nn4beg[15] , \tile_x3y15_nn4beg[14] , \tile_x3y15_nn4beg[13] , \tile_x3y15_nn4beg[12] , \tile_x3y15_nn4beg[11] , \tile_x3y15_nn4beg[10] , \tile_x3y15_nn4beg[9] , \tile_x3y15_nn4beg[8] , \tile_x3y15_nn4beg[7] , \tile_x3y15_nn4beg[6] , \tile_x3y15_nn4beg[5] , \tile_x3y15_nn4beg[4] , \tile_x3y15_nn4beg[3] , \tile_x3y15_nn4beg[2] , \tile_x3y15_nn4beg[1] , \tile_x3y15_nn4beg[0] }),
.s1end({ \tile_x3y14_s1beg[3] , \tile_x3y14_s1beg[2] , \tile_x3y14_s1beg[1] , \tile_x3y14_s1beg[0] }),
.s2end({ \tile_x3y14_s2begb[7] , \tile_x3y14_s2begb[6] , \tile_x3y14_s2begb[5] , \tile_x3y14_s2begb[4] , \tile_x3y14_s2begb[3] , \tile_x3y14_s2begb[2] , \tile_x3y14_s2begb[1] , \tile_x3y14_s2begb[0] }),
.s2mid({ \tile_x3y14_s2beg[7] , \tile_x3y14_s2beg[6] , \tile_x3y14_s2beg[5] , \tile_x3y14_s2beg[4] , \tile_x3y14_s2beg[3] , \tile_x3y14_s2beg[2] , \tile_x3y14_s2beg[1] , \tile_x3y14_s2beg[0] }),
.s4end({ \tile_x3y14_s4beg[15] , \tile_x3y14_s4beg[14] , \tile_x3y14_s4beg[13] , \tile_x3y14_s4beg[12] , \tile_x3y14_s4beg[11] , \tile_x3y14_s4beg[10] , \tile_x3y14_s4beg[9] , \tile_x3y14_s4beg[8] , \tile_x3y14_s4beg[7] , \tile_x3y14_s4beg[6] , \tile_x3y14_s4beg[5] , \tile_x3y14_s4beg[4] , \tile_x3y14_s4beg[3] , \tile_x3y14_s4beg[2] , \tile_x3y14_s4beg[1] , \tile_x3y14_s4beg[0] }),
.ss4end({ \tile_x3y14_ss4beg[15] , \tile_x3y14_ss4beg[14] , \tile_x3y14_ss4beg[13] , \tile_x3y14_ss4beg[12] , \tile_x3y14_ss4beg[11] , \tile_x3y14_ss4beg[10] , \tile_x3y14_ss4beg[9] , \tile_x3y14_ss4beg[8] , \tile_x3y14_ss4beg[7] , \tile_x3y14_ss4beg[6] , \tile_x3y14_ss4beg[5] , \tile_x3y14_ss4beg[4] , \tile_x3y14_ss4beg[3] , \tile_x3y14_ss4beg[2] , \tile_x3y14_ss4beg[1] , \tile_x3y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x3y15_userclko)
);
w_cpu_io tile_x3y1_w_cpu_io (
.e1beg({ \tile_x3y1_e1beg[3] , \tile_x3y1_e1beg[2] , \tile_x3y1_e1beg[1] , \tile_x3y1_e1beg[0] }),
.e2beg({ \tile_x3y1_e2beg[7] , \tile_x3y1_e2beg[6] , \tile_x3y1_e2beg[5] , \tile_x3y1_e2beg[4] , \tile_x3y1_e2beg[3] , \tile_x3y1_e2beg[2] , \tile_x3y1_e2beg[1] , \tile_x3y1_e2beg[0] }),
.e2begb({ \tile_x3y1_e2begb[7] , \tile_x3y1_e2begb[6] , \tile_x3y1_e2begb[5] , \tile_x3y1_e2begb[4] , \tile_x3y1_e2begb[3] , \tile_x3y1_e2begb[2] , \tile_x3y1_e2begb[1] , \tile_x3y1_e2begb[0] }),
.e6beg({ \tile_x3y1_e6beg[11] , \tile_x3y1_e6beg[10] , \tile_x3y1_e6beg[9] , \tile_x3y1_e6beg[8] , \tile_x3y1_e6beg[7] , \tile_x3y1_e6beg[6] , \tile_x3y1_e6beg[5] , \tile_x3y1_e6beg[4] , \tile_x3y1_e6beg[3] , \tile_x3y1_e6beg[2] , \tile_x3y1_e6beg[1] , \tile_x3y1_e6beg[0] }),
.ee4beg({ \tile_x3y1_ee4beg[15] , \tile_x3y1_ee4beg[14] , \tile_x3y1_ee4beg[13] , \tile_x3y1_ee4beg[12] , \tile_x3y1_ee4beg[11] , \tile_x3y1_ee4beg[10] , \tile_x3y1_ee4beg[9] , \tile_x3y1_ee4beg[8] , \tile_x3y1_ee4beg[7] , \tile_x3y1_ee4beg[6] , \tile_x3y1_ee4beg[5] , \tile_x3y1_ee4beg[4] , \tile_x3y1_ee4beg[3] , \tile_x3y1_ee4beg[2] , \tile_x3y1_ee4beg[1] , \tile_x3y1_ee4beg[0] }),
.framedata(framedata[63:32]),
.framedata_o({ \tile_x3y1_framedata_o[31] , \tile_x3y1_framedata_o[30] , \tile_x3y1_framedata_o[29] , \tile_x3y1_framedata_o[28] , \tile_x3y1_framedata_o[27] , \tile_x3y1_framedata_o[26] , \tile_x3y1_framedata_o[25] , \tile_x3y1_framedata_o[24] , \tile_x3y1_framedata_o[23] , \tile_x3y1_framedata_o[22] , \tile_x3y1_framedata_o[21] , \tile_x3y1_framedata_o[20] , \tile_x3y1_framedata_o[19] , \tile_x3y1_framedata_o[18] , \tile_x3y1_framedata_o[17] , \tile_x3y1_framedata_o[16] , \tile_x3y1_framedata_o[15] , \tile_x3y1_framedata_o[14] , \tile_x3y1_framedata_o[13] , \tile_x3y1_framedata_o[12] , \tile_x3y1_framedata_o[11] , \tile_x3y1_framedata_o[10] , \tile_x3y1_framedata_o[9] , \tile_x3y1_framedata_o[8] , \tile_x3y1_framedata_o[7] , \tile_x3y1_framedata_o[6] , \tile_x3y1_framedata_o[5] , \tile_x3y1_framedata_o[4] , \tile_x3y1_framedata_o[3] , \tile_x3y1_framedata_o[2] , \tile_x3y1_framedata_o[1] , \tile_x3y1_framedata_o[0] }),
.framestrobe({ \tile_x3y2_framestrobe_o[19] , \tile_x3y2_framestrobe_o[18] , \tile_x3y2_framestrobe_o[17] , \tile_x3y2_framestrobe_o[16] , \tile_x3y2_framestrobe_o[15] , \tile_x3y2_framestrobe_o[14] , \tile_x3y2_framestrobe_o[13] , \tile_x3y2_framestrobe_o[12] , \tile_x3y2_framestrobe_o[11] , \tile_x3y2_framestrobe_o[10] , \tile_x3y2_framestrobe_o[9] , \tile_x3y2_framestrobe_o[8] , \tile_x3y2_framestrobe_o[7] , \tile_x3y2_framestrobe_o[6] , \tile_x3y2_framestrobe_o[5] , \tile_x3y2_framestrobe_o[4] , \tile_x3y2_framestrobe_o[3] , \tile_x3y2_framestrobe_o[2] , \tile_x3y2_framestrobe_o[1] , \tile_x3y2_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y1_framestrobe_o[19] , \tile_x3y1_framestrobe_o[18] , \tile_x3y1_framestrobe_o[17] , \tile_x3y1_framestrobe_o[16] , \tile_x3y1_framestrobe_o[15] , \tile_x3y1_framestrobe_o[14] , \tile_x3y1_framestrobe_o[13] , \tile_x3y1_framestrobe_o[12] , \tile_x3y1_framestrobe_o[11] , \tile_x3y1_framestrobe_o[10] , \tile_x3y1_framestrobe_o[9] , \tile_x3y1_framestrobe_o[8] , \tile_x3y1_framestrobe_o[7] , \tile_x3y1_framestrobe_o[6] , \tile_x3y1_framestrobe_o[5] , \tile_x3y1_framestrobe_o[4] , \tile_x3y1_framestrobe_o[3] , \tile_x3y1_framestrobe_o[2] , \tile_x3y1_framestrobe_o[1] , \tile_x3y1_framestrobe_o[0] }),
.opa_i0(tile_x3y1_opa_i0),
.opa_i1(tile_x3y1_opa_i1),
.opa_i2(tile_x3y1_opa_i2),
.opa_i3(tile_x3y1_opa_i3),
.opb_i0(tile_x3y1_opb_i0),
.opb_i1(tile_x3y1_opb_i1),
.opb_i2(tile_x3y1_opb_i2),
.opb_i3(tile_x3y1_opb_i3),
.res0_o0(tile_x3y1_res0_o0),
.res0_o1(tile_x3y1_res0_o1),
.res0_o2(tile_x3y1_res0_o2),
.res0_o3(tile_x3y1_res0_o3),
.res1_o0(tile_x3y1_res1_o0),
.res1_o1(tile_x3y1_res1_o1),
.res1_o2(tile_x3y1_res1_o2),
.res1_o3(tile_x3y1_res1_o3),
.res2_o0(tile_x3y1_res2_o0),
.res2_o1(tile_x3y1_res2_o1),
.res2_o2(tile_x3y1_res2_o2),
.res2_o3(tile_x3y1_res2_o3),
.userclk(tile_x3y2_userclko),
.userclko(tile_x3y1_userclko),
.w1end({ \tile_x4y1_w1beg[3] , \tile_x4y1_w1beg[2] , \tile_x4y1_w1beg[1] , \tile_x4y1_w1beg[0] }),
.w2end({ \tile_x4y1_w2begb[7] , \tile_x4y1_w2begb[6] , \tile_x4y1_w2begb[5] , \tile_x4y1_w2begb[4] , \tile_x4y1_w2begb[3] , \tile_x4y1_w2begb[2] , \tile_x4y1_w2begb[1] , \tile_x4y1_w2begb[0] }),
.w2mid({ \tile_x4y1_w2beg[7] , \tile_x4y1_w2beg[6] , \tile_x4y1_w2beg[5] , \tile_x4y1_w2beg[4] , \tile_x4y1_w2beg[3] , \tile_x4y1_w2beg[2] , \tile_x4y1_w2beg[1] , \tile_x4y1_w2beg[0] }),
.w6end({ \tile_x4y1_w6beg[11] , \tile_x4y1_w6beg[10] , \tile_x4y1_w6beg[9] , \tile_x4y1_w6beg[8] , \tile_x4y1_w6beg[7] , \tile_x4y1_w6beg[6] , \tile_x4y1_w6beg[5] , \tile_x4y1_w6beg[4] , \tile_x4y1_w6beg[3] , \tile_x4y1_w6beg[2] , \tile_x4y1_w6beg[1] , \tile_x4y1_w6beg[0] }),
.ww4end({ \tile_x4y1_ww4beg[15] , \tile_x4y1_ww4beg[14] , \tile_x4y1_ww4beg[13] , \tile_x4y1_ww4beg[12] , \tile_x4y1_ww4beg[11] , \tile_x4y1_ww4beg[10] , \tile_x4y1_ww4beg[9] , \tile_x4y1_ww4beg[8] , \tile_x4y1_ww4beg[7] , \tile_x4y1_ww4beg[6] , \tile_x4y1_ww4beg[5] , \tile_x4y1_ww4beg[4] , \tile_x4y1_ww4beg[3] , \tile_x4y1_ww4beg[2] , \tile_x4y1_ww4beg[1] , \tile_x4y1_ww4beg[0] })
);
w_cpu_io tile_x3y2_w_cpu_io (
.e1beg({ \tile_x3y2_e1beg[3] , \tile_x3y2_e1beg[2] , \tile_x3y2_e1beg[1] , \tile_x3y2_e1beg[0] }),
.e2beg({ \tile_x3y2_e2beg[7] , \tile_x3y2_e2beg[6] , \tile_x3y2_e2beg[5] , \tile_x3y2_e2beg[4] , \tile_x3y2_e2beg[3] , \tile_x3y2_e2beg[2] , \tile_x3y2_e2beg[1] , \tile_x3y2_e2beg[0] }),
.e2begb({ \tile_x3y2_e2begb[7] , \tile_x3y2_e2begb[6] , \tile_x3y2_e2begb[5] , \tile_x3y2_e2begb[4] , \tile_x3y2_e2begb[3] , \tile_x3y2_e2begb[2] , \tile_x3y2_e2begb[1] , \tile_x3y2_e2begb[0] }),
.e6beg({ \tile_x3y2_e6beg[11] , \tile_x3y2_e6beg[10] , \tile_x3y2_e6beg[9] , \tile_x3y2_e6beg[8] , \tile_x3y2_e6beg[7] , \tile_x3y2_e6beg[6] , \tile_x3y2_e6beg[5] , \tile_x3y2_e6beg[4] , \tile_x3y2_e6beg[3] , \tile_x3y2_e6beg[2] , \tile_x3y2_e6beg[1] , \tile_x3y2_e6beg[0] }),
.ee4beg({ \tile_x3y2_ee4beg[15] , \tile_x3y2_ee4beg[14] , \tile_x3y2_ee4beg[13] , \tile_x3y2_ee4beg[12] , \tile_x3y2_ee4beg[11] , \tile_x3y2_ee4beg[10] , \tile_x3y2_ee4beg[9] , \tile_x3y2_ee4beg[8] , \tile_x3y2_ee4beg[7] , \tile_x3y2_ee4beg[6] , \tile_x3y2_ee4beg[5] , \tile_x3y2_ee4beg[4] , \tile_x3y2_ee4beg[3] , \tile_x3y2_ee4beg[2] , \tile_x3y2_ee4beg[1] , \tile_x3y2_ee4beg[0] }),
.framedata(framedata[95:64]),
.framedata_o({ \tile_x3y2_framedata_o[31] , \tile_x3y2_framedata_o[30] , \tile_x3y2_framedata_o[29] , \tile_x3y2_framedata_o[28] , \tile_x3y2_framedata_o[27] , \tile_x3y2_framedata_o[26] , \tile_x3y2_framedata_o[25] , \tile_x3y2_framedata_o[24] , \tile_x3y2_framedata_o[23] , \tile_x3y2_framedata_o[22] , \tile_x3y2_framedata_o[21] , \tile_x3y2_framedata_o[20] , \tile_x3y2_framedata_o[19] , \tile_x3y2_framedata_o[18] , \tile_x3y2_framedata_o[17] , \tile_x3y2_framedata_o[16] , \tile_x3y2_framedata_o[15] , \tile_x3y2_framedata_o[14] , \tile_x3y2_framedata_o[13] , \tile_x3y2_framedata_o[12] , \tile_x3y2_framedata_o[11] , \tile_x3y2_framedata_o[10] , \tile_x3y2_framedata_o[9] , \tile_x3y2_framedata_o[8] , \tile_x3y2_framedata_o[7] , \tile_x3y2_framedata_o[6] , \tile_x3y2_framedata_o[5] , \tile_x3y2_framedata_o[4] , \tile_x3y2_framedata_o[3] , \tile_x3y2_framedata_o[2] , \tile_x3y2_framedata_o[1] , \tile_x3y2_framedata_o[0] }),
.framestrobe({ \tile_x3y3_framestrobe_o[19] , \tile_x3y3_framestrobe_o[18] , \tile_x3y3_framestrobe_o[17] , \tile_x3y3_framestrobe_o[16] , \tile_x3y3_framestrobe_o[15] , \tile_x3y3_framestrobe_o[14] , \tile_x3y3_framestrobe_o[13] , \tile_x3y3_framestrobe_o[12] , \tile_x3y3_framestrobe_o[11] , \tile_x3y3_framestrobe_o[10] , \tile_x3y3_framestrobe_o[9] , \tile_x3y3_framestrobe_o[8] , \tile_x3y3_framestrobe_o[7] , \tile_x3y3_framestrobe_o[6] , \tile_x3y3_framestrobe_o[5] , \tile_x3y3_framestrobe_o[4] , \tile_x3y3_framestrobe_o[3] , \tile_x3y3_framestrobe_o[2] , \tile_x3y3_framestrobe_o[1] , \tile_x3y3_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y2_framestrobe_o[19] , \tile_x3y2_framestrobe_o[18] , \tile_x3y2_framestrobe_o[17] , \tile_x3y2_framestrobe_o[16] , \tile_x3y2_framestrobe_o[15] , \tile_x3y2_framestrobe_o[14] , \tile_x3y2_framestrobe_o[13] , \tile_x3y2_framestrobe_o[12] , \tile_x3y2_framestrobe_o[11] , \tile_x3y2_framestrobe_o[10] , \tile_x3y2_framestrobe_o[9] , \tile_x3y2_framestrobe_o[8] , \tile_x3y2_framestrobe_o[7] , \tile_x3y2_framestrobe_o[6] , \tile_x3y2_framestrobe_o[5] , \tile_x3y2_framestrobe_o[4] , \tile_x3y2_framestrobe_o[3] , \tile_x3y2_framestrobe_o[2] , \tile_x3y2_framestrobe_o[1] , \tile_x3y2_framestrobe_o[0] }),
.opa_i0(tile_x3y2_opa_i0),
.opa_i1(tile_x3y2_opa_i1),
.opa_i2(tile_x3y2_opa_i2),
.opa_i3(tile_x3y2_opa_i3),
.opb_i0(tile_x3y2_opb_i0),
.opb_i1(tile_x3y2_opb_i1),
.opb_i2(tile_x3y2_opb_i2),
.opb_i3(tile_x3y2_opb_i3),
.res0_o0(tile_x3y2_res0_o0),
.res0_o1(tile_x3y2_res0_o1),
.res0_o2(tile_x3y2_res0_o2),
.res0_o3(tile_x3y2_res0_o3),
.res1_o0(tile_x3y2_res1_o0),
.res1_o1(tile_x3y2_res1_o1),
.res1_o2(tile_x3y2_res1_o2),
.res1_o3(tile_x3y2_res1_o3),
.res2_o0(tile_x3y2_res2_o0),
.res2_o1(tile_x3y2_res2_o1),
.res2_o2(tile_x3y2_res2_o2),
.res2_o3(tile_x3y2_res2_o3),
.userclk(tile_x3y3_userclko),
.userclko(tile_x3y2_userclko),
.w1end({ \tile_x4y2_w1beg[3] , \tile_x4y2_w1beg[2] , \tile_x4y2_w1beg[1] , \tile_x4y2_w1beg[0] }),
.w2end({ \tile_x4y2_w2begb[7] , \tile_x4y2_w2begb[6] , \tile_x4y2_w2begb[5] , \tile_x4y2_w2begb[4] , \tile_x4y2_w2begb[3] , \tile_x4y2_w2begb[2] , \tile_x4y2_w2begb[1] , \tile_x4y2_w2begb[0] }),
.w2mid({ \tile_x4y2_w2beg[7] , \tile_x4y2_w2beg[6] , \tile_x4y2_w2beg[5] , \tile_x4y2_w2beg[4] , \tile_x4y2_w2beg[3] , \tile_x4y2_w2beg[2] , \tile_x4y2_w2beg[1] , \tile_x4y2_w2beg[0] }),
.w6end({ \tile_x4y2_w6beg[11] , \tile_x4y2_w6beg[10] , \tile_x4y2_w6beg[9] , \tile_x4y2_w6beg[8] , \tile_x4y2_w6beg[7] , \tile_x4y2_w6beg[6] , \tile_x4y2_w6beg[5] , \tile_x4y2_w6beg[4] , \tile_x4y2_w6beg[3] , \tile_x4y2_w6beg[2] , \tile_x4y2_w6beg[1] , \tile_x4y2_w6beg[0] }),
.ww4end({ \tile_x4y2_ww4beg[15] , \tile_x4y2_ww4beg[14] , \tile_x4y2_ww4beg[13] , \tile_x4y2_ww4beg[12] , \tile_x4y2_ww4beg[11] , \tile_x4y2_ww4beg[10] , \tile_x4y2_ww4beg[9] , \tile_x4y2_ww4beg[8] , \tile_x4y2_ww4beg[7] , \tile_x4y2_ww4beg[6] , \tile_x4y2_ww4beg[5] , \tile_x4y2_ww4beg[4] , \tile_x4y2_ww4beg[3] , \tile_x4y2_ww4beg[2] , \tile_x4y2_ww4beg[1] , \tile_x4y2_ww4beg[0] })
);
w_cpu_io tile_x3y3_w_cpu_io (
.e1beg({ \tile_x3y3_e1beg[3] , \tile_x3y3_e1beg[2] , \tile_x3y3_e1beg[1] , \tile_x3y3_e1beg[0] }),
.e2beg({ \tile_x3y3_e2beg[7] , \tile_x3y3_e2beg[6] , \tile_x3y3_e2beg[5] , \tile_x3y3_e2beg[4] , \tile_x3y3_e2beg[3] , \tile_x3y3_e2beg[2] , \tile_x3y3_e2beg[1] , \tile_x3y3_e2beg[0] }),
.e2begb({ \tile_x3y3_e2begb[7] , \tile_x3y3_e2begb[6] , \tile_x3y3_e2begb[5] , \tile_x3y3_e2begb[4] , \tile_x3y3_e2begb[3] , \tile_x3y3_e2begb[2] , \tile_x3y3_e2begb[1] , \tile_x3y3_e2begb[0] }),
.e6beg({ \tile_x3y3_e6beg[11] , \tile_x3y3_e6beg[10] , \tile_x3y3_e6beg[9] , \tile_x3y3_e6beg[8] , \tile_x3y3_e6beg[7] , \tile_x3y3_e6beg[6] , \tile_x3y3_e6beg[5] , \tile_x3y3_e6beg[4] , \tile_x3y3_e6beg[3] , \tile_x3y3_e6beg[2] , \tile_x3y3_e6beg[1] , \tile_x3y3_e6beg[0] }),
.ee4beg({ \tile_x3y3_ee4beg[15] , \tile_x3y3_ee4beg[14] , \tile_x3y3_ee4beg[13] , \tile_x3y3_ee4beg[12] , \tile_x3y3_ee4beg[11] , \tile_x3y3_ee4beg[10] , \tile_x3y3_ee4beg[9] , \tile_x3y3_ee4beg[8] , \tile_x3y3_ee4beg[7] , \tile_x3y3_ee4beg[6] , \tile_x3y3_ee4beg[5] , \tile_x3y3_ee4beg[4] , \tile_x3y3_ee4beg[3] , \tile_x3y3_ee4beg[2] , \tile_x3y3_ee4beg[1] , \tile_x3y3_ee4beg[0] }),
.framedata(framedata[127:96]),
.framedata_o({ \tile_x3y3_framedata_o[31] , \tile_x3y3_framedata_o[30] , \tile_x3y3_framedata_o[29] , \tile_x3y3_framedata_o[28] , \tile_x3y3_framedata_o[27] , \tile_x3y3_framedata_o[26] , \tile_x3y3_framedata_o[25] , \tile_x3y3_framedata_o[24] , \tile_x3y3_framedata_o[23] , \tile_x3y3_framedata_o[22] , \tile_x3y3_framedata_o[21] , \tile_x3y3_framedata_o[20] , \tile_x3y3_framedata_o[19] , \tile_x3y3_framedata_o[18] , \tile_x3y3_framedata_o[17] , \tile_x3y3_framedata_o[16] , \tile_x3y3_framedata_o[15] , \tile_x3y3_framedata_o[14] , \tile_x3y3_framedata_o[13] , \tile_x3y3_framedata_o[12] , \tile_x3y3_framedata_o[11] , \tile_x3y3_framedata_o[10] , \tile_x3y3_framedata_o[9] , \tile_x3y3_framedata_o[8] , \tile_x3y3_framedata_o[7] , \tile_x3y3_framedata_o[6] , \tile_x3y3_framedata_o[5] , \tile_x3y3_framedata_o[4] , \tile_x3y3_framedata_o[3] , \tile_x3y3_framedata_o[2] , \tile_x3y3_framedata_o[1] , \tile_x3y3_framedata_o[0] }),
.framestrobe({ \tile_x3y4_framestrobe_o[19] , \tile_x3y4_framestrobe_o[18] , \tile_x3y4_framestrobe_o[17] , \tile_x3y4_framestrobe_o[16] , \tile_x3y4_framestrobe_o[15] , \tile_x3y4_framestrobe_o[14] , \tile_x3y4_framestrobe_o[13] , \tile_x3y4_framestrobe_o[12] , \tile_x3y4_framestrobe_o[11] , \tile_x3y4_framestrobe_o[10] , \tile_x3y4_framestrobe_o[9] , \tile_x3y4_framestrobe_o[8] , \tile_x3y4_framestrobe_o[7] , \tile_x3y4_framestrobe_o[6] , \tile_x3y4_framestrobe_o[5] , \tile_x3y4_framestrobe_o[4] , \tile_x3y4_framestrobe_o[3] , \tile_x3y4_framestrobe_o[2] , \tile_x3y4_framestrobe_o[1] , \tile_x3y4_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y3_framestrobe_o[19] , \tile_x3y3_framestrobe_o[18] , \tile_x3y3_framestrobe_o[17] , \tile_x3y3_framestrobe_o[16] , \tile_x3y3_framestrobe_o[15] , \tile_x3y3_framestrobe_o[14] , \tile_x3y3_framestrobe_o[13] , \tile_x3y3_framestrobe_o[12] , \tile_x3y3_framestrobe_o[11] , \tile_x3y3_framestrobe_o[10] , \tile_x3y3_framestrobe_o[9] , \tile_x3y3_framestrobe_o[8] , \tile_x3y3_framestrobe_o[7] , \tile_x3y3_framestrobe_o[6] , \tile_x3y3_framestrobe_o[5] , \tile_x3y3_framestrobe_o[4] , \tile_x3y3_framestrobe_o[3] , \tile_x3y3_framestrobe_o[2] , \tile_x3y3_framestrobe_o[1] , \tile_x3y3_framestrobe_o[0] }),
.opa_i0(tile_x3y3_opa_i0),
.opa_i1(tile_x3y3_opa_i1),
.opa_i2(tile_x3y3_opa_i2),
.opa_i3(tile_x3y3_opa_i3),
.opb_i0(tile_x3y3_opb_i0),
.opb_i1(tile_x3y3_opb_i1),
.opb_i2(tile_x3y3_opb_i2),
.opb_i3(tile_x3y3_opb_i3),
.res0_o0(tile_x3y3_res0_o0),
.res0_o1(tile_x3y3_res0_o1),
.res0_o2(tile_x3y3_res0_o2),
.res0_o3(tile_x3y3_res0_o3),
.res1_o0(tile_x3y3_res1_o0),
.res1_o1(tile_x3y3_res1_o1),
.res1_o2(tile_x3y3_res1_o2),
.res1_o3(tile_x3y3_res1_o3),
.res2_o0(tile_x3y3_res2_o0),
.res2_o1(tile_x3y3_res2_o1),
.res2_o2(tile_x3y3_res2_o2),
.res2_o3(tile_x3y3_res2_o3),
.userclk(tile_x3y4_userclko),
.userclko(tile_x3y3_userclko),
.w1end({ \tile_x4y3_w1beg[3] , \tile_x4y3_w1beg[2] , \tile_x4y3_w1beg[1] , \tile_x4y3_w1beg[0] }),
.w2end({ \tile_x4y3_w2begb[7] , \tile_x4y3_w2begb[6] , \tile_x4y3_w2begb[5] , \tile_x4y3_w2begb[4] , \tile_x4y3_w2begb[3] , \tile_x4y3_w2begb[2] , \tile_x4y3_w2begb[1] , \tile_x4y3_w2begb[0] }),
.w2mid({ \tile_x4y3_w2beg[7] , \tile_x4y3_w2beg[6] , \tile_x4y3_w2beg[5] , \tile_x4y3_w2beg[4] , \tile_x4y3_w2beg[3] , \tile_x4y3_w2beg[2] , \tile_x4y3_w2beg[1] , \tile_x4y3_w2beg[0] }),
.w6end({ \tile_x4y3_w6beg[11] , \tile_x4y3_w6beg[10] , \tile_x4y3_w6beg[9] , \tile_x4y3_w6beg[8] , \tile_x4y3_w6beg[7] , \tile_x4y3_w6beg[6] , \tile_x4y3_w6beg[5] , \tile_x4y3_w6beg[4] , \tile_x4y3_w6beg[3] , \tile_x4y3_w6beg[2] , \tile_x4y3_w6beg[1] , \tile_x4y3_w6beg[0] }),
.ww4end({ \tile_x4y3_ww4beg[15] , \tile_x4y3_ww4beg[14] , \tile_x4y3_ww4beg[13] , \tile_x4y3_ww4beg[12] , \tile_x4y3_ww4beg[11] , \tile_x4y3_ww4beg[10] , \tile_x4y3_ww4beg[9] , \tile_x4y3_ww4beg[8] , \tile_x4y3_ww4beg[7] , \tile_x4y3_ww4beg[6] , \tile_x4y3_ww4beg[5] , \tile_x4y3_ww4beg[4] , \tile_x4y3_ww4beg[3] , \tile_x4y3_ww4beg[2] , \tile_x4y3_ww4beg[1] , \tile_x4y3_ww4beg[0] })
);
w_cpu_io tile_x3y4_w_cpu_io (
.e1beg({ \tile_x3y4_e1beg[3] , \tile_x3y4_e1beg[2] , \tile_x3y4_e1beg[1] , \tile_x3y4_e1beg[0] }),
.e2beg({ \tile_x3y4_e2beg[7] , \tile_x3y4_e2beg[6] , \tile_x3y4_e2beg[5] , \tile_x3y4_e2beg[4] , \tile_x3y4_e2beg[3] , \tile_x3y4_e2beg[2] , \tile_x3y4_e2beg[1] , \tile_x3y4_e2beg[0] }),
.e2begb({ \tile_x3y4_e2begb[7] , \tile_x3y4_e2begb[6] , \tile_x3y4_e2begb[5] , \tile_x3y4_e2begb[4] , \tile_x3y4_e2begb[3] , \tile_x3y4_e2begb[2] , \tile_x3y4_e2begb[1] , \tile_x3y4_e2begb[0] }),
.e6beg({ \tile_x3y4_e6beg[11] , \tile_x3y4_e6beg[10] , \tile_x3y4_e6beg[9] , \tile_x3y4_e6beg[8] , \tile_x3y4_e6beg[7] , \tile_x3y4_e6beg[6] , \tile_x3y4_e6beg[5] , \tile_x3y4_e6beg[4] , \tile_x3y4_e6beg[3] , \tile_x3y4_e6beg[2] , \tile_x3y4_e6beg[1] , \tile_x3y4_e6beg[0] }),
.ee4beg({ \tile_x3y4_ee4beg[15] , \tile_x3y4_ee4beg[14] , \tile_x3y4_ee4beg[13] , \tile_x3y4_ee4beg[12] , \tile_x3y4_ee4beg[11] , \tile_x3y4_ee4beg[10] , \tile_x3y4_ee4beg[9] , \tile_x3y4_ee4beg[8] , \tile_x3y4_ee4beg[7] , \tile_x3y4_ee4beg[6] , \tile_x3y4_ee4beg[5] , \tile_x3y4_ee4beg[4] , \tile_x3y4_ee4beg[3] , \tile_x3y4_ee4beg[2] , \tile_x3y4_ee4beg[1] , \tile_x3y4_ee4beg[0] }),
.framedata(framedata[159:128]),
.framedata_o({ \tile_x3y4_framedata_o[31] , \tile_x3y4_framedata_o[30] , \tile_x3y4_framedata_o[29] , \tile_x3y4_framedata_o[28] , \tile_x3y4_framedata_o[27] , \tile_x3y4_framedata_o[26] , \tile_x3y4_framedata_o[25] , \tile_x3y4_framedata_o[24] , \tile_x3y4_framedata_o[23] , \tile_x3y4_framedata_o[22] , \tile_x3y4_framedata_o[21] , \tile_x3y4_framedata_o[20] , \tile_x3y4_framedata_o[19] , \tile_x3y4_framedata_o[18] , \tile_x3y4_framedata_o[17] , \tile_x3y4_framedata_o[16] , \tile_x3y4_framedata_o[15] , \tile_x3y4_framedata_o[14] , \tile_x3y4_framedata_o[13] , \tile_x3y4_framedata_o[12] , \tile_x3y4_framedata_o[11] , \tile_x3y4_framedata_o[10] , \tile_x3y4_framedata_o[9] , \tile_x3y4_framedata_o[8] , \tile_x3y4_framedata_o[7] , \tile_x3y4_framedata_o[6] , \tile_x3y4_framedata_o[5] , \tile_x3y4_framedata_o[4] , \tile_x3y4_framedata_o[3] , \tile_x3y4_framedata_o[2] , \tile_x3y4_framedata_o[1] , \tile_x3y4_framedata_o[0] }),
.framestrobe({ \tile_x3y5_framestrobe_o[19] , \tile_x3y5_framestrobe_o[18] , \tile_x3y5_framestrobe_o[17] , \tile_x3y5_framestrobe_o[16] , \tile_x3y5_framestrobe_o[15] , \tile_x3y5_framestrobe_o[14] , \tile_x3y5_framestrobe_o[13] , \tile_x3y5_framestrobe_o[12] , \tile_x3y5_framestrobe_o[11] , \tile_x3y5_framestrobe_o[10] , \tile_x3y5_framestrobe_o[9] , \tile_x3y5_framestrobe_o[8] , \tile_x3y5_framestrobe_o[7] , \tile_x3y5_framestrobe_o[6] , \tile_x3y5_framestrobe_o[5] , \tile_x3y5_framestrobe_o[4] , \tile_x3y5_framestrobe_o[3] , \tile_x3y5_framestrobe_o[2] , \tile_x3y5_framestrobe_o[1] , \tile_x3y5_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y4_framestrobe_o[19] , \tile_x3y4_framestrobe_o[18] , \tile_x3y4_framestrobe_o[17] , \tile_x3y4_framestrobe_o[16] , \tile_x3y4_framestrobe_o[15] , \tile_x3y4_framestrobe_o[14] , \tile_x3y4_framestrobe_o[13] , \tile_x3y4_framestrobe_o[12] , \tile_x3y4_framestrobe_o[11] , \tile_x3y4_framestrobe_o[10] , \tile_x3y4_framestrobe_o[9] , \tile_x3y4_framestrobe_o[8] , \tile_x3y4_framestrobe_o[7] , \tile_x3y4_framestrobe_o[6] , \tile_x3y4_framestrobe_o[5] , \tile_x3y4_framestrobe_o[4] , \tile_x3y4_framestrobe_o[3] , \tile_x3y4_framestrobe_o[2] , \tile_x3y4_framestrobe_o[1] , \tile_x3y4_framestrobe_o[0] }),
.opa_i0(tile_x3y4_opa_i0),
.opa_i1(tile_x3y4_opa_i1),
.opa_i2(tile_x3y4_opa_i2),
.opa_i3(tile_x3y4_opa_i3),
.opb_i0(tile_x3y4_opb_i0),
.opb_i1(tile_x3y4_opb_i1),
.opb_i2(tile_x3y4_opb_i2),
.opb_i3(tile_x3y4_opb_i3),
.res0_o0(tile_x3y4_res0_o0),
.res0_o1(tile_x3y4_res0_o1),
.res0_o2(tile_x3y4_res0_o2),
.res0_o3(tile_x3y4_res0_o3),
.res1_o0(tile_x3y4_res1_o0),
.res1_o1(tile_x3y4_res1_o1),
.res1_o2(tile_x3y4_res1_o2),
.res1_o3(tile_x3y4_res1_o3),
.res2_o0(tile_x3y4_res2_o0),
.res2_o1(tile_x3y4_res2_o1),
.res2_o2(tile_x3y4_res2_o2),
.res2_o3(tile_x3y4_res2_o3),
.userclk(tile_x3y5_userclko),
.userclko(tile_x3y4_userclko),
.w1end({ \tile_x4y4_w1beg[3] , \tile_x4y4_w1beg[2] , \tile_x4y4_w1beg[1] , \tile_x4y4_w1beg[0] }),
.w2end({ \tile_x4y4_w2begb[7] , \tile_x4y4_w2begb[6] , \tile_x4y4_w2begb[5] , \tile_x4y4_w2begb[4] , \tile_x4y4_w2begb[3] , \tile_x4y4_w2begb[2] , \tile_x4y4_w2begb[1] , \tile_x4y4_w2begb[0] }),
.w2mid({ \tile_x4y4_w2beg[7] , \tile_x4y4_w2beg[6] , \tile_x4y4_w2beg[5] , \tile_x4y4_w2beg[4] , \tile_x4y4_w2beg[3] , \tile_x4y4_w2beg[2] , \tile_x4y4_w2beg[1] , \tile_x4y4_w2beg[0] }),
.w6end({ \tile_x4y4_w6beg[11] , \tile_x4y4_w6beg[10] , \tile_x4y4_w6beg[9] , \tile_x4y4_w6beg[8] , \tile_x4y4_w6beg[7] , \tile_x4y4_w6beg[6] , \tile_x4y4_w6beg[5] , \tile_x4y4_w6beg[4] , \tile_x4y4_w6beg[3] , \tile_x4y4_w6beg[2] , \tile_x4y4_w6beg[1] , \tile_x4y4_w6beg[0] }),
.ww4end({ \tile_x4y4_ww4beg[15] , \tile_x4y4_ww4beg[14] , \tile_x4y4_ww4beg[13] , \tile_x4y4_ww4beg[12] , \tile_x4y4_ww4beg[11] , \tile_x4y4_ww4beg[10] , \tile_x4y4_ww4beg[9] , \tile_x4y4_ww4beg[8] , \tile_x4y4_ww4beg[7] , \tile_x4y4_ww4beg[6] , \tile_x4y4_ww4beg[5] , \tile_x4y4_ww4beg[4] , \tile_x4y4_ww4beg[3] , \tile_x4y4_ww4beg[2] , \tile_x4y4_ww4beg[1] , \tile_x4y4_ww4beg[0] })
);
w_cpu_io tile_x3y5_w_cpu_io (
.e1beg({ \tile_x3y5_e1beg[3] , \tile_x3y5_e1beg[2] , \tile_x3y5_e1beg[1] , \tile_x3y5_e1beg[0] }),
.e2beg({ \tile_x3y5_e2beg[7] , \tile_x3y5_e2beg[6] , \tile_x3y5_e2beg[5] , \tile_x3y5_e2beg[4] , \tile_x3y5_e2beg[3] , \tile_x3y5_e2beg[2] , \tile_x3y5_e2beg[1] , \tile_x3y5_e2beg[0] }),
.e2begb({ \tile_x3y5_e2begb[7] , \tile_x3y5_e2begb[6] , \tile_x3y5_e2begb[5] , \tile_x3y5_e2begb[4] , \tile_x3y5_e2begb[3] , \tile_x3y5_e2begb[2] , \tile_x3y5_e2begb[1] , \tile_x3y5_e2begb[0] }),
.e6beg({ \tile_x3y5_e6beg[11] , \tile_x3y5_e6beg[10] , \tile_x3y5_e6beg[9] , \tile_x3y5_e6beg[8] , \tile_x3y5_e6beg[7] , \tile_x3y5_e6beg[6] , \tile_x3y5_e6beg[5] , \tile_x3y5_e6beg[4] , \tile_x3y5_e6beg[3] , \tile_x3y5_e6beg[2] , \tile_x3y5_e6beg[1] , \tile_x3y5_e6beg[0] }),
.ee4beg({ \tile_x3y5_ee4beg[15] , \tile_x3y5_ee4beg[14] , \tile_x3y5_ee4beg[13] , \tile_x3y5_ee4beg[12] , \tile_x3y5_ee4beg[11] , \tile_x3y5_ee4beg[10] , \tile_x3y5_ee4beg[9] , \tile_x3y5_ee4beg[8] , \tile_x3y5_ee4beg[7] , \tile_x3y5_ee4beg[6] , \tile_x3y5_ee4beg[5] , \tile_x3y5_ee4beg[4] , \tile_x3y5_ee4beg[3] , \tile_x3y5_ee4beg[2] , \tile_x3y5_ee4beg[1] , \tile_x3y5_ee4beg[0] }),
.framedata(framedata[191:160]),
.framedata_o({ \tile_x3y5_framedata_o[31] , \tile_x3y5_framedata_o[30] , \tile_x3y5_framedata_o[29] , \tile_x3y5_framedata_o[28] , \tile_x3y5_framedata_o[27] , \tile_x3y5_framedata_o[26] , \tile_x3y5_framedata_o[25] , \tile_x3y5_framedata_o[24] , \tile_x3y5_framedata_o[23] , \tile_x3y5_framedata_o[22] , \tile_x3y5_framedata_o[21] , \tile_x3y5_framedata_o[20] , \tile_x3y5_framedata_o[19] , \tile_x3y5_framedata_o[18] , \tile_x3y5_framedata_o[17] , \tile_x3y5_framedata_o[16] , \tile_x3y5_framedata_o[15] , \tile_x3y5_framedata_o[14] , \tile_x3y5_framedata_o[13] , \tile_x3y5_framedata_o[12] , \tile_x3y5_framedata_o[11] , \tile_x3y5_framedata_o[10] , \tile_x3y5_framedata_o[9] , \tile_x3y5_framedata_o[8] , \tile_x3y5_framedata_o[7] , \tile_x3y5_framedata_o[6] , \tile_x3y5_framedata_o[5] , \tile_x3y5_framedata_o[4] , \tile_x3y5_framedata_o[3] , \tile_x3y5_framedata_o[2] , \tile_x3y5_framedata_o[1] , \tile_x3y5_framedata_o[0] }),
.framestrobe({ \tile_x3y6_framestrobe_o[19] , \tile_x3y6_framestrobe_o[18] , \tile_x3y6_framestrobe_o[17] , \tile_x3y6_framestrobe_o[16] , \tile_x3y6_framestrobe_o[15] , \tile_x3y6_framestrobe_o[14] , \tile_x3y6_framestrobe_o[13] , \tile_x3y6_framestrobe_o[12] , \tile_x3y6_framestrobe_o[11] , \tile_x3y6_framestrobe_o[10] , \tile_x3y6_framestrobe_o[9] , \tile_x3y6_framestrobe_o[8] , \tile_x3y6_framestrobe_o[7] , \tile_x3y6_framestrobe_o[6] , \tile_x3y6_framestrobe_o[5] , \tile_x3y6_framestrobe_o[4] , \tile_x3y6_framestrobe_o[3] , \tile_x3y6_framestrobe_o[2] , \tile_x3y6_framestrobe_o[1] , \tile_x3y6_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y5_framestrobe_o[19] , \tile_x3y5_framestrobe_o[18] , \tile_x3y5_framestrobe_o[17] , \tile_x3y5_framestrobe_o[16] , \tile_x3y5_framestrobe_o[15] , \tile_x3y5_framestrobe_o[14] , \tile_x3y5_framestrobe_o[13] , \tile_x3y5_framestrobe_o[12] , \tile_x3y5_framestrobe_o[11] , \tile_x3y5_framestrobe_o[10] , \tile_x3y5_framestrobe_o[9] , \tile_x3y5_framestrobe_o[8] , \tile_x3y5_framestrobe_o[7] , \tile_x3y5_framestrobe_o[6] , \tile_x3y5_framestrobe_o[5] , \tile_x3y5_framestrobe_o[4] , \tile_x3y5_framestrobe_o[3] , \tile_x3y5_framestrobe_o[2] , \tile_x3y5_framestrobe_o[1] , \tile_x3y5_framestrobe_o[0] }),
.opa_i0(tile_x3y5_opa_i0),
.opa_i1(tile_x3y5_opa_i1),
.opa_i2(tile_x3y5_opa_i2),
.opa_i3(tile_x3y5_opa_i3),
.opb_i0(tile_x3y5_opb_i0),
.opb_i1(tile_x3y5_opb_i1),
.opb_i2(tile_x3y5_opb_i2),
.opb_i3(tile_x3y5_opb_i3),
.res0_o0(tile_x3y5_res0_o0),
.res0_o1(tile_x3y5_res0_o1),
.res0_o2(tile_x3y5_res0_o2),
.res0_o3(tile_x3y5_res0_o3),
.res1_o0(tile_x3y5_res1_o0),
.res1_o1(tile_x3y5_res1_o1),
.res1_o2(tile_x3y5_res1_o2),
.res1_o3(tile_x3y5_res1_o3),
.res2_o0(tile_x3y5_res2_o0),
.res2_o1(tile_x3y5_res2_o1),
.res2_o2(tile_x3y5_res2_o2),
.res2_o3(tile_x3y5_res2_o3),
.userclk(tile_x3y6_userclko),
.userclko(tile_x3y5_userclko),
.w1end({ \tile_x4y5_w1beg[3] , \tile_x4y5_w1beg[2] , \tile_x4y5_w1beg[1] , \tile_x4y5_w1beg[0] }),
.w2end({ \tile_x4y5_w2begb[7] , \tile_x4y5_w2begb[6] , \tile_x4y5_w2begb[5] , \tile_x4y5_w2begb[4] , \tile_x4y5_w2begb[3] , \tile_x4y5_w2begb[2] , \tile_x4y5_w2begb[1] , \tile_x4y5_w2begb[0] }),
.w2mid({ \tile_x4y5_w2beg[7] , \tile_x4y5_w2beg[6] , \tile_x4y5_w2beg[5] , \tile_x4y5_w2beg[4] , \tile_x4y5_w2beg[3] , \tile_x4y5_w2beg[2] , \tile_x4y5_w2beg[1] , \tile_x4y5_w2beg[0] }),
.w6end({ \tile_x4y5_w6beg[11] , \tile_x4y5_w6beg[10] , \tile_x4y5_w6beg[9] , \tile_x4y5_w6beg[8] , \tile_x4y5_w6beg[7] , \tile_x4y5_w6beg[6] , \tile_x4y5_w6beg[5] , \tile_x4y5_w6beg[4] , \tile_x4y5_w6beg[3] , \tile_x4y5_w6beg[2] , \tile_x4y5_w6beg[1] , \tile_x4y5_w6beg[0] }),
.ww4end({ \tile_x4y5_ww4beg[15] , \tile_x4y5_ww4beg[14] , \tile_x4y5_ww4beg[13] , \tile_x4y5_ww4beg[12] , \tile_x4y5_ww4beg[11] , \tile_x4y5_ww4beg[10] , \tile_x4y5_ww4beg[9] , \tile_x4y5_ww4beg[8] , \tile_x4y5_ww4beg[7] , \tile_x4y5_ww4beg[6] , \tile_x4y5_ww4beg[5] , \tile_x4y5_ww4beg[4] , \tile_x4y5_ww4beg[3] , \tile_x4y5_ww4beg[2] , \tile_x4y5_ww4beg[1] , \tile_x4y5_ww4beg[0] })
);
w_cpu_io tile_x3y6_w_cpu_io (
.e1beg({ \tile_x3y6_e1beg[3] , \tile_x3y6_e1beg[2] , \tile_x3y6_e1beg[1] , \tile_x3y6_e1beg[0] }),
.e2beg({ \tile_x3y6_e2beg[7] , \tile_x3y6_e2beg[6] , \tile_x3y6_e2beg[5] , \tile_x3y6_e2beg[4] , \tile_x3y6_e2beg[3] , \tile_x3y6_e2beg[2] , \tile_x3y6_e2beg[1] , \tile_x3y6_e2beg[0] }),
.e2begb({ \tile_x3y6_e2begb[7] , \tile_x3y6_e2begb[6] , \tile_x3y6_e2begb[5] , \tile_x3y6_e2begb[4] , \tile_x3y6_e2begb[3] , \tile_x3y6_e2begb[2] , \tile_x3y6_e2begb[1] , \tile_x3y6_e2begb[0] }),
.e6beg({ \tile_x3y6_e6beg[11] , \tile_x3y6_e6beg[10] , \tile_x3y6_e6beg[9] , \tile_x3y6_e6beg[8] , \tile_x3y6_e6beg[7] , \tile_x3y6_e6beg[6] , \tile_x3y6_e6beg[5] , \tile_x3y6_e6beg[4] , \tile_x3y6_e6beg[3] , \tile_x3y6_e6beg[2] , \tile_x3y6_e6beg[1] , \tile_x3y6_e6beg[0] }),
.ee4beg({ \tile_x3y6_ee4beg[15] , \tile_x3y6_ee4beg[14] , \tile_x3y6_ee4beg[13] , \tile_x3y6_ee4beg[12] , \tile_x3y6_ee4beg[11] , \tile_x3y6_ee4beg[10] , \tile_x3y6_ee4beg[9] , \tile_x3y6_ee4beg[8] , \tile_x3y6_ee4beg[7] , \tile_x3y6_ee4beg[6] , \tile_x3y6_ee4beg[5] , \tile_x3y6_ee4beg[4] , \tile_x3y6_ee4beg[3] , \tile_x3y6_ee4beg[2] , \tile_x3y6_ee4beg[1] , \tile_x3y6_ee4beg[0] }),
.framedata(framedata[223:192]),
.framedata_o({ \tile_x3y6_framedata_o[31] , \tile_x3y6_framedata_o[30] , \tile_x3y6_framedata_o[29] , \tile_x3y6_framedata_o[28] , \tile_x3y6_framedata_o[27] , \tile_x3y6_framedata_o[26] , \tile_x3y6_framedata_o[25] , \tile_x3y6_framedata_o[24] , \tile_x3y6_framedata_o[23] , \tile_x3y6_framedata_o[22] , \tile_x3y6_framedata_o[21] , \tile_x3y6_framedata_o[20] , \tile_x3y6_framedata_o[19] , \tile_x3y6_framedata_o[18] , \tile_x3y6_framedata_o[17] , \tile_x3y6_framedata_o[16] , \tile_x3y6_framedata_o[15] , \tile_x3y6_framedata_o[14] , \tile_x3y6_framedata_o[13] , \tile_x3y6_framedata_o[12] , \tile_x3y6_framedata_o[11] , \tile_x3y6_framedata_o[10] , \tile_x3y6_framedata_o[9] , \tile_x3y6_framedata_o[8] , \tile_x3y6_framedata_o[7] , \tile_x3y6_framedata_o[6] , \tile_x3y6_framedata_o[5] , \tile_x3y6_framedata_o[4] , \tile_x3y6_framedata_o[3] , \tile_x3y6_framedata_o[2] , \tile_x3y6_framedata_o[1] , \tile_x3y6_framedata_o[0] }),
.framestrobe({ \tile_x3y7_framestrobe_o[19] , \tile_x3y7_framestrobe_o[18] , \tile_x3y7_framestrobe_o[17] , \tile_x3y7_framestrobe_o[16] , \tile_x3y7_framestrobe_o[15] , \tile_x3y7_framestrobe_o[14] , \tile_x3y7_framestrobe_o[13] , \tile_x3y7_framestrobe_o[12] , \tile_x3y7_framestrobe_o[11] , \tile_x3y7_framestrobe_o[10] , \tile_x3y7_framestrobe_o[9] , \tile_x3y7_framestrobe_o[8] , \tile_x3y7_framestrobe_o[7] , \tile_x3y7_framestrobe_o[6] , \tile_x3y7_framestrobe_o[5] , \tile_x3y7_framestrobe_o[4] , \tile_x3y7_framestrobe_o[3] , \tile_x3y7_framestrobe_o[2] , \tile_x3y7_framestrobe_o[1] , \tile_x3y7_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y6_framestrobe_o[19] , \tile_x3y6_framestrobe_o[18] , \tile_x3y6_framestrobe_o[17] , \tile_x3y6_framestrobe_o[16] , \tile_x3y6_framestrobe_o[15] , \tile_x3y6_framestrobe_o[14] , \tile_x3y6_framestrobe_o[13] , \tile_x3y6_framestrobe_o[12] , \tile_x3y6_framestrobe_o[11] , \tile_x3y6_framestrobe_o[10] , \tile_x3y6_framestrobe_o[9] , \tile_x3y6_framestrobe_o[8] , \tile_x3y6_framestrobe_o[7] , \tile_x3y6_framestrobe_o[6] , \tile_x3y6_framestrobe_o[5] , \tile_x3y6_framestrobe_o[4] , \tile_x3y6_framestrobe_o[3] , \tile_x3y6_framestrobe_o[2] , \tile_x3y6_framestrobe_o[1] , \tile_x3y6_framestrobe_o[0] }),
.opa_i0(tile_x3y6_opa_i0),
.opa_i1(tile_x3y6_opa_i1),
.opa_i2(tile_x3y6_opa_i2),
.opa_i3(tile_x3y6_opa_i3),
.opb_i0(tile_x3y6_opb_i0),
.opb_i1(tile_x3y6_opb_i1),
.opb_i2(tile_x3y6_opb_i2),
.opb_i3(tile_x3y6_opb_i3),
.res0_o0(tile_x3y6_res0_o0),
.res0_o1(tile_x3y6_res0_o1),
.res0_o2(tile_x3y6_res0_o2),
.res0_o3(tile_x3y6_res0_o3),
.res1_o0(tile_x3y6_res1_o0),
.res1_o1(tile_x3y6_res1_o1),
.res1_o2(tile_x3y6_res1_o2),
.res1_o3(tile_x3y6_res1_o3),
.res2_o0(tile_x3y6_res2_o0),
.res2_o1(tile_x3y6_res2_o1),
.res2_o2(tile_x3y6_res2_o2),
.res2_o3(tile_x3y6_res2_o3),
.userclk(tile_x3y7_userclko),
.userclko(tile_x3y6_userclko),
.w1end({ \tile_x4y6_w1beg[3] , \tile_x4y6_w1beg[2] , \tile_x4y6_w1beg[1] , \tile_x4y6_w1beg[0] }),
.w2end({ \tile_x4y6_w2begb[7] , \tile_x4y6_w2begb[6] , \tile_x4y6_w2begb[5] , \tile_x4y6_w2begb[4] , \tile_x4y6_w2begb[3] , \tile_x4y6_w2begb[2] , \tile_x4y6_w2begb[1] , \tile_x4y6_w2begb[0] }),
.w2mid({ \tile_x4y6_w2beg[7] , \tile_x4y6_w2beg[6] , \tile_x4y6_w2beg[5] , \tile_x4y6_w2beg[4] , \tile_x4y6_w2beg[3] , \tile_x4y6_w2beg[2] , \tile_x4y6_w2beg[1] , \tile_x4y6_w2beg[0] }),
.w6end({ \tile_x4y6_w6beg[11] , \tile_x4y6_w6beg[10] , \tile_x4y6_w6beg[9] , \tile_x4y6_w6beg[8] , \tile_x4y6_w6beg[7] , \tile_x4y6_w6beg[6] , \tile_x4y6_w6beg[5] , \tile_x4y6_w6beg[4] , \tile_x4y6_w6beg[3] , \tile_x4y6_w6beg[2] , \tile_x4y6_w6beg[1] , \tile_x4y6_w6beg[0] }),
.ww4end({ \tile_x4y6_ww4beg[15] , \tile_x4y6_ww4beg[14] , \tile_x4y6_ww4beg[13] , \tile_x4y6_ww4beg[12] , \tile_x4y6_ww4beg[11] , \tile_x4y6_ww4beg[10] , \tile_x4y6_ww4beg[9] , \tile_x4y6_ww4beg[8] , \tile_x4y6_ww4beg[7] , \tile_x4y6_ww4beg[6] , \tile_x4y6_ww4beg[5] , \tile_x4y6_ww4beg[4] , \tile_x4y6_ww4beg[3] , \tile_x4y6_ww4beg[2] , \tile_x4y6_ww4beg[1] , \tile_x4y6_ww4beg[0] })
);
w_cpu_io tile_x3y7_w_cpu_io (
.e1beg({ \tile_x3y7_e1beg[3] , \tile_x3y7_e1beg[2] , \tile_x3y7_e1beg[1] , \tile_x3y7_e1beg[0] }),
.e2beg({ \tile_x3y7_e2beg[7] , \tile_x3y7_e2beg[6] , \tile_x3y7_e2beg[5] , \tile_x3y7_e2beg[4] , \tile_x3y7_e2beg[3] , \tile_x3y7_e2beg[2] , \tile_x3y7_e2beg[1] , \tile_x3y7_e2beg[0] }),
.e2begb({ \tile_x3y7_e2begb[7] , \tile_x3y7_e2begb[6] , \tile_x3y7_e2begb[5] , \tile_x3y7_e2begb[4] , \tile_x3y7_e2begb[3] , \tile_x3y7_e2begb[2] , \tile_x3y7_e2begb[1] , \tile_x3y7_e2begb[0] }),
.e6beg({ \tile_x3y7_e6beg[11] , \tile_x3y7_e6beg[10] , \tile_x3y7_e6beg[9] , \tile_x3y7_e6beg[8] , \tile_x3y7_e6beg[7] , \tile_x3y7_e6beg[6] , \tile_x3y7_e6beg[5] , \tile_x3y7_e6beg[4] , \tile_x3y7_e6beg[3] , \tile_x3y7_e6beg[2] , \tile_x3y7_e6beg[1] , \tile_x3y7_e6beg[0] }),
.ee4beg({ \tile_x3y7_ee4beg[15] , \tile_x3y7_ee4beg[14] , \tile_x3y7_ee4beg[13] , \tile_x3y7_ee4beg[12] , \tile_x3y7_ee4beg[11] , \tile_x3y7_ee4beg[10] , \tile_x3y7_ee4beg[9] , \tile_x3y7_ee4beg[8] , \tile_x3y7_ee4beg[7] , \tile_x3y7_ee4beg[6] , \tile_x3y7_ee4beg[5] , \tile_x3y7_ee4beg[4] , \tile_x3y7_ee4beg[3] , \tile_x3y7_ee4beg[2] , \tile_x3y7_ee4beg[1] , \tile_x3y7_ee4beg[0] }),
.framedata(framedata[255:224]),
.framedata_o({ \tile_x3y7_framedata_o[31] , \tile_x3y7_framedata_o[30] , \tile_x3y7_framedata_o[29] , \tile_x3y7_framedata_o[28] , \tile_x3y7_framedata_o[27] , \tile_x3y7_framedata_o[26] , \tile_x3y7_framedata_o[25] , \tile_x3y7_framedata_o[24] , \tile_x3y7_framedata_o[23] , \tile_x3y7_framedata_o[22] , \tile_x3y7_framedata_o[21] , \tile_x3y7_framedata_o[20] , \tile_x3y7_framedata_o[19] , \tile_x3y7_framedata_o[18] , \tile_x3y7_framedata_o[17] , \tile_x3y7_framedata_o[16] , \tile_x3y7_framedata_o[15] , \tile_x3y7_framedata_o[14] , \tile_x3y7_framedata_o[13] , \tile_x3y7_framedata_o[12] , \tile_x3y7_framedata_o[11] , \tile_x3y7_framedata_o[10] , \tile_x3y7_framedata_o[9] , \tile_x3y7_framedata_o[8] , \tile_x3y7_framedata_o[7] , \tile_x3y7_framedata_o[6] , \tile_x3y7_framedata_o[5] , \tile_x3y7_framedata_o[4] , \tile_x3y7_framedata_o[3] , \tile_x3y7_framedata_o[2] , \tile_x3y7_framedata_o[1] , \tile_x3y7_framedata_o[0] }),
.framestrobe({ \tile_x3y8_framestrobe_o[19] , \tile_x3y8_framestrobe_o[18] , \tile_x3y8_framestrobe_o[17] , \tile_x3y8_framestrobe_o[16] , \tile_x3y8_framestrobe_o[15] , \tile_x3y8_framestrobe_o[14] , \tile_x3y8_framestrobe_o[13] , \tile_x3y8_framestrobe_o[12] , \tile_x3y8_framestrobe_o[11] , \tile_x3y8_framestrobe_o[10] , \tile_x3y8_framestrobe_o[9] , \tile_x3y8_framestrobe_o[8] , \tile_x3y8_framestrobe_o[7] , \tile_x3y8_framestrobe_o[6] , \tile_x3y8_framestrobe_o[5] , \tile_x3y8_framestrobe_o[4] , \tile_x3y8_framestrobe_o[3] , \tile_x3y8_framestrobe_o[2] , \tile_x3y8_framestrobe_o[1] , \tile_x3y8_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y7_framestrobe_o[19] , \tile_x3y7_framestrobe_o[18] , \tile_x3y7_framestrobe_o[17] , \tile_x3y7_framestrobe_o[16] , \tile_x3y7_framestrobe_o[15] , \tile_x3y7_framestrobe_o[14] , \tile_x3y7_framestrobe_o[13] , \tile_x3y7_framestrobe_o[12] , \tile_x3y7_framestrobe_o[11] , \tile_x3y7_framestrobe_o[10] , \tile_x3y7_framestrobe_o[9] , \tile_x3y7_framestrobe_o[8] , \tile_x3y7_framestrobe_o[7] , \tile_x3y7_framestrobe_o[6] , \tile_x3y7_framestrobe_o[5] , \tile_x3y7_framestrobe_o[4] , \tile_x3y7_framestrobe_o[3] , \tile_x3y7_framestrobe_o[2] , \tile_x3y7_framestrobe_o[1] , \tile_x3y7_framestrobe_o[0] }),
.opa_i0(tile_x3y7_opa_i0),
.opa_i1(tile_x3y7_opa_i1),
.opa_i2(tile_x3y7_opa_i2),
.opa_i3(tile_x3y7_opa_i3),
.opb_i0(tile_x3y7_opb_i0),
.opb_i1(tile_x3y7_opb_i1),
.opb_i2(tile_x3y7_opb_i2),
.opb_i3(tile_x3y7_opb_i3),
.res0_o0(tile_x3y7_res0_o0),
.res0_o1(tile_x3y7_res0_o1),
.res0_o2(tile_x3y7_res0_o2),
.res0_o3(tile_x3y7_res0_o3),
.res1_o0(tile_x3y7_res1_o0),
.res1_o1(tile_x3y7_res1_o1),
.res1_o2(tile_x3y7_res1_o2),
.res1_o3(tile_x3y7_res1_o3),
.res2_o0(tile_x3y7_res2_o0),
.res2_o1(tile_x3y7_res2_o1),
.res2_o2(tile_x3y7_res2_o2),
.res2_o3(tile_x3y7_res2_o3),
.userclk(tile_x3y8_userclko),
.userclko(tile_x3y7_userclko),
.w1end({ \tile_x4y7_w1beg[3] , \tile_x4y7_w1beg[2] , \tile_x4y7_w1beg[1] , \tile_x4y7_w1beg[0] }),
.w2end({ \tile_x4y7_w2begb[7] , \tile_x4y7_w2begb[6] , \tile_x4y7_w2begb[5] , \tile_x4y7_w2begb[4] , \tile_x4y7_w2begb[3] , \tile_x4y7_w2begb[2] , \tile_x4y7_w2begb[1] , \tile_x4y7_w2begb[0] }),
.w2mid({ \tile_x4y7_w2beg[7] , \tile_x4y7_w2beg[6] , \tile_x4y7_w2beg[5] , \tile_x4y7_w2beg[4] , \tile_x4y7_w2beg[3] , \tile_x4y7_w2beg[2] , \tile_x4y7_w2beg[1] , \tile_x4y7_w2beg[0] }),
.w6end({ \tile_x4y7_w6beg[11] , \tile_x4y7_w6beg[10] , \tile_x4y7_w6beg[9] , \tile_x4y7_w6beg[8] , \tile_x4y7_w6beg[7] , \tile_x4y7_w6beg[6] , \tile_x4y7_w6beg[5] , \tile_x4y7_w6beg[4] , \tile_x4y7_w6beg[3] , \tile_x4y7_w6beg[2] , \tile_x4y7_w6beg[1] , \tile_x4y7_w6beg[0] }),
.ww4end({ \tile_x4y7_ww4beg[15] , \tile_x4y7_ww4beg[14] , \tile_x4y7_ww4beg[13] , \tile_x4y7_ww4beg[12] , \tile_x4y7_ww4beg[11] , \tile_x4y7_ww4beg[10] , \tile_x4y7_ww4beg[9] , \tile_x4y7_ww4beg[8] , \tile_x4y7_ww4beg[7] , \tile_x4y7_ww4beg[6] , \tile_x4y7_ww4beg[5] , \tile_x4y7_ww4beg[4] , \tile_x4y7_ww4beg[3] , \tile_x4y7_ww4beg[2] , \tile_x4y7_ww4beg[1] , \tile_x4y7_ww4beg[0] })
);
w_cpu_io tile_x3y8_w_cpu_io (
.e1beg({ \tile_x3y8_e1beg[3] , \tile_x3y8_e1beg[2] , \tile_x3y8_e1beg[1] , \tile_x3y8_e1beg[0] }),
.e2beg({ \tile_x3y8_e2beg[7] , \tile_x3y8_e2beg[6] , \tile_x3y8_e2beg[5] , \tile_x3y8_e2beg[4] , \tile_x3y8_e2beg[3] , \tile_x3y8_e2beg[2] , \tile_x3y8_e2beg[1] , \tile_x3y8_e2beg[0] }),
.e2begb({ \tile_x3y8_e2begb[7] , \tile_x3y8_e2begb[6] , \tile_x3y8_e2begb[5] , \tile_x3y8_e2begb[4] , \tile_x3y8_e2begb[3] , \tile_x3y8_e2begb[2] , \tile_x3y8_e2begb[1] , \tile_x3y8_e2begb[0] }),
.e6beg({ \tile_x3y8_e6beg[11] , \tile_x3y8_e6beg[10] , \tile_x3y8_e6beg[9] , \tile_x3y8_e6beg[8] , \tile_x3y8_e6beg[7] , \tile_x3y8_e6beg[6] , \tile_x3y8_e6beg[5] , \tile_x3y8_e6beg[4] , \tile_x3y8_e6beg[3] , \tile_x3y8_e6beg[2] , \tile_x3y8_e6beg[1] , \tile_x3y8_e6beg[0] }),
.ee4beg({ \tile_x3y8_ee4beg[15] , \tile_x3y8_ee4beg[14] , \tile_x3y8_ee4beg[13] , \tile_x3y8_ee4beg[12] , \tile_x3y8_ee4beg[11] , \tile_x3y8_ee4beg[10] , \tile_x3y8_ee4beg[9] , \tile_x3y8_ee4beg[8] , \tile_x3y8_ee4beg[7] , \tile_x3y8_ee4beg[6] , \tile_x3y8_ee4beg[5] , \tile_x3y8_ee4beg[4] , \tile_x3y8_ee4beg[3] , \tile_x3y8_ee4beg[2] , \tile_x3y8_ee4beg[1] , \tile_x3y8_ee4beg[0] }),
.framedata(framedata[287:256]),
.framedata_o({ \tile_x3y8_framedata_o[31] , \tile_x3y8_framedata_o[30] , \tile_x3y8_framedata_o[29] , \tile_x3y8_framedata_o[28] , \tile_x3y8_framedata_o[27] , \tile_x3y8_framedata_o[26] , \tile_x3y8_framedata_o[25] , \tile_x3y8_framedata_o[24] , \tile_x3y8_framedata_o[23] , \tile_x3y8_framedata_o[22] , \tile_x3y8_framedata_o[21] , \tile_x3y8_framedata_o[20] , \tile_x3y8_framedata_o[19] , \tile_x3y8_framedata_o[18] , \tile_x3y8_framedata_o[17] , \tile_x3y8_framedata_o[16] , \tile_x3y8_framedata_o[15] , \tile_x3y8_framedata_o[14] , \tile_x3y8_framedata_o[13] , \tile_x3y8_framedata_o[12] , \tile_x3y8_framedata_o[11] , \tile_x3y8_framedata_o[10] , \tile_x3y8_framedata_o[9] , \tile_x3y8_framedata_o[8] , \tile_x3y8_framedata_o[7] , \tile_x3y8_framedata_o[6] , \tile_x3y8_framedata_o[5] , \tile_x3y8_framedata_o[4] , \tile_x3y8_framedata_o[3] , \tile_x3y8_framedata_o[2] , \tile_x3y8_framedata_o[1] , \tile_x3y8_framedata_o[0] }),
.framestrobe({ \tile_x3y9_framestrobe_o[19] , \tile_x3y9_framestrobe_o[18] , \tile_x3y9_framestrobe_o[17] , \tile_x3y9_framestrobe_o[16] , \tile_x3y9_framestrobe_o[15] , \tile_x3y9_framestrobe_o[14] , \tile_x3y9_framestrobe_o[13] , \tile_x3y9_framestrobe_o[12] , \tile_x3y9_framestrobe_o[11] , \tile_x3y9_framestrobe_o[10] , \tile_x3y9_framestrobe_o[9] , \tile_x3y9_framestrobe_o[8] , \tile_x3y9_framestrobe_o[7] , \tile_x3y9_framestrobe_o[6] , \tile_x3y9_framestrobe_o[5] , \tile_x3y9_framestrobe_o[4] , \tile_x3y9_framestrobe_o[3] , \tile_x3y9_framestrobe_o[2] , \tile_x3y9_framestrobe_o[1] , \tile_x3y9_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y8_framestrobe_o[19] , \tile_x3y8_framestrobe_o[18] , \tile_x3y8_framestrobe_o[17] , \tile_x3y8_framestrobe_o[16] , \tile_x3y8_framestrobe_o[15] , \tile_x3y8_framestrobe_o[14] , \tile_x3y8_framestrobe_o[13] , \tile_x3y8_framestrobe_o[12] , \tile_x3y8_framestrobe_o[11] , \tile_x3y8_framestrobe_o[10] , \tile_x3y8_framestrobe_o[9] , \tile_x3y8_framestrobe_o[8] , \tile_x3y8_framestrobe_o[7] , \tile_x3y8_framestrobe_o[6] , \tile_x3y8_framestrobe_o[5] , \tile_x3y8_framestrobe_o[4] , \tile_x3y8_framestrobe_o[3] , \tile_x3y8_framestrobe_o[2] , \tile_x3y8_framestrobe_o[1] , \tile_x3y8_framestrobe_o[0] }),
.opa_i0(tile_x3y8_opa_i0),
.opa_i1(tile_x3y8_opa_i1),
.opa_i2(tile_x3y8_opa_i2),
.opa_i3(tile_x3y8_opa_i3),
.opb_i0(tile_x3y8_opb_i0),
.opb_i1(tile_x3y8_opb_i1),
.opb_i2(tile_x3y8_opb_i2),
.opb_i3(tile_x3y8_opb_i3),
.res0_o0(tile_x3y8_res0_o0),
.res0_o1(tile_x3y8_res0_o1),
.res0_o2(tile_x3y8_res0_o2),
.res0_o3(tile_x3y8_res0_o3),
.res1_o0(tile_x3y8_res1_o0),
.res1_o1(tile_x3y8_res1_o1),
.res1_o2(tile_x3y8_res1_o2),
.res1_o3(tile_x3y8_res1_o3),
.res2_o0(tile_x3y8_res2_o0),
.res2_o1(tile_x3y8_res2_o1),
.res2_o2(tile_x3y8_res2_o2),
.res2_o3(tile_x3y8_res2_o3),
.userclk(tile_x3y9_userclko),
.userclko(tile_x3y8_userclko),
.w1end({ \tile_x4y8_w1beg[3] , \tile_x4y8_w1beg[2] , \tile_x4y8_w1beg[1] , \tile_x4y8_w1beg[0] }),
.w2end({ \tile_x4y8_w2begb[7] , \tile_x4y8_w2begb[6] , \tile_x4y8_w2begb[5] , \tile_x4y8_w2begb[4] , \tile_x4y8_w2begb[3] , \tile_x4y8_w2begb[2] , \tile_x4y8_w2begb[1] , \tile_x4y8_w2begb[0] }),
.w2mid({ \tile_x4y8_w2beg[7] , \tile_x4y8_w2beg[6] , \tile_x4y8_w2beg[5] , \tile_x4y8_w2beg[4] , \tile_x4y8_w2beg[3] , \tile_x4y8_w2beg[2] , \tile_x4y8_w2beg[1] , \tile_x4y8_w2beg[0] }),
.w6end({ \tile_x4y8_w6beg[11] , \tile_x4y8_w6beg[10] , \tile_x4y8_w6beg[9] , \tile_x4y8_w6beg[8] , \tile_x4y8_w6beg[7] , \tile_x4y8_w6beg[6] , \tile_x4y8_w6beg[5] , \tile_x4y8_w6beg[4] , \tile_x4y8_w6beg[3] , \tile_x4y8_w6beg[2] , \tile_x4y8_w6beg[1] , \tile_x4y8_w6beg[0] }),
.ww4end({ \tile_x4y8_ww4beg[15] , \tile_x4y8_ww4beg[14] , \tile_x4y8_ww4beg[13] , \tile_x4y8_ww4beg[12] , \tile_x4y8_ww4beg[11] , \tile_x4y8_ww4beg[10] , \tile_x4y8_ww4beg[9] , \tile_x4y8_ww4beg[8] , \tile_x4y8_ww4beg[7] , \tile_x4y8_ww4beg[6] , \tile_x4y8_ww4beg[5] , \tile_x4y8_ww4beg[4] , \tile_x4y8_ww4beg[3] , \tile_x4y8_ww4beg[2] , \tile_x4y8_ww4beg[1] , \tile_x4y8_ww4beg[0] })
);
w_cpu_io_bot tile_x3y9_w_cpu_io_bot (
.ci(tile_x3y10_co),
.e1beg({ \tile_x3y9_e1beg[3] , \tile_x3y9_e1beg[2] , \tile_x3y9_e1beg[1] , \tile_x3y9_e1beg[0] }),
.e2beg({ \tile_x3y9_e2beg[7] , \tile_x3y9_e2beg[6] , \tile_x3y9_e2beg[5] , \tile_x3y9_e2beg[4] , \tile_x3y9_e2beg[3] , \tile_x3y9_e2beg[2] , \tile_x3y9_e2beg[1] , \tile_x3y9_e2beg[0] }),
.e2begb({ \tile_x3y9_e2begb[7] , \tile_x3y9_e2begb[6] , \tile_x3y9_e2begb[5] , \tile_x3y9_e2begb[4] , \tile_x3y9_e2begb[3] , \tile_x3y9_e2begb[2] , \tile_x3y9_e2begb[1] , \tile_x3y9_e2begb[0] }),
.e6beg({ \tile_x3y9_e6beg[11] , \tile_x3y9_e6beg[10] , \tile_x3y9_e6beg[9] , \tile_x3y9_e6beg[8] , \tile_x3y9_e6beg[7] , \tile_x3y9_e6beg[6] , \tile_x3y9_e6beg[5] , \tile_x3y9_e6beg[4] , \tile_x3y9_e6beg[3] , \tile_x3y9_e6beg[2] , \tile_x3y9_e6beg[1] , \tile_x3y9_e6beg[0] }),
.ee4beg({ \tile_x3y9_ee4beg[15] , \tile_x3y9_ee4beg[14] , \tile_x3y9_ee4beg[13] , \tile_x3y9_ee4beg[12] , \tile_x3y9_ee4beg[11] , \tile_x3y9_ee4beg[10] , \tile_x3y9_ee4beg[9] , \tile_x3y9_ee4beg[8] , \tile_x3y9_ee4beg[7] , \tile_x3y9_ee4beg[6] , \tile_x3y9_ee4beg[5] , \tile_x3y9_ee4beg[4] , \tile_x3y9_ee4beg[3] , \tile_x3y9_ee4beg[2] , \tile_x3y9_ee4beg[1] , \tile_x3y9_ee4beg[0] }),
.framedata(framedata[319:288]),
.framedata_o({ \tile_x3y9_framedata_o[31] , \tile_x3y9_framedata_o[30] , \tile_x3y9_framedata_o[29] , \tile_x3y9_framedata_o[28] , \tile_x3y9_framedata_o[27] , \tile_x3y9_framedata_o[26] , \tile_x3y9_framedata_o[25] , \tile_x3y9_framedata_o[24] , \tile_x3y9_framedata_o[23] , \tile_x3y9_framedata_o[22] , \tile_x3y9_framedata_o[21] , \tile_x3y9_framedata_o[20] , \tile_x3y9_framedata_o[19] , \tile_x3y9_framedata_o[18] , \tile_x3y9_framedata_o[17] , \tile_x3y9_framedata_o[16] , \tile_x3y9_framedata_o[15] , \tile_x3y9_framedata_o[14] , \tile_x3y9_framedata_o[13] , \tile_x3y9_framedata_o[12] , \tile_x3y9_framedata_o[11] , \tile_x3y9_framedata_o[10] , \tile_x3y9_framedata_o[9] , \tile_x3y9_framedata_o[8] , \tile_x3y9_framedata_o[7] , \tile_x3y9_framedata_o[6] , \tile_x3y9_framedata_o[5] , \tile_x3y9_framedata_o[4] , \tile_x3y9_framedata_o[3] , \tile_x3y9_framedata_o[2] , \tile_x3y9_framedata_o[1] , \tile_x3y9_framedata_o[0] }),
.framestrobe({ \tile_x3y10_framestrobe_o[19] , \tile_x3y10_framestrobe_o[18] , \tile_x3y10_framestrobe_o[17] , \tile_x3y10_framestrobe_o[16] , \tile_x3y10_framestrobe_o[15] , \tile_x3y10_framestrobe_o[14] , \tile_x3y10_framestrobe_o[13] , \tile_x3y10_framestrobe_o[12] , \tile_x3y10_framestrobe_o[11] , \tile_x3y10_framestrobe_o[10] , \tile_x3y10_framestrobe_o[9] , \tile_x3y10_framestrobe_o[8] , \tile_x3y10_framestrobe_o[7] , \tile_x3y10_framestrobe_o[6] , \tile_x3y10_framestrobe_o[5] , \tile_x3y10_framestrobe_o[4] , \tile_x3y10_framestrobe_o[3] , \tile_x3y10_framestrobe_o[2] , \tile_x3y10_framestrobe_o[1] , \tile_x3y10_framestrobe_o[0] }),
.framestrobe_o({ \tile_x3y9_framestrobe_o[19] , \tile_x3y9_framestrobe_o[18] , \tile_x3y9_framestrobe_o[17] , \tile_x3y9_framestrobe_o[16] , \tile_x3y9_framestrobe_o[15] , \tile_x3y9_framestrobe_o[14] , \tile_x3y9_framestrobe_o[13] , \tile_x3y9_framestrobe_o[12] , \tile_x3y9_framestrobe_o[11] , \tile_x3y9_framestrobe_o[10] , \tile_x3y9_framestrobe_o[9] , \tile_x3y9_framestrobe_o[8] , \tile_x3y9_framestrobe_o[7] , \tile_x3y9_framestrobe_o[6] , \tile_x3y9_framestrobe_o[5] , \tile_x3y9_framestrobe_o[4] , \tile_x3y9_framestrobe_o[3] , \tile_x3y9_framestrobe_o[2] , \tile_x3y9_framestrobe_o[1] , \tile_x3y9_framestrobe_o[0] }),
.n1end({ \tile_x3y10_n1beg[3] , \tile_x3y10_n1beg[2] , \tile_x3y10_n1beg[1] , \tile_x3y10_n1beg[0] }),
.n2end({ \tile_x3y10_n2begb[7] , \tile_x3y10_n2begb[6] , \tile_x3y10_n2begb[5] , \tile_x3y10_n2begb[4] , \tile_x3y10_n2begb[3] , \tile_x3y10_n2begb[2] , \tile_x3y10_n2begb[1] , \tile_x3y10_n2begb[0] }),
.n2mid({ \tile_x3y10_n2beg[7] , \tile_x3y10_n2beg[6] , \tile_x3y10_n2beg[5] , \tile_x3y10_n2beg[4] , \tile_x3y10_n2beg[3] , \tile_x3y10_n2beg[2] , \tile_x3y10_n2beg[1] , \tile_x3y10_n2beg[0] }),
.n4end({ \tile_x3y10_n4beg[15] , \tile_x3y10_n4beg[14] , \tile_x3y10_n4beg[13] , \tile_x3y10_n4beg[12] , \tile_x3y10_n4beg[11] , \tile_x3y10_n4beg[10] , \tile_x3y10_n4beg[9] , \tile_x3y10_n4beg[8] , \tile_x3y10_n4beg[7] , \tile_x3y10_n4beg[6] , \tile_x3y10_n4beg[5] , \tile_x3y10_n4beg[4] , \tile_x3y10_n4beg[3] , \tile_x3y10_n4beg[2] , \tile_x3y10_n4beg[1] , \tile_x3y10_n4beg[0] }),
.nn4end({ \tile_x3y10_nn4beg[15] , \tile_x3y10_nn4beg[14] , \tile_x3y10_nn4beg[13] , \tile_x3y10_nn4beg[12] , \tile_x3y10_nn4beg[11] , \tile_x3y10_nn4beg[10] , \tile_x3y10_nn4beg[9] , \tile_x3y10_nn4beg[8] , \tile_x3y10_nn4beg[7] , \tile_x3y10_nn4beg[6] , \tile_x3y10_nn4beg[5] , \tile_x3y10_nn4beg[4] , \tile_x3y10_nn4beg[3] , \tile_x3y10_nn4beg[2] , \tile_x3y10_nn4beg[1] , \tile_x3y10_nn4beg[0] }),
.opa_i0(tile_x3y9_opa_i0),
.opa_i1(tile_x3y9_opa_i1),
.opa_i2(tile_x3y9_opa_i2),
.opa_i3(tile_x3y9_opa_i3),
.opb_i0(tile_x3y9_opb_i0),
.opb_i1(tile_x3y9_opb_i1),
.opb_i2(tile_x3y9_opb_i2),
.opb_i3(tile_x3y9_opb_i3),
.res0_o0(tile_x3y9_res0_o0),
.res0_o1(tile_x3y9_res0_o1),
.res0_o2(tile_x3y9_res0_o2),
.res0_o3(tile_x3y9_res0_o3),
.res1_o0(tile_x3y9_res1_o0),
.res1_o1(tile_x3y9_res1_o1),
.res1_o2(tile_x3y9_res1_o2),
.res1_o3(tile_x3y9_res1_o3),
.res2_o0(tile_x3y9_res2_o0),
.res2_o1(tile_x3y9_res2_o1),
.res2_o2(tile_x3y9_res2_o2),
.res2_o3(tile_x3y9_res2_o3),
.s1beg({ \tile_x3y9_s1beg[3] , \tile_x3y9_s1beg[2] , \tile_x3y9_s1beg[1] , \tile_x3y9_s1beg[0] }),
.s2beg({ \tile_x3y9_s2beg[7] , \tile_x3y9_s2beg[6] , \tile_x3y9_s2beg[5] , \tile_x3y9_s2beg[4] , \tile_x3y9_s2beg[3] , \tile_x3y9_s2beg[2] , \tile_x3y9_s2beg[1] , \tile_x3y9_s2beg[0] }),
.s2begb({ \tile_x3y9_s2begb[7] , \tile_x3y9_s2begb[6] , \tile_x3y9_s2begb[5] , \tile_x3y9_s2begb[4] , \tile_x3y9_s2begb[3] , \tile_x3y9_s2begb[2] , \tile_x3y9_s2begb[1] , \tile_x3y9_s2begb[0] }),
.s4beg({ \tile_x3y9_s4beg[15] , \tile_x3y9_s4beg[14] , \tile_x3y9_s4beg[13] , \tile_x3y9_s4beg[12] , \tile_x3y9_s4beg[11] , \tile_x3y9_s4beg[10] , \tile_x3y9_s4beg[9] , \tile_x3y9_s4beg[8] , \tile_x3y9_s4beg[7] , \tile_x3y9_s4beg[6] , \tile_x3y9_s4beg[5] , \tile_x3y9_s4beg[4] , \tile_x3y9_s4beg[3] , \tile_x3y9_s4beg[2] , \tile_x3y9_s4beg[1] , \tile_x3y9_s4beg[0] }),
.ss4beg({ \tile_x3y9_ss4beg[15] , \tile_x3y9_ss4beg[14] , \tile_x3y9_ss4beg[13] , \tile_x3y9_ss4beg[12] , \tile_x3y9_ss4beg[11] , \tile_x3y9_ss4beg[10] , \tile_x3y9_ss4beg[9] , \tile_x3y9_ss4beg[8] , \tile_x3y9_ss4beg[7] , \tile_x3y9_ss4beg[6] , \tile_x3y9_ss4beg[5] , \tile_x3y9_ss4beg[4] , \tile_x3y9_ss4beg[3] , \tile_x3y9_ss4beg[2] , \tile_x3y9_ss4beg[1] , \tile_x3y9_ss4beg[0] }),
.userclk(tile_x3y10_userclko),
.userclko(tile_x3y9_userclko),
.w1end({ \tile_x4y9_w1beg[3] , \tile_x4y9_w1beg[2] , \tile_x4y9_w1beg[1] , \tile_x4y9_w1beg[0] }),
.w2end({ \tile_x4y9_w2begb[7] , \tile_x4y9_w2begb[6] , \tile_x4y9_w2begb[5] , \tile_x4y9_w2begb[4] , \tile_x4y9_w2begb[3] , \tile_x4y9_w2begb[2] , \tile_x4y9_w2begb[1] , \tile_x4y9_w2begb[0] }),
.w2mid({ \tile_x4y9_w2beg[7] , \tile_x4y9_w2beg[6] , \tile_x4y9_w2beg[5] , \tile_x4y9_w2beg[4] , \tile_x4y9_w2beg[3] , \tile_x4y9_w2beg[2] , \tile_x4y9_w2beg[1] , \tile_x4y9_w2beg[0] }),
.w6end({ \tile_x4y9_w6beg[11] , \tile_x4y9_w6beg[10] , \tile_x4y9_w6beg[9] , \tile_x4y9_w6beg[8] , \tile_x4y9_w6beg[7] , \tile_x4y9_w6beg[6] , \tile_x4y9_w6beg[5] , \tile_x4y9_w6beg[4] , \tile_x4y9_w6beg[3] , \tile_x4y9_w6beg[2] , \tile_x4y9_w6beg[1] , \tile_x4y9_w6beg[0] }),
.ww4end({ \tile_x4y9_ww4beg[15] , \tile_x4y9_ww4beg[14] , \tile_x4y9_ww4beg[13] , \tile_x4y9_ww4beg[12] , \tile_x4y9_ww4beg[11] , \tile_x4y9_ww4beg[10] , \tile_x4y9_ww4beg[9] , \tile_x4y9_ww4beg[8] , \tile_x4y9_ww4beg[7] , \tile_x4y9_ww4beg[6] , \tile_x4y9_ww4beg[5] , \tile_x4y9_ww4beg[4] , \tile_x4y9_ww4beg[3] , \tile_x4y9_ww4beg[2] , \tile_x4y9_ww4beg[1] , \tile_x4y9_ww4beg[0] })
);
n_term_dsp tile_x4y0_n_term_dsp (
.framestrobe({ \tile_x4y1_framestrobe_o[19] , \tile_x4y1_framestrobe_o[18] , \tile_x4y1_framestrobe_o[17] , \tile_x4y1_framestrobe_o[16] , \tile_x4y1_framestrobe_o[15] , \tile_x4y1_framestrobe_o[14] , \tile_x4y1_framestrobe_o[13] , \tile_x4y1_framestrobe_o[12] , \tile_x4y1_framestrobe_o[11] , \tile_x4y1_framestrobe_o[10] , \tile_x4y1_framestrobe_o[9] , \tile_x4y1_framestrobe_o[8] , \tile_x4y1_framestrobe_o[7] , \tile_x4y1_framestrobe_o[6] , \tile_x4y1_framestrobe_o[5] , \tile_x4y1_framestrobe_o[4] , \tile_x4y1_framestrobe_o[3] , \tile_x4y1_framestrobe_o[2] , \tile_x4y1_framestrobe_o[1] , \tile_x4y1_framestrobe_o[0] }),
.framestrobe_o({ \tile_x4y0_framestrobe_o[19] , \tile_x4y0_framestrobe_o[18] , \tile_x4y0_framestrobe_o[17] , \tile_x4y0_framestrobe_o[16] , \tile_x4y0_framestrobe_o[15] , \tile_x4y0_framestrobe_o[14] , \tile_x4y0_framestrobe_o[13] , \tile_x4y0_framestrobe_o[12] , \tile_x4y0_framestrobe_o[11] , \tile_x4y0_framestrobe_o[10] , \tile_x4y0_framestrobe_o[9] , \tile_x4y0_framestrobe_o[8] , \tile_x4y0_framestrobe_o[7] , \tile_x4y0_framestrobe_o[6] , \tile_x4y0_framestrobe_o[5] , \tile_x4y0_framestrobe_o[4] , \tile_x4y0_framestrobe_o[3] , \tile_x4y0_framestrobe_o[2] , \tile_x4y0_framestrobe_o[1] , \tile_x4y0_framestrobe_o[0] }),
.n1end({ \tile_x4y1_n1beg[3] , \tile_x4y1_n1beg[2] , \tile_x4y1_n1beg[1] , \tile_x4y1_n1beg[0] }),
.n2end({ \tile_x4y1_n2begb[7] , \tile_x4y1_n2begb[6] , \tile_x4y1_n2begb[5] , \tile_x4y1_n2begb[4] , \tile_x4y1_n2begb[3] , \tile_x4y1_n2begb[2] , \tile_x4y1_n2begb[1] , \tile_x4y1_n2begb[0] }),
.n2mid({ \tile_x4y1_n2beg[7] , \tile_x4y1_n2beg[6] , \tile_x4y1_n2beg[5] , \tile_x4y1_n2beg[4] , \tile_x4y1_n2beg[3] , \tile_x4y1_n2beg[2] , \tile_x4y1_n2beg[1] , \tile_x4y1_n2beg[0] }),
.n4end({ \tile_x4y1_n4beg[15] , \tile_x4y1_n4beg[14] , \tile_x4y1_n4beg[13] , \tile_x4y1_n4beg[12] , \tile_x4y1_n4beg[11] , \tile_x4y1_n4beg[10] , \tile_x4y1_n4beg[9] , \tile_x4y1_n4beg[8] , \tile_x4y1_n4beg[7] , \tile_x4y1_n4beg[6] , \tile_x4y1_n4beg[5] , \tile_x4y1_n4beg[4] , \tile_x4y1_n4beg[3] , \tile_x4y1_n4beg[2] , \tile_x4y1_n4beg[1] , \tile_x4y1_n4beg[0] }),
.nn4end({ \tile_x4y1_nn4beg[15] , \tile_x4y1_nn4beg[14] , \tile_x4y1_nn4beg[13] , \tile_x4y1_nn4beg[12] , \tile_x4y1_nn4beg[11] , \tile_x4y1_nn4beg[10] , \tile_x4y1_nn4beg[9] , \tile_x4y1_nn4beg[8] , \tile_x4y1_nn4beg[7] , \tile_x4y1_nn4beg[6] , \tile_x4y1_nn4beg[5] , \tile_x4y1_nn4beg[4] , \tile_x4y1_nn4beg[3] , \tile_x4y1_nn4beg[2] , \tile_x4y1_nn4beg[1] , \tile_x4y1_nn4beg[0] }),
.s1beg({ \tile_x4y0_s1beg[3] , \tile_x4y0_s1beg[2] , \tile_x4y0_s1beg[1] , \tile_x4y0_s1beg[0] }),
.s2beg({ \tile_x4y0_s2beg[7] , \tile_x4y0_s2beg[6] , \tile_x4y0_s2beg[5] , \tile_x4y0_s2beg[4] , \tile_x4y0_s2beg[3] , \tile_x4y0_s2beg[2] , \tile_x4y0_s2beg[1] , \tile_x4y0_s2beg[0] }),
.s2begb({ \tile_x4y0_s2begb[7] , \tile_x4y0_s2begb[6] , \tile_x4y0_s2begb[5] , \tile_x4y0_s2begb[4] , \tile_x4y0_s2begb[3] , \tile_x4y0_s2begb[2] , \tile_x4y0_s2begb[1] , \tile_x4y0_s2begb[0] }),
.s4beg({ \tile_x4y0_s4beg[15] , \tile_x4y0_s4beg[14] , \tile_x4y0_s4beg[13] , \tile_x4y0_s4beg[12] , \tile_x4y0_s4beg[11] , \tile_x4y0_s4beg[10] , \tile_x4y0_s4beg[9] , \tile_x4y0_s4beg[8] , \tile_x4y0_s4beg[7] , \tile_x4y0_s4beg[6] , \tile_x4y0_s4beg[5] , \tile_x4y0_s4beg[4] , \tile_x4y0_s4beg[3] , \tile_x4y0_s4beg[2] , \tile_x4y0_s4beg[1] , \tile_x4y0_s4beg[0] }),
.ss4beg({ \tile_x4y0_ss4beg[15] , \tile_x4y0_ss4beg[14] , \tile_x4y0_ss4beg[13] , \tile_x4y0_ss4beg[12] , \tile_x4y0_ss4beg[11] , \tile_x4y0_ss4beg[10] , \tile_x4y0_ss4beg[9] , \tile_x4y0_ss4beg[8] , \tile_x4y0_ss4beg[7] , \tile_x4y0_ss4beg[6] , \tile_x4y0_ss4beg[5] , \tile_x4y0_ss4beg[4] , \tile_x4y0_ss4beg[3] , \tile_x4y0_ss4beg[2] , \tile_x4y0_ss4beg[1] , \tile_x4y0_ss4beg[0] }),
.userclk(tile_x4y1_userclko),
.userclko(tile_x4y0_userclko)
);
dsp tile_x4y11_x4y12_dsp_tile (
.framestrobe({ \tile_x4y13_framestrobe_o[19] , \tile_x4y13_framestrobe_o[18] , \tile_x4y13_framestrobe_o[17] , \tile_x4y13_framestrobe_o[16] , \tile_x4y13_framestrobe_o[15] , \tile_x4y13_framestrobe_o[14] , \tile_x4y13_framestrobe_o[13] , \tile_x4y13_framestrobe_o[12] , \tile_x4y13_framestrobe_o[11] , \tile_x4y13_framestrobe_o[10] , \tile_x4y13_framestrobe_o[9] , \tile_x4y13_framestrobe_o[8] , \tile_x4y13_framestrobe_o[7] , \tile_x4y13_framestrobe_o[6] , \tile_x4y13_framestrobe_o[5] , \tile_x4y13_framestrobe_o[4] , \tile_x4y13_framestrobe_o[3] , \tile_x4y13_framestrobe_o[2] , \tile_x4y13_framestrobe_o[1] , \tile_x4y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x4y11_framestrobe_o[19] , \tile_x4y11_framestrobe_o[18] , \tile_x4y11_framestrobe_o[17] , \tile_x4y11_framestrobe_o[16] , \tile_x4y11_framestrobe_o[15] , \tile_x4y11_framestrobe_o[14] , \tile_x4y11_framestrobe_o[13] , \tile_x4y11_framestrobe_o[12] , \tile_x4y11_framestrobe_o[11] , \tile_x4y11_framestrobe_o[10] , \tile_x4y11_framestrobe_o[9] , \tile_x4y11_framestrobe_o[8] , \tile_x4y11_framestrobe_o[7] , \tile_x4y11_framestrobe_o[6] , \tile_x4y11_framestrobe_o[5] , \tile_x4y11_framestrobe_o[4] , \tile_x4y11_framestrobe_o[3] , \tile_x4y11_framestrobe_o[2] , \tile_x4y11_framestrobe_o[1] , \tile_x4y11_framestrobe_o[0] }),
.userclk(tile_x4y13_userclko),
.userclko(tile_x4y11_userclko),
.bot_e1beg({ \tile_x4y12_e1beg[3] , \tile_x4y12_e1beg[2] , \tile_x4y12_e1beg[1] , \tile_x4y12_e1beg[0] }),
.bot_e1end({ \tile_x3y12_e1beg[3] , \tile_x3y12_e1beg[2] , \tile_x3y12_e1beg[1] , \tile_x3y12_e1beg[0] }),
.bot_e2beg({ \tile_x4y12_e2beg[7] , \tile_x4y12_e2beg[6] , \tile_x4y12_e2beg[5] , \tile_x4y12_e2beg[4] , \tile_x4y12_e2beg[3] , \tile_x4y12_e2beg[2] , \tile_x4y12_e2beg[1] , \tile_x4y12_e2beg[0] }),
.bot_e2begb({ \tile_x4y12_e2begb[7] , \tile_x4y12_e2begb[6] , \tile_x4y12_e2begb[5] , \tile_x4y12_e2begb[4] , \tile_x4y12_e2begb[3] , \tile_x4y12_e2begb[2] , \tile_x4y12_e2begb[1] , \tile_x4y12_e2begb[0] }),
.bot_e2end({ \tile_x3y12_e2begb[7] , \tile_x3y12_e2begb[6] , \tile_x3y12_e2begb[5] , \tile_x3y12_e2begb[4] , \tile_x3y12_e2begb[3] , \tile_x3y12_e2begb[2] , \tile_x3y12_e2begb[1] , \tile_x3y12_e2begb[0] }),
.bot_e2mid({ \tile_x3y12_e2beg[7] , \tile_x3y12_e2beg[6] , \tile_x3y12_e2beg[5] , \tile_x3y12_e2beg[4] , \tile_x3y12_e2beg[3] , \tile_x3y12_e2beg[2] , \tile_x3y12_e2beg[1] , \tile_x3y12_e2beg[0] }),
.bot_e6beg({ \tile_x4y12_e6beg[11] , \tile_x4y12_e6beg[10] , \tile_x4y12_e6beg[9] , \tile_x4y12_e6beg[8] , \tile_x4y12_e6beg[7] , \tile_x4y12_e6beg[6] , \tile_x4y12_e6beg[5] , \tile_x4y12_e6beg[4] , \tile_x4y12_e6beg[3] , \tile_x4y12_e6beg[2] , \tile_x4y12_e6beg[1] , \tile_x4y12_e6beg[0] }),
.bot_e6end({ \tile_x3y12_e6beg[11] , \tile_x3y12_e6beg[10] , \tile_x3y12_e6beg[9] , \tile_x3y12_e6beg[8] , \tile_x3y12_e6beg[7] , \tile_x3y12_e6beg[6] , \tile_x3y12_e6beg[5] , \tile_x3y12_e6beg[4] , \tile_x3y12_e6beg[3] , \tile_x3y12_e6beg[2] , \tile_x3y12_e6beg[1] , \tile_x3y12_e6beg[0] }),
.bot_ee4beg({ \tile_x4y12_ee4beg[15] , \tile_x4y12_ee4beg[14] , \tile_x4y12_ee4beg[13] , \tile_x4y12_ee4beg[12] , \tile_x4y12_ee4beg[11] , \tile_x4y12_ee4beg[10] , \tile_x4y12_ee4beg[9] , \tile_x4y12_ee4beg[8] , \tile_x4y12_ee4beg[7] , \tile_x4y12_ee4beg[6] , \tile_x4y12_ee4beg[5] , \tile_x4y12_ee4beg[4] , \tile_x4y12_ee4beg[3] , \tile_x4y12_ee4beg[2] , \tile_x4y12_ee4beg[1] , \tile_x4y12_ee4beg[0] }),
.bot_ee4end({ \tile_x3y12_ee4beg[15] , \tile_x3y12_ee4beg[14] , \tile_x3y12_ee4beg[13] , \tile_x3y12_ee4beg[12] , \tile_x3y12_ee4beg[11] , \tile_x3y12_ee4beg[10] , \tile_x3y12_ee4beg[9] , \tile_x3y12_ee4beg[8] , \tile_x3y12_ee4beg[7] , \tile_x3y12_ee4beg[6] , \tile_x3y12_ee4beg[5] , \tile_x3y12_ee4beg[4] , \tile_x3y12_ee4beg[3] , \tile_x3y12_ee4beg[2] , \tile_x3y12_ee4beg[1] , \tile_x3y12_ee4beg[0] }),
.bot_framedata({ \tile_x3y12_framedata_o[31] , \tile_x3y12_framedata_o[30] , \tile_x3y12_framedata_o[29] , \tile_x3y12_framedata_o[28] , \tile_x3y12_framedata_o[27] , \tile_x3y12_framedata_o[26] , \tile_x3y12_framedata_o[25] , \tile_x3y12_framedata_o[24] , \tile_x3y12_framedata_o[23] , \tile_x3y12_framedata_o[22] , \tile_x3y12_framedata_o[21] , \tile_x3y12_framedata_o[20] , \tile_x3y12_framedata_o[19] , \tile_x3y12_framedata_o[18] , \tile_x3y12_framedata_o[17] , \tile_x3y12_framedata_o[16] , \tile_x3y12_framedata_o[15] , \tile_x3y12_framedata_o[14] , \tile_x3y12_framedata_o[13] , \tile_x3y12_framedata_o[12] , \tile_x3y12_framedata_o[11] , \tile_x3y12_framedata_o[10] , \tile_x3y12_framedata_o[9] , \tile_x3y12_framedata_o[8] , \tile_x3y12_framedata_o[7] , \tile_x3y12_framedata_o[6] , \tile_x3y12_framedata_o[5] , \tile_x3y12_framedata_o[4] , \tile_x3y12_framedata_o[3] , \tile_x3y12_framedata_o[2] , \tile_x3y12_framedata_o[1] , \tile_x3y12_framedata_o[0] }),
.bot_framedata_o({ \tile_x4y12_framedata_o[31] , \tile_x4y12_framedata_o[30] , \tile_x4y12_framedata_o[29] , \tile_x4y12_framedata_o[28] , \tile_x4y12_framedata_o[27] , \tile_x4y12_framedata_o[26] , \tile_x4y12_framedata_o[25] , \tile_x4y12_framedata_o[24] , \tile_x4y12_framedata_o[23] , \tile_x4y12_framedata_o[22] , \tile_x4y12_framedata_o[21] , \tile_x4y12_framedata_o[20] , \tile_x4y12_framedata_o[19] , \tile_x4y12_framedata_o[18] , \tile_x4y12_framedata_o[17] , \tile_x4y12_framedata_o[16] , \tile_x4y12_framedata_o[15] , \tile_x4y12_framedata_o[14] , \tile_x4y12_framedata_o[13] , \tile_x4y12_framedata_o[12] , \tile_x4y12_framedata_o[11] , \tile_x4y12_framedata_o[10] , \tile_x4y12_framedata_o[9] , \tile_x4y12_framedata_o[8] , \tile_x4y12_framedata_o[7] , \tile_x4y12_framedata_o[6] , \tile_x4y12_framedata_o[5] , \tile_x4y12_framedata_o[4] , \tile_x4y12_framedata_o[3] , \tile_x4y12_framedata_o[2] , \tile_x4y12_framedata_o[1] , \tile_x4y12_framedata_o[0] }),
.bot_n1end({ \tile_x4y13_n1beg[3] , \tile_x4y13_n1beg[2] , \tile_x4y13_n1beg[1] , \tile_x4y13_n1beg[0] }),
.bot_n2end({ \tile_x4y13_n2begb[7] , \tile_x4y13_n2begb[6] , \tile_x4y13_n2begb[5] , \tile_x4y13_n2begb[4] , \tile_x4y13_n2begb[3] , \tile_x4y13_n2begb[2] , \tile_x4y13_n2begb[1] , \tile_x4y13_n2begb[0] }),
.bot_n2mid({ \tile_x4y13_n2beg[7] , \tile_x4y13_n2beg[6] , \tile_x4y13_n2beg[5] , \tile_x4y13_n2beg[4] , \tile_x4y13_n2beg[3] , \tile_x4y13_n2beg[2] , \tile_x4y13_n2beg[1] , \tile_x4y13_n2beg[0] }),
.bot_n4end({ \tile_x4y13_n4beg[15] , \tile_x4y13_n4beg[14] , \tile_x4y13_n4beg[13] , \tile_x4y13_n4beg[12] , \tile_x4y13_n4beg[11] , \tile_x4y13_n4beg[10] , \tile_x4y13_n4beg[9] , \tile_x4y13_n4beg[8] , \tile_x4y13_n4beg[7] , \tile_x4y13_n4beg[6] , \tile_x4y13_n4beg[5] , \tile_x4y13_n4beg[4] , \tile_x4y13_n4beg[3] , \tile_x4y13_n4beg[2] , \tile_x4y13_n4beg[1] , \tile_x4y13_n4beg[0] }),
.bot_nn4end({ \tile_x4y13_nn4beg[15] , \tile_x4y13_nn4beg[14] , \tile_x4y13_nn4beg[13] , \tile_x4y13_nn4beg[12] , \tile_x4y13_nn4beg[11] , \tile_x4y13_nn4beg[10] , \tile_x4y13_nn4beg[9] , \tile_x4y13_nn4beg[8] , \tile_x4y13_nn4beg[7] , \tile_x4y13_nn4beg[6] , \tile_x4y13_nn4beg[5] , \tile_x4y13_nn4beg[4] , \tile_x4y13_nn4beg[3] , \tile_x4y13_nn4beg[2] , \tile_x4y13_nn4beg[1] , \tile_x4y13_nn4beg[0] }),
.bot_s1beg({ \tile_x4y12_s1beg[3] , \tile_x4y12_s1beg[2] , \tile_x4y12_s1beg[1] , \tile_x4y12_s1beg[0] }),
.bot_s2beg({ \tile_x4y12_s2beg[7] , \tile_x4y12_s2beg[6] , \tile_x4y12_s2beg[5] , \tile_x4y12_s2beg[4] , \tile_x4y12_s2beg[3] , \tile_x4y12_s2beg[2] , \tile_x4y12_s2beg[1] , \tile_x4y12_s2beg[0] }),
.bot_s2begb({ \tile_x4y12_s2begb[7] , \tile_x4y12_s2begb[6] , \tile_x4y12_s2begb[5] , \tile_x4y12_s2begb[4] , \tile_x4y12_s2begb[3] , \tile_x4y12_s2begb[2] , \tile_x4y12_s2begb[1] , \tile_x4y12_s2begb[0] }),
.bot_s4beg({ \tile_x4y12_s4beg[15] , \tile_x4y12_s4beg[14] , \tile_x4y12_s4beg[13] , \tile_x4y12_s4beg[12] , \tile_x4y12_s4beg[11] , \tile_x4y12_s4beg[10] , \tile_x4y12_s4beg[9] , \tile_x4y12_s4beg[8] , \tile_x4y12_s4beg[7] , \tile_x4y12_s4beg[6] , \tile_x4y12_s4beg[5] , \tile_x4y12_s4beg[4] , \tile_x4y12_s4beg[3] , \tile_x4y12_s4beg[2] , \tile_x4y12_s4beg[1] , \tile_x4y12_s4beg[0] }),
.bot_ss4beg({ \tile_x4y12_ss4beg[15] , \tile_x4y12_ss4beg[14] , \tile_x4y12_ss4beg[13] , \tile_x4y12_ss4beg[12] , \tile_x4y12_ss4beg[11] , \tile_x4y12_ss4beg[10] , \tile_x4y12_ss4beg[9] , \tile_x4y12_ss4beg[8] , \tile_x4y12_ss4beg[7] , \tile_x4y12_ss4beg[6] , \tile_x4y12_ss4beg[5] , \tile_x4y12_ss4beg[4] , \tile_x4y12_ss4beg[3] , \tile_x4y12_ss4beg[2] , \tile_x4y12_ss4beg[1] , \tile_x4y12_ss4beg[0] }),
.bot_w1beg({ \tile_x4y12_w1beg[3] , \tile_x4y12_w1beg[2] , \tile_x4y12_w1beg[1] , \tile_x4y12_w1beg[0] }),
.bot_w1end({ \tile_x5y12_w1beg[3] , \tile_x5y12_w1beg[2] , \tile_x5y12_w1beg[1] , \tile_x5y12_w1beg[0] }),
.bot_w2beg({ \tile_x4y12_w2beg[7] , \tile_x4y12_w2beg[6] , \tile_x4y12_w2beg[5] , \tile_x4y12_w2beg[4] , \tile_x4y12_w2beg[3] , \tile_x4y12_w2beg[2] , \tile_x4y12_w2beg[1] , \tile_x4y12_w2beg[0] }),
.bot_w2begb({ \tile_x4y12_w2begb[7] , \tile_x4y12_w2begb[6] , \tile_x4y12_w2begb[5] , \tile_x4y12_w2begb[4] , \tile_x4y12_w2begb[3] , \tile_x4y12_w2begb[2] , \tile_x4y12_w2begb[1] , \tile_x4y12_w2begb[0] }),
.bot_w2end({ \tile_x5y12_w2begb[7] , \tile_x5y12_w2begb[6] , \tile_x5y12_w2begb[5] , \tile_x5y12_w2begb[4] , \tile_x5y12_w2begb[3] , \tile_x5y12_w2begb[2] , \tile_x5y12_w2begb[1] , \tile_x5y12_w2begb[0] }),
.bot_w2mid({ \tile_x5y12_w2beg[7] , \tile_x5y12_w2beg[6] , \tile_x5y12_w2beg[5] , \tile_x5y12_w2beg[4] , \tile_x5y12_w2beg[3] , \tile_x5y12_w2beg[2] , \tile_x5y12_w2beg[1] , \tile_x5y12_w2beg[0] }),
.bot_w6beg({ \tile_x4y12_w6beg[11] , \tile_x4y12_w6beg[10] , \tile_x4y12_w6beg[9] , \tile_x4y12_w6beg[8] , \tile_x4y12_w6beg[7] , \tile_x4y12_w6beg[6] , \tile_x4y12_w6beg[5] , \tile_x4y12_w6beg[4] , \tile_x4y12_w6beg[3] , \tile_x4y12_w6beg[2] , \tile_x4y12_w6beg[1] , \tile_x4y12_w6beg[0] }),
.bot_w6end({ \tile_x5y12_w6beg[11] , \tile_x5y12_w6beg[10] , \tile_x5y12_w6beg[9] , \tile_x5y12_w6beg[8] , \tile_x5y12_w6beg[7] , \tile_x5y12_w6beg[6] , \tile_x5y12_w6beg[5] , \tile_x5y12_w6beg[4] , \tile_x5y12_w6beg[3] , \tile_x5y12_w6beg[2] , \tile_x5y12_w6beg[1] , \tile_x5y12_w6beg[0] }),
.bot_ww4beg({ \tile_x4y12_ww4beg[15] , \tile_x4y12_ww4beg[14] , \tile_x4y12_ww4beg[13] , \tile_x4y12_ww4beg[12] , \tile_x4y12_ww4beg[11] , \tile_x4y12_ww4beg[10] , \tile_x4y12_ww4beg[9] , \tile_x4y12_ww4beg[8] , \tile_x4y12_ww4beg[7] , \tile_x4y12_ww4beg[6] , \tile_x4y12_ww4beg[5] , \tile_x4y12_ww4beg[4] , \tile_x4y12_ww4beg[3] , \tile_x4y12_ww4beg[2] , \tile_x4y12_ww4beg[1] , \tile_x4y12_ww4beg[0] }),
.bot_ww4end({ \tile_x5y12_ww4beg[15] , \tile_x5y12_ww4beg[14] , \tile_x5y12_ww4beg[13] , \tile_x5y12_ww4beg[12] , \tile_x5y12_ww4beg[11] , \tile_x5y12_ww4beg[10] , \tile_x5y12_ww4beg[9] , \tile_x5y12_ww4beg[8] , \tile_x5y12_ww4beg[7] , \tile_x5y12_ww4beg[6] , \tile_x5y12_ww4beg[5] , \tile_x5y12_ww4beg[4] , \tile_x5y12_ww4beg[3] , \tile_x5y12_ww4beg[2] , \tile_x5y12_ww4beg[1] , \tile_x5y12_ww4beg[0] }),
.top_e1beg({ \tile_x4y11_e1beg[3] , \tile_x4y11_e1beg[2] , \tile_x4y11_e1beg[1] , \tile_x4y11_e1beg[0] }),
.top_e1end({ \tile_x3y11_e1beg[3] , \tile_x3y11_e1beg[2] , \tile_x3y11_e1beg[1] , \tile_x3y11_e1beg[0] }),
.top_e2beg({ \tile_x4y11_e2beg[7] , \tile_x4y11_e2beg[6] , \tile_x4y11_e2beg[5] , \tile_x4y11_e2beg[4] , \tile_x4y11_e2beg[3] , \tile_x4y11_e2beg[2] , \tile_x4y11_e2beg[1] , \tile_x4y11_e2beg[0] }),
.top_e2begb({ \tile_x4y11_e2begb[7] , \tile_x4y11_e2begb[6] , \tile_x4y11_e2begb[5] , \tile_x4y11_e2begb[4] , \tile_x4y11_e2begb[3] , \tile_x4y11_e2begb[2] , \tile_x4y11_e2begb[1] , \tile_x4y11_e2begb[0] }),
.top_e2end({ \tile_x3y11_e2begb[7] , \tile_x3y11_e2begb[6] , \tile_x3y11_e2begb[5] , \tile_x3y11_e2begb[4] , \tile_x3y11_e2begb[3] , \tile_x3y11_e2begb[2] , \tile_x3y11_e2begb[1] , \tile_x3y11_e2begb[0] }),
.top_e2mid({ \tile_x3y11_e2beg[7] , \tile_x3y11_e2beg[6] , \tile_x3y11_e2beg[5] , \tile_x3y11_e2beg[4] , \tile_x3y11_e2beg[3] , \tile_x3y11_e2beg[2] , \tile_x3y11_e2beg[1] , \tile_x3y11_e2beg[0] }),
.top_e6beg({ \tile_x4y11_e6beg[11] , \tile_x4y11_e6beg[10] , \tile_x4y11_e6beg[9] , \tile_x4y11_e6beg[8] , \tile_x4y11_e6beg[7] , \tile_x4y11_e6beg[6] , \tile_x4y11_e6beg[5] , \tile_x4y11_e6beg[4] , \tile_x4y11_e6beg[3] , \tile_x4y11_e6beg[2] , \tile_x4y11_e6beg[1] , \tile_x4y11_e6beg[0] }),
.top_e6end({ \tile_x3y11_e6beg[11] , \tile_x3y11_e6beg[10] , \tile_x3y11_e6beg[9] , \tile_x3y11_e6beg[8] , \tile_x3y11_e6beg[7] , \tile_x3y11_e6beg[6] , \tile_x3y11_e6beg[5] , \tile_x3y11_e6beg[4] , \tile_x3y11_e6beg[3] , \tile_x3y11_e6beg[2] , \tile_x3y11_e6beg[1] , \tile_x3y11_e6beg[0] }),
.top_ee4beg({ \tile_x4y11_ee4beg[15] , \tile_x4y11_ee4beg[14] , \tile_x4y11_ee4beg[13] , \tile_x4y11_ee4beg[12] , \tile_x4y11_ee4beg[11] , \tile_x4y11_ee4beg[10] , \tile_x4y11_ee4beg[9] , \tile_x4y11_ee4beg[8] , \tile_x4y11_ee4beg[7] , \tile_x4y11_ee4beg[6] , \tile_x4y11_ee4beg[5] , \tile_x4y11_ee4beg[4] , \tile_x4y11_ee4beg[3] , \tile_x4y11_ee4beg[2] , \tile_x4y11_ee4beg[1] , \tile_x4y11_ee4beg[0] }),
.top_ee4end({ \tile_x3y11_ee4beg[15] , \tile_x3y11_ee4beg[14] , \tile_x3y11_ee4beg[13] , \tile_x3y11_ee4beg[12] , \tile_x3y11_ee4beg[11] , \tile_x3y11_ee4beg[10] , \tile_x3y11_ee4beg[9] , \tile_x3y11_ee4beg[8] , \tile_x3y11_ee4beg[7] , \tile_x3y11_ee4beg[6] , \tile_x3y11_ee4beg[5] , \tile_x3y11_ee4beg[4] , \tile_x3y11_ee4beg[3] , \tile_x3y11_ee4beg[2] , \tile_x3y11_ee4beg[1] , \tile_x3y11_ee4beg[0] }),
.top_framedata({ \tile_x3y11_framedata_o[31] , \tile_x3y11_framedata_o[30] , \tile_x3y11_framedata_o[29] , \tile_x3y11_framedata_o[28] , \tile_x3y11_framedata_o[27] , \tile_x3y11_framedata_o[26] , \tile_x3y11_framedata_o[25] , \tile_x3y11_framedata_o[24] , \tile_x3y11_framedata_o[23] , \tile_x3y11_framedata_o[22] , \tile_x3y11_framedata_o[21] , \tile_x3y11_framedata_o[20] , \tile_x3y11_framedata_o[19] , \tile_x3y11_framedata_o[18] , \tile_x3y11_framedata_o[17] , \tile_x3y11_framedata_o[16] , \tile_x3y11_framedata_o[15] , \tile_x3y11_framedata_o[14] , \tile_x3y11_framedata_o[13] , \tile_x3y11_framedata_o[12] , \tile_x3y11_framedata_o[11] , \tile_x3y11_framedata_o[10] , \tile_x3y11_framedata_o[9] , \tile_x3y11_framedata_o[8] , \tile_x3y11_framedata_o[7] , \tile_x3y11_framedata_o[6] , \tile_x3y11_framedata_o[5] , \tile_x3y11_framedata_o[4] , \tile_x3y11_framedata_o[3] , \tile_x3y11_framedata_o[2] , \tile_x3y11_framedata_o[1] , \tile_x3y11_framedata_o[0] }),
.top_framedata_o({ \tile_x4y11_framedata_o[31] , \tile_x4y11_framedata_o[30] , \tile_x4y11_framedata_o[29] , \tile_x4y11_framedata_o[28] , \tile_x4y11_framedata_o[27] , \tile_x4y11_framedata_o[26] , \tile_x4y11_framedata_o[25] , \tile_x4y11_framedata_o[24] , \tile_x4y11_framedata_o[23] , \tile_x4y11_framedata_o[22] , \tile_x4y11_framedata_o[21] , \tile_x4y11_framedata_o[20] , \tile_x4y11_framedata_o[19] , \tile_x4y11_framedata_o[18] , \tile_x4y11_framedata_o[17] , \tile_x4y11_framedata_o[16] , \tile_x4y11_framedata_o[15] , \tile_x4y11_framedata_o[14] , \tile_x4y11_framedata_o[13] , \tile_x4y11_framedata_o[12] , \tile_x4y11_framedata_o[11] , \tile_x4y11_framedata_o[10] , \tile_x4y11_framedata_o[9] , \tile_x4y11_framedata_o[8] , \tile_x4y11_framedata_o[7] , \tile_x4y11_framedata_o[6] , \tile_x4y11_framedata_o[5] , \tile_x4y11_framedata_o[4] , \tile_x4y11_framedata_o[3] , \tile_x4y11_framedata_o[2] , \tile_x4y11_framedata_o[1] , \tile_x4y11_framedata_o[0] }),
.top_n1beg({ \tile_x4y11_n1beg[3] , \tile_x4y11_n1beg[2] , \tile_x4y11_n1beg[1] , \tile_x4y11_n1beg[0] }),
.top_n2beg({ \tile_x4y11_n2beg[7] , \tile_x4y11_n2beg[6] , \tile_x4y11_n2beg[5] , \tile_x4y11_n2beg[4] , \tile_x4y11_n2beg[3] , \tile_x4y11_n2beg[2] , \tile_x4y11_n2beg[1] , \tile_x4y11_n2beg[0] }),
.top_n2begb({ \tile_x4y11_n2begb[7] , \tile_x4y11_n2begb[6] , \tile_x4y11_n2begb[5] , \tile_x4y11_n2begb[4] , \tile_x4y11_n2begb[3] , \tile_x4y11_n2begb[2] , \tile_x4y11_n2begb[1] , \tile_x4y11_n2begb[0] }),
.top_n4beg({ \tile_x4y11_n4beg[15] , \tile_x4y11_n4beg[14] , \tile_x4y11_n4beg[13] , \tile_x4y11_n4beg[12] , \tile_x4y11_n4beg[11] , \tile_x4y11_n4beg[10] , \tile_x4y11_n4beg[9] , \tile_x4y11_n4beg[8] , \tile_x4y11_n4beg[7] , \tile_x4y11_n4beg[6] , \tile_x4y11_n4beg[5] , \tile_x4y11_n4beg[4] , \tile_x4y11_n4beg[3] , \tile_x4y11_n4beg[2] , \tile_x4y11_n4beg[1] , \tile_x4y11_n4beg[0] }),
.top_nn4beg({ \tile_x4y11_nn4beg[15] , \tile_x4y11_nn4beg[14] , \tile_x4y11_nn4beg[13] , \tile_x4y11_nn4beg[12] , \tile_x4y11_nn4beg[11] , \tile_x4y11_nn4beg[10] , \tile_x4y11_nn4beg[9] , \tile_x4y11_nn4beg[8] , \tile_x4y11_nn4beg[7] , \tile_x4y11_nn4beg[6] , \tile_x4y11_nn4beg[5] , \tile_x4y11_nn4beg[4] , \tile_x4y11_nn4beg[3] , \tile_x4y11_nn4beg[2] , \tile_x4y11_nn4beg[1] , \tile_x4y11_nn4beg[0] }),
.top_s1end({ \tile_x4y10_s1beg[3] , \tile_x4y10_s1beg[2] , \tile_x4y10_s1beg[1] , \tile_x4y10_s1beg[0] }),
.top_s2end({ \tile_x4y10_s2begb[7] , \tile_x4y10_s2begb[6] , \tile_x4y10_s2begb[5] , \tile_x4y10_s2begb[4] , \tile_x4y10_s2begb[3] , \tile_x4y10_s2begb[2] , \tile_x4y10_s2begb[1] , \tile_x4y10_s2begb[0] }),
.top_s2mid({ \tile_x4y10_s2beg[7] , \tile_x4y10_s2beg[6] , \tile_x4y10_s2beg[5] , \tile_x4y10_s2beg[4] , \tile_x4y10_s2beg[3] , \tile_x4y10_s2beg[2] , \tile_x4y10_s2beg[1] , \tile_x4y10_s2beg[0] }),
.top_s4end({ \tile_x4y10_s4beg[15] , \tile_x4y10_s4beg[14] , \tile_x4y10_s4beg[13] , \tile_x4y10_s4beg[12] , \tile_x4y10_s4beg[11] , \tile_x4y10_s4beg[10] , \tile_x4y10_s4beg[9] , \tile_x4y10_s4beg[8] , \tile_x4y10_s4beg[7] , \tile_x4y10_s4beg[6] , \tile_x4y10_s4beg[5] , \tile_x4y10_s4beg[4] , \tile_x4y10_s4beg[3] , \tile_x4y10_s4beg[2] , \tile_x4y10_s4beg[1] , \tile_x4y10_s4beg[0] }),
.top_ss4end({ \tile_x4y10_ss4beg[15] , \tile_x4y10_ss4beg[14] , \tile_x4y10_ss4beg[13] , \tile_x4y10_ss4beg[12] , \tile_x4y10_ss4beg[11] , \tile_x4y10_ss4beg[10] , \tile_x4y10_ss4beg[9] , \tile_x4y10_ss4beg[8] , \tile_x4y10_ss4beg[7] , \tile_x4y10_ss4beg[6] , \tile_x4y10_ss4beg[5] , \tile_x4y10_ss4beg[4] , \tile_x4y10_ss4beg[3] , \tile_x4y10_ss4beg[2] , \tile_x4y10_ss4beg[1] , \tile_x4y10_ss4beg[0] }),
.top_w1beg({ \tile_x4y11_w1beg[3] , \tile_x4y11_w1beg[2] , \tile_x4y11_w1beg[1] , \tile_x4y11_w1beg[0] }),
.top_w1end({ \tile_x5y11_w1beg[3] , \tile_x5y11_w1beg[2] , \tile_x5y11_w1beg[1] , \tile_x5y11_w1beg[0] }),
.top_w2beg({ \tile_x4y11_w2beg[7] , \tile_x4y11_w2beg[6] , \tile_x4y11_w2beg[5] , \tile_x4y11_w2beg[4] , \tile_x4y11_w2beg[3] , \tile_x4y11_w2beg[2] , \tile_x4y11_w2beg[1] , \tile_x4y11_w2beg[0] }),
.top_w2begb({ \tile_x4y11_w2begb[7] , \tile_x4y11_w2begb[6] , \tile_x4y11_w2begb[5] , \tile_x4y11_w2begb[4] , \tile_x4y11_w2begb[3] , \tile_x4y11_w2begb[2] , \tile_x4y11_w2begb[1] , \tile_x4y11_w2begb[0] }),
.top_w2end({ \tile_x5y11_w2begb[7] , \tile_x5y11_w2begb[6] , \tile_x5y11_w2begb[5] , \tile_x5y11_w2begb[4] , \tile_x5y11_w2begb[3] , \tile_x5y11_w2begb[2] , \tile_x5y11_w2begb[1] , \tile_x5y11_w2begb[0] }),
.top_w2mid({ \tile_x5y11_w2beg[7] , \tile_x5y11_w2beg[6] , \tile_x5y11_w2beg[5] , \tile_x5y11_w2beg[4] , \tile_x5y11_w2beg[3] , \tile_x5y11_w2beg[2] , \tile_x5y11_w2beg[1] , \tile_x5y11_w2beg[0] }),
.top_w6beg({ \tile_x4y11_w6beg[11] , \tile_x4y11_w6beg[10] , \tile_x4y11_w6beg[9] , \tile_x4y11_w6beg[8] , \tile_x4y11_w6beg[7] , \tile_x4y11_w6beg[6] , \tile_x4y11_w6beg[5] , \tile_x4y11_w6beg[4] , \tile_x4y11_w6beg[3] , \tile_x4y11_w6beg[2] , \tile_x4y11_w6beg[1] , \tile_x4y11_w6beg[0] }),
.top_w6end({ \tile_x5y11_w6beg[11] , \tile_x5y11_w6beg[10] , \tile_x5y11_w6beg[9] , \tile_x5y11_w6beg[8] , \tile_x5y11_w6beg[7] , \tile_x5y11_w6beg[6] , \tile_x5y11_w6beg[5] , \tile_x5y11_w6beg[4] , \tile_x5y11_w6beg[3] , \tile_x5y11_w6beg[2] , \tile_x5y11_w6beg[1] , \tile_x5y11_w6beg[0] }),
.top_ww4beg({ \tile_x4y11_ww4beg[15] , \tile_x4y11_ww4beg[14] , \tile_x4y11_ww4beg[13] , \tile_x4y11_ww4beg[12] , \tile_x4y11_ww4beg[11] , \tile_x4y11_ww4beg[10] , \tile_x4y11_ww4beg[9] , \tile_x4y11_ww4beg[8] , \tile_x4y11_ww4beg[7] , \tile_x4y11_ww4beg[6] , \tile_x4y11_ww4beg[5] , \tile_x4y11_ww4beg[4] , \tile_x4y11_ww4beg[3] , \tile_x4y11_ww4beg[2] , \tile_x4y11_ww4beg[1] , \tile_x4y11_ww4beg[0] }),
.top_ww4end({ \tile_x5y11_ww4beg[15] , \tile_x5y11_ww4beg[14] , \tile_x5y11_ww4beg[13] , \tile_x5y11_ww4beg[12] , \tile_x5y11_ww4beg[11] , \tile_x5y11_ww4beg[10] , \tile_x5y11_ww4beg[9] , \tile_x5y11_ww4beg[8] , \tile_x5y11_ww4beg[7] , \tile_x5y11_ww4beg[6] , \tile_x5y11_ww4beg[5] , \tile_x5y11_ww4beg[4] , \tile_x5y11_ww4beg[3] , \tile_x5y11_ww4beg[2] , \tile_x5y11_ww4beg[1] , \tile_x5y11_ww4beg[0] })
);
dsp tile_x4y13_x4y14_dsp_tile (
.framestrobe({ \tile_x4y15_framestrobe_o[19] , \tile_x4y15_framestrobe_o[18] , \tile_x4y15_framestrobe_o[17] , \tile_x4y15_framestrobe_o[16] , \tile_x4y15_framestrobe_o[15] , \tile_x4y15_framestrobe_o[14] , \tile_x4y15_framestrobe_o[13] , \tile_x4y15_framestrobe_o[12] , \tile_x4y15_framestrobe_o[11] , \tile_x4y15_framestrobe_o[10] , \tile_x4y15_framestrobe_o[9] , \tile_x4y15_framestrobe_o[8] , \tile_x4y15_framestrobe_o[7] , \tile_x4y15_framestrobe_o[6] , \tile_x4y15_framestrobe_o[5] , \tile_x4y15_framestrobe_o[4] , \tile_x4y15_framestrobe_o[3] , \tile_x4y15_framestrobe_o[2] , \tile_x4y15_framestrobe_o[1] , \tile_x4y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x4y13_framestrobe_o[19] , \tile_x4y13_framestrobe_o[18] , \tile_x4y13_framestrobe_o[17] , \tile_x4y13_framestrobe_o[16] , \tile_x4y13_framestrobe_o[15] , \tile_x4y13_framestrobe_o[14] , \tile_x4y13_framestrobe_o[13] , \tile_x4y13_framestrobe_o[12] , \tile_x4y13_framestrobe_o[11] , \tile_x4y13_framestrobe_o[10] , \tile_x4y13_framestrobe_o[9] , \tile_x4y13_framestrobe_o[8] , \tile_x4y13_framestrobe_o[7] , \tile_x4y13_framestrobe_o[6] , \tile_x4y13_framestrobe_o[5] , \tile_x4y13_framestrobe_o[4] , \tile_x4y13_framestrobe_o[3] , \tile_x4y13_framestrobe_o[2] , \tile_x4y13_framestrobe_o[1] , \tile_x4y13_framestrobe_o[0] }),
.userclk(tile_x4y15_userclko),
.userclko(tile_x4y13_userclko),
.bot_e1beg({ \tile_x4y14_e1beg[3] , \tile_x4y14_e1beg[2] , \tile_x4y14_e1beg[1] , \tile_x4y14_e1beg[0] }),
.bot_e1end({ \tile_x3y14_e1beg[3] , \tile_x3y14_e1beg[2] , \tile_x3y14_e1beg[1] , \tile_x3y14_e1beg[0] }),
.bot_e2beg({ \tile_x4y14_e2beg[7] , \tile_x4y14_e2beg[6] , \tile_x4y14_e2beg[5] , \tile_x4y14_e2beg[4] , \tile_x4y14_e2beg[3] , \tile_x4y14_e2beg[2] , \tile_x4y14_e2beg[1] , \tile_x4y14_e2beg[0] }),
.bot_e2begb({ \tile_x4y14_e2begb[7] , \tile_x4y14_e2begb[6] , \tile_x4y14_e2begb[5] , \tile_x4y14_e2begb[4] , \tile_x4y14_e2begb[3] , \tile_x4y14_e2begb[2] , \tile_x4y14_e2begb[1] , \tile_x4y14_e2begb[0] }),
.bot_e2end({ \tile_x3y14_e2begb[7] , \tile_x3y14_e2begb[6] , \tile_x3y14_e2begb[5] , \tile_x3y14_e2begb[4] , \tile_x3y14_e2begb[3] , \tile_x3y14_e2begb[2] , \tile_x3y14_e2begb[1] , \tile_x3y14_e2begb[0] }),
.bot_e2mid({ \tile_x3y14_e2beg[7] , \tile_x3y14_e2beg[6] , \tile_x3y14_e2beg[5] , \tile_x3y14_e2beg[4] , \tile_x3y14_e2beg[3] , \tile_x3y14_e2beg[2] , \tile_x3y14_e2beg[1] , \tile_x3y14_e2beg[0] }),
.bot_e6beg({ \tile_x4y14_e6beg[11] , \tile_x4y14_e6beg[10] , \tile_x4y14_e6beg[9] , \tile_x4y14_e6beg[8] , \tile_x4y14_e6beg[7] , \tile_x4y14_e6beg[6] , \tile_x4y14_e6beg[5] , \tile_x4y14_e6beg[4] , \tile_x4y14_e6beg[3] , \tile_x4y14_e6beg[2] , \tile_x4y14_e6beg[1] , \tile_x4y14_e6beg[0] }),
.bot_e6end({ \tile_x3y14_e6beg[11] , \tile_x3y14_e6beg[10] , \tile_x3y14_e6beg[9] , \tile_x3y14_e6beg[8] , \tile_x3y14_e6beg[7] , \tile_x3y14_e6beg[6] , \tile_x3y14_e6beg[5] , \tile_x3y14_e6beg[4] , \tile_x3y14_e6beg[3] , \tile_x3y14_e6beg[2] , \tile_x3y14_e6beg[1] , \tile_x3y14_e6beg[0] }),
.bot_ee4beg({ \tile_x4y14_ee4beg[15] , \tile_x4y14_ee4beg[14] , \tile_x4y14_ee4beg[13] , \tile_x4y14_ee4beg[12] , \tile_x4y14_ee4beg[11] , \tile_x4y14_ee4beg[10] , \tile_x4y14_ee4beg[9] , \tile_x4y14_ee4beg[8] , \tile_x4y14_ee4beg[7] , \tile_x4y14_ee4beg[6] , \tile_x4y14_ee4beg[5] , \tile_x4y14_ee4beg[4] , \tile_x4y14_ee4beg[3] , \tile_x4y14_ee4beg[2] , \tile_x4y14_ee4beg[1] , \tile_x4y14_ee4beg[0] }),
.bot_ee4end({ \tile_x3y14_ee4beg[15] , \tile_x3y14_ee4beg[14] , \tile_x3y14_ee4beg[13] , \tile_x3y14_ee4beg[12] , \tile_x3y14_ee4beg[11] , \tile_x3y14_ee4beg[10] , \tile_x3y14_ee4beg[9] , \tile_x3y14_ee4beg[8] , \tile_x3y14_ee4beg[7] , \tile_x3y14_ee4beg[6] , \tile_x3y14_ee4beg[5] , \tile_x3y14_ee4beg[4] , \tile_x3y14_ee4beg[3] , \tile_x3y14_ee4beg[2] , \tile_x3y14_ee4beg[1] , \tile_x3y14_ee4beg[0] }),
.bot_framedata({ \tile_x3y14_framedata_o[31] , \tile_x3y14_framedata_o[30] , \tile_x3y14_framedata_o[29] , \tile_x3y14_framedata_o[28] , \tile_x3y14_framedata_o[27] , \tile_x3y14_framedata_o[26] , \tile_x3y14_framedata_o[25] , \tile_x3y14_framedata_o[24] , \tile_x3y14_framedata_o[23] , \tile_x3y14_framedata_o[22] , \tile_x3y14_framedata_o[21] , \tile_x3y14_framedata_o[20] , \tile_x3y14_framedata_o[19] , \tile_x3y14_framedata_o[18] , \tile_x3y14_framedata_o[17] , \tile_x3y14_framedata_o[16] , \tile_x3y14_framedata_o[15] , \tile_x3y14_framedata_o[14] , \tile_x3y14_framedata_o[13] , \tile_x3y14_framedata_o[12] , \tile_x3y14_framedata_o[11] , \tile_x3y14_framedata_o[10] , \tile_x3y14_framedata_o[9] , \tile_x3y14_framedata_o[8] , \tile_x3y14_framedata_o[7] , \tile_x3y14_framedata_o[6] , \tile_x3y14_framedata_o[5] , \tile_x3y14_framedata_o[4] , \tile_x3y14_framedata_o[3] , \tile_x3y14_framedata_o[2] , \tile_x3y14_framedata_o[1] , \tile_x3y14_framedata_o[0] }),
.bot_framedata_o({ \tile_x4y14_framedata_o[31] , \tile_x4y14_framedata_o[30] , \tile_x4y14_framedata_o[29] , \tile_x4y14_framedata_o[28] , \tile_x4y14_framedata_o[27] , \tile_x4y14_framedata_o[26] , \tile_x4y14_framedata_o[25] , \tile_x4y14_framedata_o[24] , \tile_x4y14_framedata_o[23] , \tile_x4y14_framedata_o[22] , \tile_x4y14_framedata_o[21] , \tile_x4y14_framedata_o[20] , \tile_x4y14_framedata_o[19] , \tile_x4y14_framedata_o[18] , \tile_x4y14_framedata_o[17] , \tile_x4y14_framedata_o[16] , \tile_x4y14_framedata_o[15] , \tile_x4y14_framedata_o[14] , \tile_x4y14_framedata_o[13] , \tile_x4y14_framedata_o[12] , \tile_x4y14_framedata_o[11] , \tile_x4y14_framedata_o[10] , \tile_x4y14_framedata_o[9] , \tile_x4y14_framedata_o[8] , \tile_x4y14_framedata_o[7] , \tile_x4y14_framedata_o[6] , \tile_x4y14_framedata_o[5] , \tile_x4y14_framedata_o[4] , \tile_x4y14_framedata_o[3] , \tile_x4y14_framedata_o[2] , \tile_x4y14_framedata_o[1] , \tile_x4y14_framedata_o[0] }),
.bot_n1end({ \tile_x4y15_n1beg[3] , \tile_x4y15_n1beg[2] , \tile_x4y15_n1beg[1] , \tile_x4y15_n1beg[0] }),
.bot_n2end({ \tile_x4y15_n2begb[7] , \tile_x4y15_n2begb[6] , \tile_x4y15_n2begb[5] , \tile_x4y15_n2begb[4] , \tile_x4y15_n2begb[3] , \tile_x4y15_n2begb[2] , \tile_x4y15_n2begb[1] , \tile_x4y15_n2begb[0] }),
.bot_n2mid({ \tile_x4y15_n2beg[7] , \tile_x4y15_n2beg[6] , \tile_x4y15_n2beg[5] , \tile_x4y15_n2beg[4] , \tile_x4y15_n2beg[3] , \tile_x4y15_n2beg[2] , \tile_x4y15_n2beg[1] , \tile_x4y15_n2beg[0] }),
.bot_n4end({ \tile_x4y15_n4beg[15] , \tile_x4y15_n4beg[14] , \tile_x4y15_n4beg[13] , \tile_x4y15_n4beg[12] , \tile_x4y15_n4beg[11] , \tile_x4y15_n4beg[10] , \tile_x4y15_n4beg[9] , \tile_x4y15_n4beg[8] , \tile_x4y15_n4beg[7] , \tile_x4y15_n4beg[6] , \tile_x4y15_n4beg[5] , \tile_x4y15_n4beg[4] , \tile_x4y15_n4beg[3] , \tile_x4y15_n4beg[2] , \tile_x4y15_n4beg[1] , \tile_x4y15_n4beg[0] }),
.bot_nn4end({ \tile_x4y15_nn4beg[15] , \tile_x4y15_nn4beg[14] , \tile_x4y15_nn4beg[13] , \tile_x4y15_nn4beg[12] , \tile_x4y15_nn4beg[11] , \tile_x4y15_nn4beg[10] , \tile_x4y15_nn4beg[9] , \tile_x4y15_nn4beg[8] , \tile_x4y15_nn4beg[7] , \tile_x4y15_nn4beg[6] , \tile_x4y15_nn4beg[5] , \tile_x4y15_nn4beg[4] , \tile_x4y15_nn4beg[3] , \tile_x4y15_nn4beg[2] , \tile_x4y15_nn4beg[1] , \tile_x4y15_nn4beg[0] }),
.bot_s1beg({ \tile_x4y14_s1beg[3] , \tile_x4y14_s1beg[2] , \tile_x4y14_s1beg[1] , \tile_x4y14_s1beg[0] }),
.bot_s2beg({ \tile_x4y14_s2beg[7] , \tile_x4y14_s2beg[6] , \tile_x4y14_s2beg[5] , \tile_x4y14_s2beg[4] , \tile_x4y14_s2beg[3] , \tile_x4y14_s2beg[2] , \tile_x4y14_s2beg[1] , \tile_x4y14_s2beg[0] }),
.bot_s2begb({ \tile_x4y14_s2begb[7] , \tile_x4y14_s2begb[6] , \tile_x4y14_s2begb[5] , \tile_x4y14_s2begb[4] , \tile_x4y14_s2begb[3] , \tile_x4y14_s2begb[2] , \tile_x4y14_s2begb[1] , \tile_x4y14_s2begb[0] }),
.bot_s4beg({ \tile_x4y14_s4beg[15] , \tile_x4y14_s4beg[14] , \tile_x4y14_s4beg[13] , \tile_x4y14_s4beg[12] , \tile_x4y14_s4beg[11] , \tile_x4y14_s4beg[10] , \tile_x4y14_s4beg[9] , \tile_x4y14_s4beg[8] , \tile_x4y14_s4beg[7] , \tile_x4y14_s4beg[6] , \tile_x4y14_s4beg[5] , \tile_x4y14_s4beg[4] , \tile_x4y14_s4beg[3] , \tile_x4y14_s4beg[2] , \tile_x4y14_s4beg[1] , \tile_x4y14_s4beg[0] }),
.bot_ss4beg({ \tile_x4y14_ss4beg[15] , \tile_x4y14_ss4beg[14] , \tile_x4y14_ss4beg[13] , \tile_x4y14_ss4beg[12] , \tile_x4y14_ss4beg[11] , \tile_x4y14_ss4beg[10] , \tile_x4y14_ss4beg[9] , \tile_x4y14_ss4beg[8] , \tile_x4y14_ss4beg[7] , \tile_x4y14_ss4beg[6] , \tile_x4y14_ss4beg[5] , \tile_x4y14_ss4beg[4] , \tile_x4y14_ss4beg[3] , \tile_x4y14_ss4beg[2] , \tile_x4y14_ss4beg[1] , \tile_x4y14_ss4beg[0] }),
.bot_w1beg({ \tile_x4y14_w1beg[3] , \tile_x4y14_w1beg[2] , \tile_x4y14_w1beg[1] , \tile_x4y14_w1beg[0] }),
.bot_w1end({ \tile_x5y14_w1beg[3] , \tile_x5y14_w1beg[2] , \tile_x5y14_w1beg[1] , \tile_x5y14_w1beg[0] }),
.bot_w2beg({ \tile_x4y14_w2beg[7] , \tile_x4y14_w2beg[6] , \tile_x4y14_w2beg[5] , \tile_x4y14_w2beg[4] , \tile_x4y14_w2beg[3] , \tile_x4y14_w2beg[2] , \tile_x4y14_w2beg[1] , \tile_x4y14_w2beg[0] }),
.bot_w2begb({ \tile_x4y14_w2begb[7] , \tile_x4y14_w2begb[6] , \tile_x4y14_w2begb[5] , \tile_x4y14_w2begb[4] , \tile_x4y14_w2begb[3] , \tile_x4y14_w2begb[2] , \tile_x4y14_w2begb[1] , \tile_x4y14_w2begb[0] }),
.bot_w2end({ \tile_x5y14_w2begb[7] , \tile_x5y14_w2begb[6] , \tile_x5y14_w2begb[5] , \tile_x5y14_w2begb[4] , \tile_x5y14_w2begb[3] , \tile_x5y14_w2begb[2] , \tile_x5y14_w2begb[1] , \tile_x5y14_w2begb[0] }),
.bot_w2mid({ \tile_x5y14_w2beg[7] , \tile_x5y14_w2beg[6] , \tile_x5y14_w2beg[5] , \tile_x5y14_w2beg[4] , \tile_x5y14_w2beg[3] , \tile_x5y14_w2beg[2] , \tile_x5y14_w2beg[1] , \tile_x5y14_w2beg[0] }),
.bot_w6beg({ \tile_x4y14_w6beg[11] , \tile_x4y14_w6beg[10] , \tile_x4y14_w6beg[9] , \tile_x4y14_w6beg[8] , \tile_x4y14_w6beg[7] , \tile_x4y14_w6beg[6] , \tile_x4y14_w6beg[5] , \tile_x4y14_w6beg[4] , \tile_x4y14_w6beg[3] , \tile_x4y14_w6beg[2] , \tile_x4y14_w6beg[1] , \tile_x4y14_w6beg[0] }),
.bot_w6end({ \tile_x5y14_w6beg[11] , \tile_x5y14_w6beg[10] , \tile_x5y14_w6beg[9] , \tile_x5y14_w6beg[8] , \tile_x5y14_w6beg[7] , \tile_x5y14_w6beg[6] , \tile_x5y14_w6beg[5] , \tile_x5y14_w6beg[4] , \tile_x5y14_w6beg[3] , \tile_x5y14_w6beg[2] , \tile_x5y14_w6beg[1] , \tile_x5y14_w6beg[0] }),
.bot_ww4beg({ \tile_x4y14_ww4beg[15] , \tile_x4y14_ww4beg[14] , \tile_x4y14_ww4beg[13] , \tile_x4y14_ww4beg[12] , \tile_x4y14_ww4beg[11] , \tile_x4y14_ww4beg[10] , \tile_x4y14_ww4beg[9] , \tile_x4y14_ww4beg[8] , \tile_x4y14_ww4beg[7] , \tile_x4y14_ww4beg[6] , \tile_x4y14_ww4beg[5] , \tile_x4y14_ww4beg[4] , \tile_x4y14_ww4beg[3] , \tile_x4y14_ww4beg[2] , \tile_x4y14_ww4beg[1] , \tile_x4y14_ww4beg[0] }),
.bot_ww4end({ \tile_x5y14_ww4beg[15] , \tile_x5y14_ww4beg[14] , \tile_x5y14_ww4beg[13] , \tile_x5y14_ww4beg[12] , \tile_x5y14_ww4beg[11] , \tile_x5y14_ww4beg[10] , \tile_x5y14_ww4beg[9] , \tile_x5y14_ww4beg[8] , \tile_x5y14_ww4beg[7] , \tile_x5y14_ww4beg[6] , \tile_x5y14_ww4beg[5] , \tile_x5y14_ww4beg[4] , \tile_x5y14_ww4beg[3] , \tile_x5y14_ww4beg[2] , \tile_x5y14_ww4beg[1] , \tile_x5y14_ww4beg[0] }),
.top_e1beg({ \tile_x4y13_e1beg[3] , \tile_x4y13_e1beg[2] , \tile_x4y13_e1beg[1] , \tile_x4y13_e1beg[0] }),
.top_e1end({ \tile_x3y13_e1beg[3] , \tile_x3y13_e1beg[2] , \tile_x3y13_e1beg[1] , \tile_x3y13_e1beg[0] }),
.top_e2beg({ \tile_x4y13_e2beg[7] , \tile_x4y13_e2beg[6] , \tile_x4y13_e2beg[5] , \tile_x4y13_e2beg[4] , \tile_x4y13_e2beg[3] , \tile_x4y13_e2beg[2] , \tile_x4y13_e2beg[1] , \tile_x4y13_e2beg[0] }),
.top_e2begb({ \tile_x4y13_e2begb[7] , \tile_x4y13_e2begb[6] , \tile_x4y13_e2begb[5] , \tile_x4y13_e2begb[4] , \tile_x4y13_e2begb[3] , \tile_x4y13_e2begb[2] , \tile_x4y13_e2begb[1] , \tile_x4y13_e2begb[0] }),
.top_e2end({ \tile_x3y13_e2begb[7] , \tile_x3y13_e2begb[6] , \tile_x3y13_e2begb[5] , \tile_x3y13_e2begb[4] , \tile_x3y13_e2begb[3] , \tile_x3y13_e2begb[2] , \tile_x3y13_e2begb[1] , \tile_x3y13_e2begb[0] }),
.top_e2mid({ \tile_x3y13_e2beg[7] , \tile_x3y13_e2beg[6] , \tile_x3y13_e2beg[5] , \tile_x3y13_e2beg[4] , \tile_x3y13_e2beg[3] , \tile_x3y13_e2beg[2] , \tile_x3y13_e2beg[1] , \tile_x3y13_e2beg[0] }),
.top_e6beg({ \tile_x4y13_e6beg[11] , \tile_x4y13_e6beg[10] , \tile_x4y13_e6beg[9] , \tile_x4y13_e6beg[8] , \tile_x4y13_e6beg[7] , \tile_x4y13_e6beg[6] , \tile_x4y13_e6beg[5] , \tile_x4y13_e6beg[4] , \tile_x4y13_e6beg[3] , \tile_x4y13_e6beg[2] , \tile_x4y13_e6beg[1] , \tile_x4y13_e6beg[0] }),
.top_e6end({ \tile_x3y13_e6beg[11] , \tile_x3y13_e6beg[10] , \tile_x3y13_e6beg[9] , \tile_x3y13_e6beg[8] , \tile_x3y13_e6beg[7] , \tile_x3y13_e6beg[6] , \tile_x3y13_e6beg[5] , \tile_x3y13_e6beg[4] , \tile_x3y13_e6beg[3] , \tile_x3y13_e6beg[2] , \tile_x3y13_e6beg[1] , \tile_x3y13_e6beg[0] }),
.top_ee4beg({ \tile_x4y13_ee4beg[15] , \tile_x4y13_ee4beg[14] , \tile_x4y13_ee4beg[13] , \tile_x4y13_ee4beg[12] , \tile_x4y13_ee4beg[11] , \tile_x4y13_ee4beg[10] , \tile_x4y13_ee4beg[9] , \tile_x4y13_ee4beg[8] , \tile_x4y13_ee4beg[7] , \tile_x4y13_ee4beg[6] , \tile_x4y13_ee4beg[5] , \tile_x4y13_ee4beg[4] , \tile_x4y13_ee4beg[3] , \tile_x4y13_ee4beg[2] , \tile_x4y13_ee4beg[1] , \tile_x4y13_ee4beg[0] }),
.top_ee4end({ \tile_x3y13_ee4beg[15] , \tile_x3y13_ee4beg[14] , \tile_x3y13_ee4beg[13] , \tile_x3y13_ee4beg[12] , \tile_x3y13_ee4beg[11] , \tile_x3y13_ee4beg[10] , \tile_x3y13_ee4beg[9] , \tile_x3y13_ee4beg[8] , \tile_x3y13_ee4beg[7] , \tile_x3y13_ee4beg[6] , \tile_x3y13_ee4beg[5] , \tile_x3y13_ee4beg[4] , \tile_x3y13_ee4beg[3] , \tile_x3y13_ee4beg[2] , \tile_x3y13_ee4beg[1] , \tile_x3y13_ee4beg[0] }),
.top_framedata({ \tile_x3y13_framedata_o[31] , \tile_x3y13_framedata_o[30] , \tile_x3y13_framedata_o[29] , \tile_x3y13_framedata_o[28] , \tile_x3y13_framedata_o[27] , \tile_x3y13_framedata_o[26] , \tile_x3y13_framedata_o[25] , \tile_x3y13_framedata_o[24] , \tile_x3y13_framedata_o[23] , \tile_x3y13_framedata_o[22] , \tile_x3y13_framedata_o[21] , \tile_x3y13_framedata_o[20] , \tile_x3y13_framedata_o[19] , \tile_x3y13_framedata_o[18] , \tile_x3y13_framedata_o[17] , \tile_x3y13_framedata_o[16] , \tile_x3y13_framedata_o[15] , \tile_x3y13_framedata_o[14] , \tile_x3y13_framedata_o[13] , \tile_x3y13_framedata_o[12] , \tile_x3y13_framedata_o[11] , \tile_x3y13_framedata_o[10] , \tile_x3y13_framedata_o[9] , \tile_x3y13_framedata_o[8] , \tile_x3y13_framedata_o[7] , \tile_x3y13_framedata_o[6] , \tile_x3y13_framedata_o[5] , \tile_x3y13_framedata_o[4] , \tile_x3y13_framedata_o[3] , \tile_x3y13_framedata_o[2] , \tile_x3y13_framedata_o[1] , \tile_x3y13_framedata_o[0] }),
.top_framedata_o({ \tile_x4y13_framedata_o[31] , \tile_x4y13_framedata_o[30] , \tile_x4y13_framedata_o[29] , \tile_x4y13_framedata_o[28] , \tile_x4y13_framedata_o[27] , \tile_x4y13_framedata_o[26] , \tile_x4y13_framedata_o[25] , \tile_x4y13_framedata_o[24] , \tile_x4y13_framedata_o[23] , \tile_x4y13_framedata_o[22] , \tile_x4y13_framedata_o[21] , \tile_x4y13_framedata_o[20] , \tile_x4y13_framedata_o[19] , \tile_x4y13_framedata_o[18] , \tile_x4y13_framedata_o[17] , \tile_x4y13_framedata_o[16] , \tile_x4y13_framedata_o[15] , \tile_x4y13_framedata_o[14] , \tile_x4y13_framedata_o[13] , \tile_x4y13_framedata_o[12] , \tile_x4y13_framedata_o[11] , \tile_x4y13_framedata_o[10] , \tile_x4y13_framedata_o[9] , \tile_x4y13_framedata_o[8] , \tile_x4y13_framedata_o[7] , \tile_x4y13_framedata_o[6] , \tile_x4y13_framedata_o[5] , \tile_x4y13_framedata_o[4] , \tile_x4y13_framedata_o[3] , \tile_x4y13_framedata_o[2] , \tile_x4y13_framedata_o[1] , \tile_x4y13_framedata_o[0] }),
.top_n1beg({ \tile_x4y13_n1beg[3] , \tile_x4y13_n1beg[2] , \tile_x4y13_n1beg[1] , \tile_x4y13_n1beg[0] }),
.top_n2beg({ \tile_x4y13_n2beg[7] , \tile_x4y13_n2beg[6] , \tile_x4y13_n2beg[5] , \tile_x4y13_n2beg[4] , \tile_x4y13_n2beg[3] , \tile_x4y13_n2beg[2] , \tile_x4y13_n2beg[1] , \tile_x4y13_n2beg[0] }),
.top_n2begb({ \tile_x4y13_n2begb[7] , \tile_x4y13_n2begb[6] , \tile_x4y13_n2begb[5] , \tile_x4y13_n2begb[4] , \tile_x4y13_n2begb[3] , \tile_x4y13_n2begb[2] , \tile_x4y13_n2begb[1] , \tile_x4y13_n2begb[0] }),
.top_n4beg({ \tile_x4y13_n4beg[15] , \tile_x4y13_n4beg[14] , \tile_x4y13_n4beg[13] , \tile_x4y13_n4beg[12] , \tile_x4y13_n4beg[11] , \tile_x4y13_n4beg[10] , \tile_x4y13_n4beg[9] , \tile_x4y13_n4beg[8] , \tile_x4y13_n4beg[7] , \tile_x4y13_n4beg[6] , \tile_x4y13_n4beg[5] , \tile_x4y13_n4beg[4] , \tile_x4y13_n4beg[3] , \tile_x4y13_n4beg[2] , \tile_x4y13_n4beg[1] , \tile_x4y13_n4beg[0] }),
.top_nn4beg({ \tile_x4y13_nn4beg[15] , \tile_x4y13_nn4beg[14] , \tile_x4y13_nn4beg[13] , \tile_x4y13_nn4beg[12] , \tile_x4y13_nn4beg[11] , \tile_x4y13_nn4beg[10] , \tile_x4y13_nn4beg[9] , \tile_x4y13_nn4beg[8] , \tile_x4y13_nn4beg[7] , \tile_x4y13_nn4beg[6] , \tile_x4y13_nn4beg[5] , \tile_x4y13_nn4beg[4] , \tile_x4y13_nn4beg[3] , \tile_x4y13_nn4beg[2] , \tile_x4y13_nn4beg[1] , \tile_x4y13_nn4beg[0] }),
.top_s1end({ \tile_x4y12_s1beg[3] , \tile_x4y12_s1beg[2] , \tile_x4y12_s1beg[1] , \tile_x4y12_s1beg[0] }),
.top_s2end({ \tile_x4y12_s2begb[7] , \tile_x4y12_s2begb[6] , \tile_x4y12_s2begb[5] , \tile_x4y12_s2begb[4] , \tile_x4y12_s2begb[3] , \tile_x4y12_s2begb[2] , \tile_x4y12_s2begb[1] , \tile_x4y12_s2begb[0] }),
.top_s2mid({ \tile_x4y12_s2beg[7] , \tile_x4y12_s2beg[6] , \tile_x4y12_s2beg[5] , \tile_x4y12_s2beg[4] , \tile_x4y12_s2beg[3] , \tile_x4y12_s2beg[2] , \tile_x4y12_s2beg[1] , \tile_x4y12_s2beg[0] }),
.top_s4end({ \tile_x4y12_s4beg[15] , \tile_x4y12_s4beg[14] , \tile_x4y12_s4beg[13] , \tile_x4y12_s4beg[12] , \tile_x4y12_s4beg[11] , \tile_x4y12_s4beg[10] , \tile_x4y12_s4beg[9] , \tile_x4y12_s4beg[8] , \tile_x4y12_s4beg[7] , \tile_x4y12_s4beg[6] , \tile_x4y12_s4beg[5] , \tile_x4y12_s4beg[4] , \tile_x4y12_s4beg[3] , \tile_x4y12_s4beg[2] , \tile_x4y12_s4beg[1] , \tile_x4y12_s4beg[0] }),
.top_ss4end({ \tile_x4y12_ss4beg[15] , \tile_x4y12_ss4beg[14] , \tile_x4y12_ss4beg[13] , \tile_x4y12_ss4beg[12] , \tile_x4y12_ss4beg[11] , \tile_x4y12_ss4beg[10] , \tile_x4y12_ss4beg[9] , \tile_x4y12_ss4beg[8] , \tile_x4y12_ss4beg[7] , \tile_x4y12_ss4beg[6] , \tile_x4y12_ss4beg[5] , \tile_x4y12_ss4beg[4] , \tile_x4y12_ss4beg[3] , \tile_x4y12_ss4beg[2] , \tile_x4y12_ss4beg[1] , \tile_x4y12_ss4beg[0] }),
.top_w1beg({ \tile_x4y13_w1beg[3] , \tile_x4y13_w1beg[2] , \tile_x4y13_w1beg[1] , \tile_x4y13_w1beg[0] }),
.top_w1end({ \tile_x5y13_w1beg[3] , \tile_x5y13_w1beg[2] , \tile_x5y13_w1beg[1] , \tile_x5y13_w1beg[0] }),
.top_w2beg({ \tile_x4y13_w2beg[7] , \tile_x4y13_w2beg[6] , \tile_x4y13_w2beg[5] , \tile_x4y13_w2beg[4] , \tile_x4y13_w2beg[3] , \tile_x4y13_w2beg[2] , \tile_x4y13_w2beg[1] , \tile_x4y13_w2beg[0] }),
.top_w2begb({ \tile_x4y13_w2begb[7] , \tile_x4y13_w2begb[6] , \tile_x4y13_w2begb[5] , \tile_x4y13_w2begb[4] , \tile_x4y13_w2begb[3] , \tile_x4y13_w2begb[2] , \tile_x4y13_w2begb[1] , \tile_x4y13_w2begb[0] }),
.top_w2end({ \tile_x5y13_w2begb[7] , \tile_x5y13_w2begb[6] , \tile_x5y13_w2begb[5] , \tile_x5y13_w2begb[4] , \tile_x5y13_w2begb[3] , \tile_x5y13_w2begb[2] , \tile_x5y13_w2begb[1] , \tile_x5y13_w2begb[0] }),
.top_w2mid({ \tile_x5y13_w2beg[7] , \tile_x5y13_w2beg[6] , \tile_x5y13_w2beg[5] , \tile_x5y13_w2beg[4] , \tile_x5y13_w2beg[3] , \tile_x5y13_w2beg[2] , \tile_x5y13_w2beg[1] , \tile_x5y13_w2beg[0] }),
.top_w6beg({ \tile_x4y13_w6beg[11] , \tile_x4y13_w6beg[10] , \tile_x4y13_w6beg[9] , \tile_x4y13_w6beg[8] , \tile_x4y13_w6beg[7] , \tile_x4y13_w6beg[6] , \tile_x4y13_w6beg[5] , \tile_x4y13_w6beg[4] , \tile_x4y13_w6beg[3] , \tile_x4y13_w6beg[2] , \tile_x4y13_w6beg[1] , \tile_x4y13_w6beg[0] }),
.top_w6end({ \tile_x5y13_w6beg[11] , \tile_x5y13_w6beg[10] , \tile_x5y13_w6beg[9] , \tile_x5y13_w6beg[8] , \tile_x5y13_w6beg[7] , \tile_x5y13_w6beg[6] , \tile_x5y13_w6beg[5] , \tile_x5y13_w6beg[4] , \tile_x5y13_w6beg[3] , \tile_x5y13_w6beg[2] , \tile_x5y13_w6beg[1] , \tile_x5y13_w6beg[0] }),
.top_ww4beg({ \tile_x4y13_ww4beg[15] , \tile_x4y13_ww4beg[14] , \tile_x4y13_ww4beg[13] , \tile_x4y13_ww4beg[12] , \tile_x4y13_ww4beg[11] , \tile_x4y13_ww4beg[10] , \tile_x4y13_ww4beg[9] , \tile_x4y13_ww4beg[8] , \tile_x4y13_ww4beg[7] , \tile_x4y13_ww4beg[6] , \tile_x4y13_ww4beg[5] , \tile_x4y13_ww4beg[4] , \tile_x4y13_ww4beg[3] , \tile_x4y13_ww4beg[2] , \tile_x4y13_ww4beg[1] , \tile_x4y13_ww4beg[0] }),
.top_ww4end({ \tile_x5y13_ww4beg[15] , \tile_x5y13_ww4beg[14] , \tile_x5y13_ww4beg[13] , \tile_x5y13_ww4beg[12] , \tile_x5y13_ww4beg[11] , \tile_x5y13_ww4beg[10] , \tile_x5y13_ww4beg[9] , \tile_x5y13_ww4beg[8] , \tile_x5y13_ww4beg[7] , \tile_x5y13_ww4beg[6] , \tile_x5y13_ww4beg[5] , \tile_x5y13_ww4beg[4] , \tile_x5y13_ww4beg[3] , \tile_x5y13_ww4beg[2] , \tile_x5y13_ww4beg[1] , \tile_x5y13_ww4beg[0] })
);
s_term_dsp tile_x4y15_s_term_dsp (
.framestrobe(framestrobe[99:80]),
.framestrobe_o({ \tile_x4y15_framestrobe_o[19] , \tile_x4y15_framestrobe_o[18] , \tile_x4y15_framestrobe_o[17] , \tile_x4y15_framestrobe_o[16] , \tile_x4y15_framestrobe_o[15] , \tile_x4y15_framestrobe_o[14] , \tile_x4y15_framestrobe_o[13] , \tile_x4y15_framestrobe_o[12] , \tile_x4y15_framestrobe_o[11] , \tile_x4y15_framestrobe_o[10] , \tile_x4y15_framestrobe_o[9] , \tile_x4y15_framestrobe_o[8] , \tile_x4y15_framestrobe_o[7] , \tile_x4y15_framestrobe_o[6] , \tile_x4y15_framestrobe_o[5] , \tile_x4y15_framestrobe_o[4] , \tile_x4y15_framestrobe_o[3] , \tile_x4y15_framestrobe_o[2] , \tile_x4y15_framestrobe_o[1] , \tile_x4y15_framestrobe_o[0] }),
.n1beg({ \tile_x4y15_n1beg[3] , \tile_x4y15_n1beg[2] , \tile_x4y15_n1beg[1] , \tile_x4y15_n1beg[0] }),
.n2beg({ \tile_x4y15_n2beg[7] , \tile_x4y15_n2beg[6] , \tile_x4y15_n2beg[5] , \tile_x4y15_n2beg[4] , \tile_x4y15_n2beg[3] , \tile_x4y15_n2beg[2] , \tile_x4y15_n2beg[1] , \tile_x4y15_n2beg[0] }),
.n2begb({ \tile_x4y15_n2begb[7] , \tile_x4y15_n2begb[6] , \tile_x4y15_n2begb[5] , \tile_x4y15_n2begb[4] , \tile_x4y15_n2begb[3] , \tile_x4y15_n2begb[2] , \tile_x4y15_n2begb[1] , \tile_x4y15_n2begb[0] }),
.n4beg({ \tile_x4y15_n4beg[15] , \tile_x4y15_n4beg[14] , \tile_x4y15_n4beg[13] , \tile_x4y15_n4beg[12] , \tile_x4y15_n4beg[11] , \tile_x4y15_n4beg[10] , \tile_x4y15_n4beg[9] , \tile_x4y15_n4beg[8] , \tile_x4y15_n4beg[7] , \tile_x4y15_n4beg[6] , \tile_x4y15_n4beg[5] , \tile_x4y15_n4beg[4] , \tile_x4y15_n4beg[3] , \tile_x4y15_n4beg[2] , \tile_x4y15_n4beg[1] , \tile_x4y15_n4beg[0] }),
.nn4beg({ \tile_x4y15_nn4beg[15] , \tile_x4y15_nn4beg[14] , \tile_x4y15_nn4beg[13] , \tile_x4y15_nn4beg[12] , \tile_x4y15_nn4beg[11] , \tile_x4y15_nn4beg[10] , \tile_x4y15_nn4beg[9] , \tile_x4y15_nn4beg[8] , \tile_x4y15_nn4beg[7] , \tile_x4y15_nn4beg[6] , \tile_x4y15_nn4beg[5] , \tile_x4y15_nn4beg[4] , \tile_x4y15_nn4beg[3] , \tile_x4y15_nn4beg[2] , \tile_x4y15_nn4beg[1] , \tile_x4y15_nn4beg[0] }),
.s1end({ \tile_x4y14_s1beg[3] , \tile_x4y14_s1beg[2] , \tile_x4y14_s1beg[1] , \tile_x4y14_s1beg[0] }),
.s2end({ \tile_x4y14_s2begb[7] , \tile_x4y14_s2begb[6] , \tile_x4y14_s2begb[5] , \tile_x4y14_s2begb[4] , \tile_x4y14_s2begb[3] , \tile_x4y14_s2begb[2] , \tile_x4y14_s2begb[1] , \tile_x4y14_s2begb[0] }),
.s2mid({ \tile_x4y14_s2beg[7] , \tile_x4y14_s2beg[6] , \tile_x4y14_s2beg[5] , \tile_x4y14_s2beg[4] , \tile_x4y14_s2beg[3] , \tile_x4y14_s2beg[2] , \tile_x4y14_s2beg[1] , \tile_x4y14_s2beg[0] }),
.s4end({ \tile_x4y14_s4beg[15] , \tile_x4y14_s4beg[14] , \tile_x4y14_s4beg[13] , \tile_x4y14_s4beg[12] , \tile_x4y14_s4beg[11] , \tile_x4y14_s4beg[10] , \tile_x4y14_s4beg[9] , \tile_x4y14_s4beg[8] , \tile_x4y14_s4beg[7] , \tile_x4y14_s4beg[6] , \tile_x4y14_s4beg[5] , \tile_x4y14_s4beg[4] , \tile_x4y14_s4beg[3] , \tile_x4y14_s4beg[2] , \tile_x4y14_s4beg[1] , \tile_x4y14_s4beg[0] }),
.ss4end({ \tile_x4y14_ss4beg[15] , \tile_x4y14_ss4beg[14] , \tile_x4y14_ss4beg[13] , \tile_x4y14_ss4beg[12] , \tile_x4y14_ss4beg[11] , \tile_x4y14_ss4beg[10] , \tile_x4y14_ss4beg[9] , \tile_x4y14_ss4beg[8] , \tile_x4y14_ss4beg[7] , \tile_x4y14_ss4beg[6] , \tile_x4y14_ss4beg[5] , \tile_x4y14_ss4beg[4] , \tile_x4y14_ss4beg[3] , \tile_x4y14_ss4beg[2] , \tile_x4y14_ss4beg[1] , \tile_x4y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x4y15_userclko)
);
dsp tile_x4y1_x4y2_dsp_tile (
.framestrobe({ \tile_x4y3_framestrobe_o[19] , \tile_x4y3_framestrobe_o[18] , \tile_x4y3_framestrobe_o[17] , \tile_x4y3_framestrobe_o[16] , \tile_x4y3_framestrobe_o[15] , \tile_x4y3_framestrobe_o[14] , \tile_x4y3_framestrobe_o[13] , \tile_x4y3_framestrobe_o[12] , \tile_x4y3_framestrobe_o[11] , \tile_x4y3_framestrobe_o[10] , \tile_x4y3_framestrobe_o[9] , \tile_x4y3_framestrobe_o[8] , \tile_x4y3_framestrobe_o[7] , \tile_x4y3_framestrobe_o[6] , \tile_x4y3_framestrobe_o[5] , \tile_x4y3_framestrobe_o[4] , \tile_x4y3_framestrobe_o[3] , \tile_x4y3_framestrobe_o[2] , \tile_x4y3_framestrobe_o[1] , \tile_x4y3_framestrobe_o[0] }),
.framestrobe_o({ \tile_x4y1_framestrobe_o[19] , \tile_x4y1_framestrobe_o[18] , \tile_x4y1_framestrobe_o[17] , \tile_x4y1_framestrobe_o[16] , \tile_x4y1_framestrobe_o[15] , \tile_x4y1_framestrobe_o[14] , \tile_x4y1_framestrobe_o[13] , \tile_x4y1_framestrobe_o[12] , \tile_x4y1_framestrobe_o[11] , \tile_x4y1_framestrobe_o[10] , \tile_x4y1_framestrobe_o[9] , \tile_x4y1_framestrobe_o[8] , \tile_x4y1_framestrobe_o[7] , \tile_x4y1_framestrobe_o[6] , \tile_x4y1_framestrobe_o[5] , \tile_x4y1_framestrobe_o[4] , \tile_x4y1_framestrobe_o[3] , \tile_x4y1_framestrobe_o[2] , \tile_x4y1_framestrobe_o[1] , \tile_x4y1_framestrobe_o[0] }),
.userclk(tile_x4y3_userclko),
.userclko(tile_x4y1_userclko),
.bot_e1beg({ \tile_x4y2_e1beg[3] , \tile_x4y2_e1beg[2] , \tile_x4y2_e1beg[1] , \tile_x4y2_e1beg[0] }),
.bot_e1end({ \tile_x3y2_e1beg[3] , \tile_x3y2_e1beg[2] , \tile_x3y2_e1beg[1] , \tile_x3y2_e1beg[0] }),
.bot_e2beg({ \tile_x4y2_e2beg[7] , \tile_x4y2_e2beg[6] , \tile_x4y2_e2beg[5] , \tile_x4y2_e2beg[4] , \tile_x4y2_e2beg[3] , \tile_x4y2_e2beg[2] , \tile_x4y2_e2beg[1] , \tile_x4y2_e2beg[0] }),
.bot_e2begb({ \tile_x4y2_e2begb[7] , \tile_x4y2_e2begb[6] , \tile_x4y2_e2begb[5] , \tile_x4y2_e2begb[4] , \tile_x4y2_e2begb[3] , \tile_x4y2_e2begb[2] , \tile_x4y2_e2begb[1] , \tile_x4y2_e2begb[0] }),
.bot_e2end({ \tile_x3y2_e2begb[7] , \tile_x3y2_e2begb[6] , \tile_x3y2_e2begb[5] , \tile_x3y2_e2begb[4] , \tile_x3y2_e2begb[3] , \tile_x3y2_e2begb[2] , \tile_x3y2_e2begb[1] , \tile_x3y2_e2begb[0] }),
.bot_e2mid({ \tile_x3y2_e2beg[7] , \tile_x3y2_e2beg[6] , \tile_x3y2_e2beg[5] , \tile_x3y2_e2beg[4] , \tile_x3y2_e2beg[3] , \tile_x3y2_e2beg[2] , \tile_x3y2_e2beg[1] , \tile_x3y2_e2beg[0] }),
.bot_e6beg({ \tile_x4y2_e6beg[11] , \tile_x4y2_e6beg[10] , \tile_x4y2_e6beg[9] , \tile_x4y2_e6beg[8] , \tile_x4y2_e6beg[7] , \tile_x4y2_e6beg[6] , \tile_x4y2_e6beg[5] , \tile_x4y2_e6beg[4] , \tile_x4y2_e6beg[3] , \tile_x4y2_e6beg[2] , \tile_x4y2_e6beg[1] , \tile_x4y2_e6beg[0] }),
.bot_e6end({ \tile_x3y2_e6beg[11] , \tile_x3y2_e6beg[10] , \tile_x3y2_e6beg[9] , \tile_x3y2_e6beg[8] , \tile_x3y2_e6beg[7] , \tile_x3y2_e6beg[6] , \tile_x3y2_e6beg[5] , \tile_x3y2_e6beg[4] , \tile_x3y2_e6beg[3] , \tile_x3y2_e6beg[2] , \tile_x3y2_e6beg[1] , \tile_x3y2_e6beg[0] }),
.bot_ee4beg({ \tile_x4y2_ee4beg[15] , \tile_x4y2_ee4beg[14] , \tile_x4y2_ee4beg[13] , \tile_x4y2_ee4beg[12] , \tile_x4y2_ee4beg[11] , \tile_x4y2_ee4beg[10] , \tile_x4y2_ee4beg[9] , \tile_x4y2_ee4beg[8] , \tile_x4y2_ee4beg[7] , \tile_x4y2_ee4beg[6] , \tile_x4y2_ee4beg[5] , \tile_x4y2_ee4beg[4] , \tile_x4y2_ee4beg[3] , \tile_x4y2_ee4beg[2] , \tile_x4y2_ee4beg[1] , \tile_x4y2_ee4beg[0] }),
.bot_ee4end({ \tile_x3y2_ee4beg[15] , \tile_x3y2_ee4beg[14] , \tile_x3y2_ee4beg[13] , \tile_x3y2_ee4beg[12] , \tile_x3y2_ee4beg[11] , \tile_x3y2_ee4beg[10] , \tile_x3y2_ee4beg[9] , \tile_x3y2_ee4beg[8] , \tile_x3y2_ee4beg[7] , \tile_x3y2_ee4beg[6] , \tile_x3y2_ee4beg[5] , \tile_x3y2_ee4beg[4] , \tile_x3y2_ee4beg[3] , \tile_x3y2_ee4beg[2] , \tile_x3y2_ee4beg[1] , \tile_x3y2_ee4beg[0] }),
.bot_framedata({ \tile_x3y2_framedata_o[31] , \tile_x3y2_framedata_o[30] , \tile_x3y2_framedata_o[29] , \tile_x3y2_framedata_o[28] , \tile_x3y2_framedata_o[27] , \tile_x3y2_framedata_o[26] , \tile_x3y2_framedata_o[25] , \tile_x3y2_framedata_o[24] , \tile_x3y2_framedata_o[23] , \tile_x3y2_framedata_o[22] , \tile_x3y2_framedata_o[21] , \tile_x3y2_framedata_o[20] , \tile_x3y2_framedata_o[19] , \tile_x3y2_framedata_o[18] , \tile_x3y2_framedata_o[17] , \tile_x3y2_framedata_o[16] , \tile_x3y2_framedata_o[15] , \tile_x3y2_framedata_o[14] , \tile_x3y2_framedata_o[13] , \tile_x3y2_framedata_o[12] , \tile_x3y2_framedata_o[11] , \tile_x3y2_framedata_o[10] , \tile_x3y2_framedata_o[9] , \tile_x3y2_framedata_o[8] , \tile_x3y2_framedata_o[7] , \tile_x3y2_framedata_o[6] , \tile_x3y2_framedata_o[5] , \tile_x3y2_framedata_o[4] , \tile_x3y2_framedata_o[3] , \tile_x3y2_framedata_o[2] , \tile_x3y2_framedata_o[1] , \tile_x3y2_framedata_o[0] }),
.bot_framedata_o({ \tile_x4y2_framedata_o[31] , \tile_x4y2_framedata_o[30] , \tile_x4y2_framedata_o[29] , \tile_x4y2_framedata_o[28] , \tile_x4y2_framedata_o[27] , \tile_x4y2_framedata_o[26] , \tile_x4y2_framedata_o[25] , \tile_x4y2_framedata_o[24] , \tile_x4y2_framedata_o[23] , \tile_x4y2_framedata_o[22] , \tile_x4y2_framedata_o[21] , \tile_x4y2_framedata_o[20] , \tile_x4y2_framedata_o[19] , \tile_x4y2_framedata_o[18] , \tile_x4y2_framedata_o[17] , \tile_x4y2_framedata_o[16] , \tile_x4y2_framedata_o[15] , \tile_x4y2_framedata_o[14] , \tile_x4y2_framedata_o[13] , \tile_x4y2_framedata_o[12] , \tile_x4y2_framedata_o[11] , \tile_x4y2_framedata_o[10] , \tile_x4y2_framedata_o[9] , \tile_x4y2_framedata_o[8] , \tile_x4y2_framedata_o[7] , \tile_x4y2_framedata_o[6] , \tile_x4y2_framedata_o[5] , \tile_x4y2_framedata_o[4] , \tile_x4y2_framedata_o[3] , \tile_x4y2_framedata_o[2] , \tile_x4y2_framedata_o[1] , \tile_x4y2_framedata_o[0] }),
.bot_n1end({ \tile_x4y3_n1beg[3] , \tile_x4y3_n1beg[2] , \tile_x4y3_n1beg[1] , \tile_x4y3_n1beg[0] }),
.bot_n2end({ \tile_x4y3_n2begb[7] , \tile_x4y3_n2begb[6] , \tile_x4y3_n2begb[5] , \tile_x4y3_n2begb[4] , \tile_x4y3_n2begb[3] , \tile_x4y3_n2begb[2] , \tile_x4y3_n2begb[1] , \tile_x4y3_n2begb[0] }),
.bot_n2mid({ \tile_x4y3_n2beg[7] , \tile_x4y3_n2beg[6] , \tile_x4y3_n2beg[5] , \tile_x4y3_n2beg[4] , \tile_x4y3_n2beg[3] , \tile_x4y3_n2beg[2] , \tile_x4y3_n2beg[1] , \tile_x4y3_n2beg[0] }),
.bot_n4end({ \tile_x4y3_n4beg[15] , \tile_x4y3_n4beg[14] , \tile_x4y3_n4beg[13] , \tile_x4y3_n4beg[12] , \tile_x4y3_n4beg[11] , \tile_x4y3_n4beg[10] , \tile_x4y3_n4beg[9] , \tile_x4y3_n4beg[8] , \tile_x4y3_n4beg[7] , \tile_x4y3_n4beg[6] , \tile_x4y3_n4beg[5] , \tile_x4y3_n4beg[4] , \tile_x4y3_n4beg[3] , \tile_x4y3_n4beg[2] , \tile_x4y3_n4beg[1] , \tile_x4y3_n4beg[0] }),
.bot_nn4end({ \tile_x4y3_nn4beg[15] , \tile_x4y3_nn4beg[14] , \tile_x4y3_nn4beg[13] , \tile_x4y3_nn4beg[12] , \tile_x4y3_nn4beg[11] , \tile_x4y3_nn4beg[10] , \tile_x4y3_nn4beg[9] , \tile_x4y3_nn4beg[8] , \tile_x4y3_nn4beg[7] , \tile_x4y3_nn4beg[6] , \tile_x4y3_nn4beg[5] , \tile_x4y3_nn4beg[4] , \tile_x4y3_nn4beg[3] , \tile_x4y3_nn4beg[2] , \tile_x4y3_nn4beg[1] , \tile_x4y3_nn4beg[0] }),
.bot_s1beg({ \tile_x4y2_s1beg[3] , \tile_x4y2_s1beg[2] , \tile_x4y2_s1beg[1] , \tile_x4y2_s1beg[0] }),
.bot_s2beg({ \tile_x4y2_s2beg[7] , \tile_x4y2_s2beg[6] , \tile_x4y2_s2beg[5] , \tile_x4y2_s2beg[4] , \tile_x4y2_s2beg[3] , \tile_x4y2_s2beg[2] , \tile_x4y2_s2beg[1] , \tile_x4y2_s2beg[0] }),
.bot_s2begb({ \tile_x4y2_s2begb[7] , \tile_x4y2_s2begb[6] , \tile_x4y2_s2begb[5] , \tile_x4y2_s2begb[4] , \tile_x4y2_s2begb[3] , \tile_x4y2_s2begb[2] , \tile_x4y2_s2begb[1] , \tile_x4y2_s2begb[0] }),
.bot_s4beg({ \tile_x4y2_s4beg[15] , \tile_x4y2_s4beg[14] , \tile_x4y2_s4beg[13] , \tile_x4y2_s4beg[12] , \tile_x4y2_s4beg[11] , \tile_x4y2_s4beg[10] , \tile_x4y2_s4beg[9] , \tile_x4y2_s4beg[8] , \tile_x4y2_s4beg[7] , \tile_x4y2_s4beg[6] , \tile_x4y2_s4beg[5] , \tile_x4y2_s4beg[4] , \tile_x4y2_s4beg[3] , \tile_x4y2_s4beg[2] , \tile_x4y2_s4beg[1] , \tile_x4y2_s4beg[0] }),
.bot_ss4beg({ \tile_x4y2_ss4beg[15] , \tile_x4y2_ss4beg[14] , \tile_x4y2_ss4beg[13] , \tile_x4y2_ss4beg[12] , \tile_x4y2_ss4beg[11] , \tile_x4y2_ss4beg[10] , \tile_x4y2_ss4beg[9] , \tile_x4y2_ss4beg[8] , \tile_x4y2_ss4beg[7] , \tile_x4y2_ss4beg[6] , \tile_x4y2_ss4beg[5] , \tile_x4y2_ss4beg[4] , \tile_x4y2_ss4beg[3] , \tile_x4y2_ss4beg[2] , \tile_x4y2_ss4beg[1] , \tile_x4y2_ss4beg[0] }),
.bot_w1beg({ \tile_x4y2_w1beg[3] , \tile_x4y2_w1beg[2] , \tile_x4y2_w1beg[1] , \tile_x4y2_w1beg[0] }),
.bot_w1end({ \tile_x5y2_w1beg[3] , \tile_x5y2_w1beg[2] , \tile_x5y2_w1beg[1] , \tile_x5y2_w1beg[0] }),
.bot_w2beg({ \tile_x4y2_w2beg[7] , \tile_x4y2_w2beg[6] , \tile_x4y2_w2beg[5] , \tile_x4y2_w2beg[4] , \tile_x4y2_w2beg[3] , \tile_x4y2_w2beg[2] , \tile_x4y2_w2beg[1] , \tile_x4y2_w2beg[0] }),
.bot_w2begb({ \tile_x4y2_w2begb[7] , \tile_x4y2_w2begb[6] , \tile_x4y2_w2begb[5] , \tile_x4y2_w2begb[4] , \tile_x4y2_w2begb[3] , \tile_x4y2_w2begb[2] , \tile_x4y2_w2begb[1] , \tile_x4y2_w2begb[0] }),
.bot_w2end({ \tile_x5y2_w2begb[7] , \tile_x5y2_w2begb[6] , \tile_x5y2_w2begb[5] , \tile_x5y2_w2begb[4] , \tile_x5y2_w2begb[3] , \tile_x5y2_w2begb[2] , \tile_x5y2_w2begb[1] , \tile_x5y2_w2begb[0] }),
.bot_w2mid({ \tile_x5y2_w2beg[7] , \tile_x5y2_w2beg[6] , \tile_x5y2_w2beg[5] , \tile_x5y2_w2beg[4] , \tile_x5y2_w2beg[3] , \tile_x5y2_w2beg[2] , \tile_x5y2_w2beg[1] , \tile_x5y2_w2beg[0] }),
.bot_w6beg({ \tile_x4y2_w6beg[11] , \tile_x4y2_w6beg[10] , \tile_x4y2_w6beg[9] , \tile_x4y2_w6beg[8] , \tile_x4y2_w6beg[7] , \tile_x4y2_w6beg[6] , \tile_x4y2_w6beg[5] , \tile_x4y2_w6beg[4] , \tile_x4y2_w6beg[3] , \tile_x4y2_w6beg[2] , \tile_x4y2_w6beg[1] , \tile_x4y2_w6beg[0] }),
.bot_w6end({ \tile_x5y2_w6beg[11] , \tile_x5y2_w6beg[10] , \tile_x5y2_w6beg[9] , \tile_x5y2_w6beg[8] , \tile_x5y2_w6beg[7] , \tile_x5y2_w6beg[6] , \tile_x5y2_w6beg[5] , \tile_x5y2_w6beg[4] , \tile_x5y2_w6beg[3] , \tile_x5y2_w6beg[2] , \tile_x5y2_w6beg[1] , \tile_x5y2_w6beg[0] }),
.bot_ww4beg({ \tile_x4y2_ww4beg[15] , \tile_x4y2_ww4beg[14] , \tile_x4y2_ww4beg[13] , \tile_x4y2_ww4beg[12] , \tile_x4y2_ww4beg[11] , \tile_x4y2_ww4beg[10] , \tile_x4y2_ww4beg[9] , \tile_x4y2_ww4beg[8] , \tile_x4y2_ww4beg[7] , \tile_x4y2_ww4beg[6] , \tile_x4y2_ww4beg[5] , \tile_x4y2_ww4beg[4] , \tile_x4y2_ww4beg[3] , \tile_x4y2_ww4beg[2] , \tile_x4y2_ww4beg[1] , \tile_x4y2_ww4beg[0] }),
.bot_ww4end({ \tile_x5y2_ww4beg[15] , \tile_x5y2_ww4beg[14] , \tile_x5y2_ww4beg[13] , \tile_x5y2_ww4beg[12] , \tile_x5y2_ww4beg[11] , \tile_x5y2_ww4beg[10] , \tile_x5y2_ww4beg[9] , \tile_x5y2_ww4beg[8] , \tile_x5y2_ww4beg[7] , \tile_x5y2_ww4beg[6] , \tile_x5y2_ww4beg[5] , \tile_x5y2_ww4beg[4] , \tile_x5y2_ww4beg[3] , \tile_x5y2_ww4beg[2] , \tile_x5y2_ww4beg[1] , \tile_x5y2_ww4beg[0] }),
.top_e1beg({ \tile_x4y1_e1beg[3] , \tile_x4y1_e1beg[2] , \tile_x4y1_e1beg[1] , \tile_x4y1_e1beg[0] }),
.top_e1end({ \tile_x3y1_e1beg[3] , \tile_x3y1_e1beg[2] , \tile_x3y1_e1beg[1] , \tile_x3y1_e1beg[0] }),
.top_e2beg({ \tile_x4y1_e2beg[7] , \tile_x4y1_e2beg[6] , \tile_x4y1_e2beg[5] , \tile_x4y1_e2beg[4] , \tile_x4y1_e2beg[3] , \tile_x4y1_e2beg[2] , \tile_x4y1_e2beg[1] , \tile_x4y1_e2beg[0] }),
.top_e2begb({ \tile_x4y1_e2begb[7] , \tile_x4y1_e2begb[6] , \tile_x4y1_e2begb[5] , \tile_x4y1_e2begb[4] , \tile_x4y1_e2begb[3] , \tile_x4y1_e2begb[2] , \tile_x4y1_e2begb[1] , \tile_x4y1_e2begb[0] }),
.top_e2end({ \tile_x3y1_e2begb[7] , \tile_x3y1_e2begb[6] , \tile_x3y1_e2begb[5] , \tile_x3y1_e2begb[4] , \tile_x3y1_e2begb[3] , \tile_x3y1_e2begb[2] , \tile_x3y1_e2begb[1] , \tile_x3y1_e2begb[0] }),
.top_e2mid({ \tile_x3y1_e2beg[7] , \tile_x3y1_e2beg[6] , \tile_x3y1_e2beg[5] , \tile_x3y1_e2beg[4] , \tile_x3y1_e2beg[3] , \tile_x3y1_e2beg[2] , \tile_x3y1_e2beg[1] , \tile_x3y1_e2beg[0] }),
.top_e6beg({ \tile_x4y1_e6beg[11] , \tile_x4y1_e6beg[10] , \tile_x4y1_e6beg[9] , \tile_x4y1_e6beg[8] , \tile_x4y1_e6beg[7] , \tile_x4y1_e6beg[6] , \tile_x4y1_e6beg[5] , \tile_x4y1_e6beg[4] , \tile_x4y1_e6beg[3] , \tile_x4y1_e6beg[2] , \tile_x4y1_e6beg[1] , \tile_x4y1_e6beg[0] }),
.top_e6end({ \tile_x3y1_e6beg[11] , \tile_x3y1_e6beg[10] , \tile_x3y1_e6beg[9] , \tile_x3y1_e6beg[8] , \tile_x3y1_e6beg[7] , \tile_x3y1_e6beg[6] , \tile_x3y1_e6beg[5] , \tile_x3y1_e6beg[4] , \tile_x3y1_e6beg[3] , \tile_x3y1_e6beg[2] , \tile_x3y1_e6beg[1] , \tile_x3y1_e6beg[0] }),
.top_ee4beg({ \tile_x4y1_ee4beg[15] , \tile_x4y1_ee4beg[14] , \tile_x4y1_ee4beg[13] , \tile_x4y1_ee4beg[12] , \tile_x4y1_ee4beg[11] , \tile_x4y1_ee4beg[10] , \tile_x4y1_ee4beg[9] , \tile_x4y1_ee4beg[8] , \tile_x4y1_ee4beg[7] , \tile_x4y1_ee4beg[6] , \tile_x4y1_ee4beg[5] , \tile_x4y1_ee4beg[4] , \tile_x4y1_ee4beg[3] , \tile_x4y1_ee4beg[2] , \tile_x4y1_ee4beg[1] , \tile_x4y1_ee4beg[0] }),
.top_ee4end({ \tile_x3y1_ee4beg[15] , \tile_x3y1_ee4beg[14] , \tile_x3y1_ee4beg[13] , \tile_x3y1_ee4beg[12] , \tile_x3y1_ee4beg[11] , \tile_x3y1_ee4beg[10] , \tile_x3y1_ee4beg[9] , \tile_x3y1_ee4beg[8] , \tile_x3y1_ee4beg[7] , \tile_x3y1_ee4beg[6] , \tile_x3y1_ee4beg[5] , \tile_x3y1_ee4beg[4] , \tile_x3y1_ee4beg[3] , \tile_x3y1_ee4beg[2] , \tile_x3y1_ee4beg[1] , \tile_x3y1_ee4beg[0] }),
.top_framedata({ \tile_x3y1_framedata_o[31] , \tile_x3y1_framedata_o[30] , \tile_x3y1_framedata_o[29] , \tile_x3y1_framedata_o[28] , \tile_x3y1_framedata_o[27] , \tile_x3y1_framedata_o[26] , \tile_x3y1_framedata_o[25] , \tile_x3y1_framedata_o[24] , \tile_x3y1_framedata_o[23] , \tile_x3y1_framedata_o[22] , \tile_x3y1_framedata_o[21] , \tile_x3y1_framedata_o[20] , \tile_x3y1_framedata_o[19] , \tile_x3y1_framedata_o[18] , \tile_x3y1_framedata_o[17] , \tile_x3y1_framedata_o[16] , \tile_x3y1_framedata_o[15] , \tile_x3y1_framedata_o[14] , \tile_x3y1_framedata_o[13] , \tile_x3y1_framedata_o[12] , \tile_x3y1_framedata_o[11] , \tile_x3y1_framedata_o[10] , \tile_x3y1_framedata_o[9] , \tile_x3y1_framedata_o[8] , \tile_x3y1_framedata_o[7] , \tile_x3y1_framedata_o[6] , \tile_x3y1_framedata_o[5] , \tile_x3y1_framedata_o[4] , \tile_x3y1_framedata_o[3] , \tile_x3y1_framedata_o[2] , \tile_x3y1_framedata_o[1] , \tile_x3y1_framedata_o[0] }),
.top_framedata_o({ \tile_x4y1_framedata_o[31] , \tile_x4y1_framedata_o[30] , \tile_x4y1_framedata_o[29] , \tile_x4y1_framedata_o[28] , \tile_x4y1_framedata_o[27] , \tile_x4y1_framedata_o[26] , \tile_x4y1_framedata_o[25] , \tile_x4y1_framedata_o[24] , \tile_x4y1_framedata_o[23] , \tile_x4y1_framedata_o[22] , \tile_x4y1_framedata_o[21] , \tile_x4y1_framedata_o[20] , \tile_x4y1_framedata_o[19] , \tile_x4y1_framedata_o[18] , \tile_x4y1_framedata_o[17] , \tile_x4y1_framedata_o[16] , \tile_x4y1_framedata_o[15] , \tile_x4y1_framedata_o[14] , \tile_x4y1_framedata_o[13] , \tile_x4y1_framedata_o[12] , \tile_x4y1_framedata_o[11] , \tile_x4y1_framedata_o[10] , \tile_x4y1_framedata_o[9] , \tile_x4y1_framedata_o[8] , \tile_x4y1_framedata_o[7] , \tile_x4y1_framedata_o[6] , \tile_x4y1_framedata_o[5] , \tile_x4y1_framedata_o[4] , \tile_x4y1_framedata_o[3] , \tile_x4y1_framedata_o[2] , \tile_x4y1_framedata_o[1] , \tile_x4y1_framedata_o[0] }),
.top_n1beg({ \tile_x4y1_n1beg[3] , \tile_x4y1_n1beg[2] , \tile_x4y1_n1beg[1] , \tile_x4y1_n1beg[0] }),
.top_n2beg({ \tile_x4y1_n2beg[7] , \tile_x4y1_n2beg[6] , \tile_x4y1_n2beg[5] , \tile_x4y1_n2beg[4] , \tile_x4y1_n2beg[3] , \tile_x4y1_n2beg[2] , \tile_x4y1_n2beg[1] , \tile_x4y1_n2beg[0] }),
.top_n2begb({ \tile_x4y1_n2begb[7] , \tile_x4y1_n2begb[6] , \tile_x4y1_n2begb[5] , \tile_x4y1_n2begb[4] , \tile_x4y1_n2begb[3] , \tile_x4y1_n2begb[2] , \tile_x4y1_n2begb[1] , \tile_x4y1_n2begb[0] }),
.top_n4beg({ \tile_x4y1_n4beg[15] , \tile_x4y1_n4beg[14] , \tile_x4y1_n4beg[13] , \tile_x4y1_n4beg[12] , \tile_x4y1_n4beg[11] , \tile_x4y1_n4beg[10] , \tile_x4y1_n4beg[9] , \tile_x4y1_n4beg[8] , \tile_x4y1_n4beg[7] , \tile_x4y1_n4beg[6] , \tile_x4y1_n4beg[5] , \tile_x4y1_n4beg[4] , \tile_x4y1_n4beg[3] , \tile_x4y1_n4beg[2] , \tile_x4y1_n4beg[1] , \tile_x4y1_n4beg[0] }),
.top_nn4beg({ \tile_x4y1_nn4beg[15] , \tile_x4y1_nn4beg[14] , \tile_x4y1_nn4beg[13] , \tile_x4y1_nn4beg[12] , \tile_x4y1_nn4beg[11] , \tile_x4y1_nn4beg[10] , \tile_x4y1_nn4beg[9] , \tile_x4y1_nn4beg[8] , \tile_x4y1_nn4beg[7] , \tile_x4y1_nn4beg[6] , \tile_x4y1_nn4beg[5] , \tile_x4y1_nn4beg[4] , \tile_x4y1_nn4beg[3] , \tile_x4y1_nn4beg[2] , \tile_x4y1_nn4beg[1] , \tile_x4y1_nn4beg[0] }),
.top_s1end({ \tile_x4y0_s1beg[3] , \tile_x4y0_s1beg[2] , \tile_x4y0_s1beg[1] , \tile_x4y0_s1beg[0] }),
.top_s2end({ \tile_x4y0_s2begb[7] , \tile_x4y0_s2begb[6] , \tile_x4y0_s2begb[5] , \tile_x4y0_s2begb[4] , \tile_x4y0_s2begb[3] , \tile_x4y0_s2begb[2] , \tile_x4y0_s2begb[1] , \tile_x4y0_s2begb[0] }),
.top_s2mid({ \tile_x4y0_s2beg[7] , \tile_x4y0_s2beg[6] , \tile_x4y0_s2beg[5] , \tile_x4y0_s2beg[4] , \tile_x4y0_s2beg[3] , \tile_x4y0_s2beg[2] , \tile_x4y0_s2beg[1] , \tile_x4y0_s2beg[0] }),
.top_s4end({ \tile_x4y0_s4beg[15] , \tile_x4y0_s4beg[14] , \tile_x4y0_s4beg[13] , \tile_x4y0_s4beg[12] , \tile_x4y0_s4beg[11] , \tile_x4y0_s4beg[10] , \tile_x4y0_s4beg[9] , \tile_x4y0_s4beg[8] , \tile_x4y0_s4beg[7] , \tile_x4y0_s4beg[6] , \tile_x4y0_s4beg[5] , \tile_x4y0_s4beg[4] , \tile_x4y0_s4beg[3] , \tile_x4y0_s4beg[2] , \tile_x4y0_s4beg[1] , \tile_x4y0_s4beg[0] }),
.top_ss4end({ \tile_x4y0_ss4beg[15] , \tile_x4y0_ss4beg[14] , \tile_x4y0_ss4beg[13] , \tile_x4y0_ss4beg[12] , \tile_x4y0_ss4beg[11] , \tile_x4y0_ss4beg[10] , \tile_x4y0_ss4beg[9] , \tile_x4y0_ss4beg[8] , \tile_x4y0_ss4beg[7] , \tile_x4y0_ss4beg[6] , \tile_x4y0_ss4beg[5] , \tile_x4y0_ss4beg[4] , \tile_x4y0_ss4beg[3] , \tile_x4y0_ss4beg[2] , \tile_x4y0_ss4beg[1] , \tile_x4y0_ss4beg[0] }),
.top_w1beg({ \tile_x4y1_w1beg[3] , \tile_x4y1_w1beg[2] , \tile_x4y1_w1beg[1] , \tile_x4y1_w1beg[0] }),
.top_w1end({ \tile_x5y1_w1beg[3] , \tile_x5y1_w1beg[2] , \tile_x5y1_w1beg[1] , \tile_x5y1_w1beg[0] }),
.top_w2beg({ \tile_x4y1_w2beg[7] , \tile_x4y1_w2beg[6] , \tile_x4y1_w2beg[5] , \tile_x4y1_w2beg[4] , \tile_x4y1_w2beg[3] , \tile_x4y1_w2beg[2] , \tile_x4y1_w2beg[1] , \tile_x4y1_w2beg[0] }),
.top_w2begb({ \tile_x4y1_w2begb[7] , \tile_x4y1_w2begb[6] , \tile_x4y1_w2begb[5] , \tile_x4y1_w2begb[4] , \tile_x4y1_w2begb[3] , \tile_x4y1_w2begb[2] , \tile_x4y1_w2begb[1] , \tile_x4y1_w2begb[0] }),
.top_w2end({ \tile_x5y1_w2begb[7] , \tile_x5y1_w2begb[6] , \tile_x5y1_w2begb[5] , \tile_x5y1_w2begb[4] , \tile_x5y1_w2begb[3] , \tile_x5y1_w2begb[2] , \tile_x5y1_w2begb[1] , \tile_x5y1_w2begb[0] }),
.top_w2mid({ \tile_x5y1_w2beg[7] , \tile_x5y1_w2beg[6] , \tile_x5y1_w2beg[5] , \tile_x5y1_w2beg[4] , \tile_x5y1_w2beg[3] , \tile_x5y1_w2beg[2] , \tile_x5y1_w2beg[1] , \tile_x5y1_w2beg[0] }),
.top_w6beg({ \tile_x4y1_w6beg[11] , \tile_x4y1_w6beg[10] , \tile_x4y1_w6beg[9] , \tile_x4y1_w6beg[8] , \tile_x4y1_w6beg[7] , \tile_x4y1_w6beg[6] , \tile_x4y1_w6beg[5] , \tile_x4y1_w6beg[4] , \tile_x4y1_w6beg[3] , \tile_x4y1_w6beg[2] , \tile_x4y1_w6beg[1] , \tile_x4y1_w6beg[0] }),
.top_w6end({ \tile_x5y1_w6beg[11] , \tile_x5y1_w6beg[10] , \tile_x5y1_w6beg[9] , \tile_x5y1_w6beg[8] , \tile_x5y1_w6beg[7] , \tile_x5y1_w6beg[6] , \tile_x5y1_w6beg[5] , \tile_x5y1_w6beg[4] , \tile_x5y1_w6beg[3] , \tile_x5y1_w6beg[2] , \tile_x5y1_w6beg[1] , \tile_x5y1_w6beg[0] }),
.top_ww4beg({ \tile_x4y1_ww4beg[15] , \tile_x4y1_ww4beg[14] , \tile_x4y1_ww4beg[13] , \tile_x4y1_ww4beg[12] , \tile_x4y1_ww4beg[11] , \tile_x4y1_ww4beg[10] , \tile_x4y1_ww4beg[9] , \tile_x4y1_ww4beg[8] , \tile_x4y1_ww4beg[7] , \tile_x4y1_ww4beg[6] , \tile_x4y1_ww4beg[5] , \tile_x4y1_ww4beg[4] , \tile_x4y1_ww4beg[3] , \tile_x4y1_ww4beg[2] , \tile_x4y1_ww4beg[1] , \tile_x4y1_ww4beg[0] }),
.top_ww4end({ \tile_x5y1_ww4beg[15] , \tile_x5y1_ww4beg[14] , \tile_x5y1_ww4beg[13] , \tile_x5y1_ww4beg[12] , \tile_x5y1_ww4beg[11] , \tile_x5y1_ww4beg[10] , \tile_x5y1_ww4beg[9] , \tile_x5y1_ww4beg[8] , \tile_x5y1_ww4beg[7] , \tile_x5y1_ww4beg[6] , \tile_x5y1_ww4beg[5] , \tile_x5y1_ww4beg[4] , \tile_x5y1_ww4beg[3] , \tile_x5y1_ww4beg[2] , \tile_x5y1_ww4beg[1] , \tile_x5y1_ww4beg[0] })
);
dsp tile_x4y3_x4y4_dsp_tile (
.framestrobe({ \tile_x4y5_framestrobe_o[19] , \tile_x4y5_framestrobe_o[18] , \tile_x4y5_framestrobe_o[17] , \tile_x4y5_framestrobe_o[16] , \tile_x4y5_framestrobe_o[15] , \tile_x4y5_framestrobe_o[14] , \tile_x4y5_framestrobe_o[13] , \tile_x4y5_framestrobe_o[12] , \tile_x4y5_framestrobe_o[11] , \tile_x4y5_framestrobe_o[10] , \tile_x4y5_framestrobe_o[9] , \tile_x4y5_framestrobe_o[8] , \tile_x4y5_framestrobe_o[7] , \tile_x4y5_framestrobe_o[6] , \tile_x4y5_framestrobe_o[5] , \tile_x4y5_framestrobe_o[4] , \tile_x4y5_framestrobe_o[3] , \tile_x4y5_framestrobe_o[2] , \tile_x4y5_framestrobe_o[1] , \tile_x4y5_framestrobe_o[0] }),
.framestrobe_o({ \tile_x4y3_framestrobe_o[19] , \tile_x4y3_framestrobe_o[18] , \tile_x4y3_framestrobe_o[17] , \tile_x4y3_framestrobe_o[16] , \tile_x4y3_framestrobe_o[15] , \tile_x4y3_framestrobe_o[14] , \tile_x4y3_framestrobe_o[13] , \tile_x4y3_framestrobe_o[12] , \tile_x4y3_framestrobe_o[11] , \tile_x4y3_framestrobe_o[10] , \tile_x4y3_framestrobe_o[9] , \tile_x4y3_framestrobe_o[8] , \tile_x4y3_framestrobe_o[7] , \tile_x4y3_framestrobe_o[6] , \tile_x4y3_framestrobe_o[5] , \tile_x4y3_framestrobe_o[4] , \tile_x4y3_framestrobe_o[3] , \tile_x4y3_framestrobe_o[2] , \tile_x4y3_framestrobe_o[1] , \tile_x4y3_framestrobe_o[0] }),
.userclk(tile_x4y5_userclko),
.userclko(tile_x4y3_userclko),
.bot_e1beg({ \tile_x4y4_e1beg[3] , \tile_x4y4_e1beg[2] , \tile_x4y4_e1beg[1] , \tile_x4y4_e1beg[0] }),
.bot_e1end({ \tile_x3y4_e1beg[3] , \tile_x3y4_e1beg[2] , \tile_x3y4_e1beg[1] , \tile_x3y4_e1beg[0] }),
.bot_e2beg({ \tile_x4y4_e2beg[7] , \tile_x4y4_e2beg[6] , \tile_x4y4_e2beg[5] , \tile_x4y4_e2beg[4] , \tile_x4y4_e2beg[3] , \tile_x4y4_e2beg[2] , \tile_x4y4_e2beg[1] , \tile_x4y4_e2beg[0] }),
.bot_e2begb({ \tile_x4y4_e2begb[7] , \tile_x4y4_e2begb[6] , \tile_x4y4_e2begb[5] , \tile_x4y4_e2begb[4] , \tile_x4y4_e2begb[3] , \tile_x4y4_e2begb[2] , \tile_x4y4_e2begb[1] , \tile_x4y4_e2begb[0] }),
.bot_e2end({ \tile_x3y4_e2begb[7] , \tile_x3y4_e2begb[6] , \tile_x3y4_e2begb[5] , \tile_x3y4_e2begb[4] , \tile_x3y4_e2begb[3] , \tile_x3y4_e2begb[2] , \tile_x3y4_e2begb[1] , \tile_x3y4_e2begb[0] }),
.bot_e2mid({ \tile_x3y4_e2beg[7] , \tile_x3y4_e2beg[6] , \tile_x3y4_e2beg[5] , \tile_x3y4_e2beg[4] , \tile_x3y4_e2beg[3] , \tile_x3y4_e2beg[2] , \tile_x3y4_e2beg[1] , \tile_x3y4_e2beg[0] }),
.bot_e6beg({ \tile_x4y4_e6beg[11] , \tile_x4y4_e6beg[10] , \tile_x4y4_e6beg[9] , \tile_x4y4_e6beg[8] , \tile_x4y4_e6beg[7] , \tile_x4y4_e6beg[6] , \tile_x4y4_e6beg[5] , \tile_x4y4_e6beg[4] , \tile_x4y4_e6beg[3] , \tile_x4y4_e6beg[2] , \tile_x4y4_e6beg[1] , \tile_x4y4_e6beg[0] }),
.bot_e6end({ \tile_x3y4_e6beg[11] , \tile_x3y4_e6beg[10] , \tile_x3y4_e6beg[9] , \tile_x3y4_e6beg[8] , \tile_x3y4_e6beg[7] , \tile_x3y4_e6beg[6] , \tile_x3y4_e6beg[5] , \tile_x3y4_e6beg[4] , \tile_x3y4_e6beg[3] , \tile_x3y4_e6beg[2] , \tile_x3y4_e6beg[1] , \tile_x3y4_e6beg[0] }),
.bot_ee4beg({ \tile_x4y4_ee4beg[15] , \tile_x4y4_ee4beg[14] , \tile_x4y4_ee4beg[13] , \tile_x4y4_ee4beg[12] , \tile_x4y4_ee4beg[11] , \tile_x4y4_ee4beg[10] , \tile_x4y4_ee4beg[9] , \tile_x4y4_ee4beg[8] , \tile_x4y4_ee4beg[7] , \tile_x4y4_ee4beg[6] , \tile_x4y4_ee4beg[5] , \tile_x4y4_ee4beg[4] , \tile_x4y4_ee4beg[3] , \tile_x4y4_ee4beg[2] , \tile_x4y4_ee4beg[1] , \tile_x4y4_ee4beg[0] }),
.bot_ee4end({ \tile_x3y4_ee4beg[15] , \tile_x3y4_ee4beg[14] , \tile_x3y4_ee4beg[13] , \tile_x3y4_ee4beg[12] , \tile_x3y4_ee4beg[11] , \tile_x3y4_ee4beg[10] , \tile_x3y4_ee4beg[9] , \tile_x3y4_ee4beg[8] , \tile_x3y4_ee4beg[7] , \tile_x3y4_ee4beg[6] , \tile_x3y4_ee4beg[5] , \tile_x3y4_ee4beg[4] , \tile_x3y4_ee4beg[3] , \tile_x3y4_ee4beg[2] , \tile_x3y4_ee4beg[1] , \tile_x3y4_ee4beg[0] }),
.bot_framedata({ \tile_x3y4_framedata_o[31] , \tile_x3y4_framedata_o[30] , \tile_x3y4_framedata_o[29] , \tile_x3y4_framedata_o[28] , \tile_x3y4_framedata_o[27] , \tile_x3y4_framedata_o[26] , \tile_x3y4_framedata_o[25] , \tile_x3y4_framedata_o[24] , \tile_x3y4_framedata_o[23] , \tile_x3y4_framedata_o[22] , \tile_x3y4_framedata_o[21] , \tile_x3y4_framedata_o[20] , \tile_x3y4_framedata_o[19] , \tile_x3y4_framedata_o[18] , \tile_x3y4_framedata_o[17] , \tile_x3y4_framedata_o[16] , \tile_x3y4_framedata_o[15] , \tile_x3y4_framedata_o[14] , \tile_x3y4_framedata_o[13] , \tile_x3y4_framedata_o[12] , \tile_x3y4_framedata_o[11] , \tile_x3y4_framedata_o[10] , \tile_x3y4_framedata_o[9] , \tile_x3y4_framedata_o[8] , \tile_x3y4_framedata_o[7] , \tile_x3y4_framedata_o[6] , \tile_x3y4_framedata_o[5] , \tile_x3y4_framedata_o[4] , \tile_x3y4_framedata_o[3] , \tile_x3y4_framedata_o[2] , \tile_x3y4_framedata_o[1] , \tile_x3y4_framedata_o[0] }),
.bot_framedata_o({ \tile_x4y4_framedata_o[31] , \tile_x4y4_framedata_o[30] , \tile_x4y4_framedata_o[29] , \tile_x4y4_framedata_o[28] , \tile_x4y4_framedata_o[27] , \tile_x4y4_framedata_o[26] , \tile_x4y4_framedata_o[25] , \tile_x4y4_framedata_o[24] , \tile_x4y4_framedata_o[23] , \tile_x4y4_framedata_o[22] , \tile_x4y4_framedata_o[21] , \tile_x4y4_framedata_o[20] , \tile_x4y4_framedata_o[19] , \tile_x4y4_framedata_o[18] , \tile_x4y4_framedata_o[17] , \tile_x4y4_framedata_o[16] , \tile_x4y4_framedata_o[15] , \tile_x4y4_framedata_o[14] , \tile_x4y4_framedata_o[13] , \tile_x4y4_framedata_o[12] , \tile_x4y4_framedata_o[11] , \tile_x4y4_framedata_o[10] , \tile_x4y4_framedata_o[9] , \tile_x4y4_framedata_o[8] , \tile_x4y4_framedata_o[7] , \tile_x4y4_framedata_o[6] , \tile_x4y4_framedata_o[5] , \tile_x4y4_framedata_o[4] , \tile_x4y4_framedata_o[3] , \tile_x4y4_framedata_o[2] , \tile_x4y4_framedata_o[1] , \tile_x4y4_framedata_o[0] }),
.bot_n1end({ \tile_x4y5_n1beg[3] , \tile_x4y5_n1beg[2] , \tile_x4y5_n1beg[1] , \tile_x4y5_n1beg[0] }),
.bot_n2end({ \tile_x4y5_n2begb[7] , \tile_x4y5_n2begb[6] , \tile_x4y5_n2begb[5] , \tile_x4y5_n2begb[4] , \tile_x4y5_n2begb[3] , \tile_x4y5_n2begb[2] , \tile_x4y5_n2begb[1] , \tile_x4y5_n2begb[0] }),
.bot_n2mid({ \tile_x4y5_n2beg[7] , \tile_x4y5_n2beg[6] , \tile_x4y5_n2beg[5] , \tile_x4y5_n2beg[4] , \tile_x4y5_n2beg[3] , \tile_x4y5_n2beg[2] , \tile_x4y5_n2beg[1] , \tile_x4y5_n2beg[0] }),
.bot_n4end({ \tile_x4y5_n4beg[15] , \tile_x4y5_n4beg[14] , \tile_x4y5_n4beg[13] , \tile_x4y5_n4beg[12] , \tile_x4y5_n4beg[11] , \tile_x4y5_n4beg[10] , \tile_x4y5_n4beg[9] , \tile_x4y5_n4beg[8] , \tile_x4y5_n4beg[7] , \tile_x4y5_n4beg[6] , \tile_x4y5_n4beg[5] , \tile_x4y5_n4beg[4] , \tile_x4y5_n4beg[3] , \tile_x4y5_n4beg[2] , \tile_x4y5_n4beg[1] , \tile_x4y5_n4beg[0] }),
.bot_nn4end({ \tile_x4y5_nn4beg[15] , \tile_x4y5_nn4beg[14] , \tile_x4y5_nn4beg[13] , \tile_x4y5_nn4beg[12] , \tile_x4y5_nn4beg[11] , \tile_x4y5_nn4beg[10] , \tile_x4y5_nn4beg[9] , \tile_x4y5_nn4beg[8] , \tile_x4y5_nn4beg[7] , \tile_x4y5_nn4beg[6] , \tile_x4y5_nn4beg[5] , \tile_x4y5_nn4beg[4] , \tile_x4y5_nn4beg[3] , \tile_x4y5_nn4beg[2] , \tile_x4y5_nn4beg[1] , \tile_x4y5_nn4beg[0] }),
.bot_s1beg({ \tile_x4y4_s1beg[3] , \tile_x4y4_s1beg[2] , \tile_x4y4_s1beg[1] , \tile_x4y4_s1beg[0] }),
.bot_s2beg({ \tile_x4y4_s2beg[7] , \tile_x4y4_s2beg[6] , \tile_x4y4_s2beg[5] , \tile_x4y4_s2beg[4] , \tile_x4y4_s2beg[3] , \tile_x4y4_s2beg[2] , \tile_x4y4_s2beg[1] , \tile_x4y4_s2beg[0] }),
.bot_s2begb({ \tile_x4y4_s2begb[7] , \tile_x4y4_s2begb[6] , \tile_x4y4_s2begb[5] , \tile_x4y4_s2begb[4] , \tile_x4y4_s2begb[3] , \tile_x4y4_s2begb[2] , \tile_x4y4_s2begb[1] , \tile_x4y4_s2begb[0] }),
.bot_s4beg({ \tile_x4y4_s4beg[15] , \tile_x4y4_s4beg[14] , \tile_x4y4_s4beg[13] , \tile_x4y4_s4beg[12] , \tile_x4y4_s4beg[11] , \tile_x4y4_s4beg[10] , \tile_x4y4_s4beg[9] , \tile_x4y4_s4beg[8] , \tile_x4y4_s4beg[7] , \tile_x4y4_s4beg[6] , \tile_x4y4_s4beg[5] , \tile_x4y4_s4beg[4] , \tile_x4y4_s4beg[3] , \tile_x4y4_s4beg[2] , \tile_x4y4_s4beg[1] , \tile_x4y4_s4beg[0] }),
.bot_ss4beg({ \tile_x4y4_ss4beg[15] , \tile_x4y4_ss4beg[14] , \tile_x4y4_ss4beg[13] , \tile_x4y4_ss4beg[12] , \tile_x4y4_ss4beg[11] , \tile_x4y4_ss4beg[10] , \tile_x4y4_ss4beg[9] , \tile_x4y4_ss4beg[8] , \tile_x4y4_ss4beg[7] , \tile_x4y4_ss4beg[6] , \tile_x4y4_ss4beg[5] , \tile_x4y4_ss4beg[4] , \tile_x4y4_ss4beg[3] , \tile_x4y4_ss4beg[2] , \tile_x4y4_ss4beg[1] , \tile_x4y4_ss4beg[0] }),
.bot_w1beg({ \tile_x4y4_w1beg[3] , \tile_x4y4_w1beg[2] , \tile_x4y4_w1beg[1] , \tile_x4y4_w1beg[0] }),
.bot_w1end({ \tile_x5y4_w1beg[3] , \tile_x5y4_w1beg[2] , \tile_x5y4_w1beg[1] , \tile_x5y4_w1beg[0] }),
.bot_w2beg({ \tile_x4y4_w2beg[7] , \tile_x4y4_w2beg[6] , \tile_x4y4_w2beg[5] , \tile_x4y4_w2beg[4] , \tile_x4y4_w2beg[3] , \tile_x4y4_w2beg[2] , \tile_x4y4_w2beg[1] , \tile_x4y4_w2beg[0] }),
.bot_w2begb({ \tile_x4y4_w2begb[7] , \tile_x4y4_w2begb[6] , \tile_x4y4_w2begb[5] , \tile_x4y4_w2begb[4] , \tile_x4y4_w2begb[3] , \tile_x4y4_w2begb[2] , \tile_x4y4_w2begb[1] , \tile_x4y4_w2begb[0] }),
.bot_w2end({ \tile_x5y4_w2begb[7] , \tile_x5y4_w2begb[6] , \tile_x5y4_w2begb[5] , \tile_x5y4_w2begb[4] , \tile_x5y4_w2begb[3] , \tile_x5y4_w2begb[2] , \tile_x5y4_w2begb[1] , \tile_x5y4_w2begb[0] }),
.bot_w2mid({ \tile_x5y4_w2beg[7] , \tile_x5y4_w2beg[6] , \tile_x5y4_w2beg[5] , \tile_x5y4_w2beg[4] , \tile_x5y4_w2beg[3] , \tile_x5y4_w2beg[2] , \tile_x5y4_w2beg[1] , \tile_x5y4_w2beg[0] }),
.bot_w6beg({ \tile_x4y4_w6beg[11] , \tile_x4y4_w6beg[10] , \tile_x4y4_w6beg[9] , \tile_x4y4_w6beg[8] , \tile_x4y4_w6beg[7] , \tile_x4y4_w6beg[6] , \tile_x4y4_w6beg[5] , \tile_x4y4_w6beg[4] , \tile_x4y4_w6beg[3] , \tile_x4y4_w6beg[2] , \tile_x4y4_w6beg[1] , \tile_x4y4_w6beg[0] }),
.bot_w6end({ \tile_x5y4_w6beg[11] , \tile_x5y4_w6beg[10] , \tile_x5y4_w6beg[9] , \tile_x5y4_w6beg[8] , \tile_x5y4_w6beg[7] , \tile_x5y4_w6beg[6] , \tile_x5y4_w6beg[5] , \tile_x5y4_w6beg[4] , \tile_x5y4_w6beg[3] , \tile_x5y4_w6beg[2] , \tile_x5y4_w6beg[1] , \tile_x5y4_w6beg[0] }),
.bot_ww4beg({ \tile_x4y4_ww4beg[15] , \tile_x4y4_ww4beg[14] , \tile_x4y4_ww4beg[13] , \tile_x4y4_ww4beg[12] , \tile_x4y4_ww4beg[11] , \tile_x4y4_ww4beg[10] , \tile_x4y4_ww4beg[9] , \tile_x4y4_ww4beg[8] , \tile_x4y4_ww4beg[7] , \tile_x4y4_ww4beg[6] , \tile_x4y4_ww4beg[5] , \tile_x4y4_ww4beg[4] , \tile_x4y4_ww4beg[3] , \tile_x4y4_ww4beg[2] , \tile_x4y4_ww4beg[1] , \tile_x4y4_ww4beg[0] }),
.bot_ww4end({ \tile_x5y4_ww4beg[15] , \tile_x5y4_ww4beg[14] , \tile_x5y4_ww4beg[13] , \tile_x5y4_ww4beg[12] , \tile_x5y4_ww4beg[11] , \tile_x5y4_ww4beg[10] , \tile_x5y4_ww4beg[9] , \tile_x5y4_ww4beg[8] , \tile_x5y4_ww4beg[7] , \tile_x5y4_ww4beg[6] , \tile_x5y4_ww4beg[5] , \tile_x5y4_ww4beg[4] , \tile_x5y4_ww4beg[3] , \tile_x5y4_ww4beg[2] , \tile_x5y4_ww4beg[1] , \tile_x5y4_ww4beg[0] }),
.top_e1beg({ \tile_x4y3_e1beg[3] , \tile_x4y3_e1beg[2] , \tile_x4y3_e1beg[1] , \tile_x4y3_e1beg[0] }),
.top_e1end({ \tile_x3y3_e1beg[3] , \tile_x3y3_e1beg[2] , \tile_x3y3_e1beg[1] , \tile_x3y3_e1beg[0] }),
.top_e2beg({ \tile_x4y3_e2beg[7] , \tile_x4y3_e2beg[6] , \tile_x4y3_e2beg[5] , \tile_x4y3_e2beg[4] , \tile_x4y3_e2beg[3] , \tile_x4y3_e2beg[2] , \tile_x4y3_e2beg[1] , \tile_x4y3_e2beg[0] }),
.top_e2begb({ \tile_x4y3_e2begb[7] , \tile_x4y3_e2begb[6] , \tile_x4y3_e2begb[5] , \tile_x4y3_e2begb[4] , \tile_x4y3_e2begb[3] , \tile_x4y3_e2begb[2] , \tile_x4y3_e2begb[1] , \tile_x4y3_e2begb[0] }),
.top_e2end({ \tile_x3y3_e2begb[7] , \tile_x3y3_e2begb[6] , \tile_x3y3_e2begb[5] , \tile_x3y3_e2begb[4] , \tile_x3y3_e2begb[3] , \tile_x3y3_e2begb[2] , \tile_x3y3_e2begb[1] , \tile_x3y3_e2begb[0] }),
.top_e2mid({ \tile_x3y3_e2beg[7] , \tile_x3y3_e2beg[6] , \tile_x3y3_e2beg[5] , \tile_x3y3_e2beg[4] , \tile_x3y3_e2beg[3] , \tile_x3y3_e2beg[2] , \tile_x3y3_e2beg[1] , \tile_x3y3_e2beg[0] }),
.top_e6beg({ \tile_x4y3_e6beg[11] , \tile_x4y3_e6beg[10] , \tile_x4y3_e6beg[9] , \tile_x4y3_e6beg[8] , \tile_x4y3_e6beg[7] , \tile_x4y3_e6beg[6] , \tile_x4y3_e6beg[5] , \tile_x4y3_e6beg[4] , \tile_x4y3_e6beg[3] , \tile_x4y3_e6beg[2] , \tile_x4y3_e6beg[1] , \tile_x4y3_e6beg[0] }),
.top_e6end({ \tile_x3y3_e6beg[11] , \tile_x3y3_e6beg[10] , \tile_x3y3_e6beg[9] , \tile_x3y3_e6beg[8] , \tile_x3y3_e6beg[7] , \tile_x3y3_e6beg[6] , \tile_x3y3_e6beg[5] , \tile_x3y3_e6beg[4] , \tile_x3y3_e6beg[3] , \tile_x3y3_e6beg[2] , \tile_x3y3_e6beg[1] , \tile_x3y3_e6beg[0] }),
.top_ee4beg({ \tile_x4y3_ee4beg[15] , \tile_x4y3_ee4beg[14] , \tile_x4y3_ee4beg[13] , \tile_x4y3_ee4beg[12] , \tile_x4y3_ee4beg[11] , \tile_x4y3_ee4beg[10] , \tile_x4y3_ee4beg[9] , \tile_x4y3_ee4beg[8] , \tile_x4y3_ee4beg[7] , \tile_x4y3_ee4beg[6] , \tile_x4y3_ee4beg[5] , \tile_x4y3_ee4beg[4] , \tile_x4y3_ee4beg[3] , \tile_x4y3_ee4beg[2] , \tile_x4y3_ee4beg[1] , \tile_x4y3_ee4beg[0] }),
.top_ee4end({ \tile_x3y3_ee4beg[15] , \tile_x3y3_ee4beg[14] , \tile_x3y3_ee4beg[13] , \tile_x3y3_ee4beg[12] , \tile_x3y3_ee4beg[11] , \tile_x3y3_ee4beg[10] , \tile_x3y3_ee4beg[9] , \tile_x3y3_ee4beg[8] , \tile_x3y3_ee4beg[7] , \tile_x3y3_ee4beg[6] , \tile_x3y3_ee4beg[5] , \tile_x3y3_ee4beg[4] , \tile_x3y3_ee4beg[3] , \tile_x3y3_ee4beg[2] , \tile_x3y3_ee4beg[1] , \tile_x3y3_ee4beg[0] }),
.top_framedata({ \tile_x3y3_framedata_o[31] , \tile_x3y3_framedata_o[30] , \tile_x3y3_framedata_o[29] , \tile_x3y3_framedata_o[28] , \tile_x3y3_framedata_o[27] , \tile_x3y3_framedata_o[26] , \tile_x3y3_framedata_o[25] , \tile_x3y3_framedata_o[24] , \tile_x3y3_framedata_o[23] , \tile_x3y3_framedata_o[22] , \tile_x3y3_framedata_o[21] , \tile_x3y3_framedata_o[20] , \tile_x3y3_framedata_o[19] , \tile_x3y3_framedata_o[18] , \tile_x3y3_framedata_o[17] , \tile_x3y3_framedata_o[16] , \tile_x3y3_framedata_o[15] , \tile_x3y3_framedata_o[14] , \tile_x3y3_framedata_o[13] , \tile_x3y3_framedata_o[12] , \tile_x3y3_framedata_o[11] , \tile_x3y3_framedata_o[10] , \tile_x3y3_framedata_o[9] , \tile_x3y3_framedata_o[8] , \tile_x3y3_framedata_o[7] , \tile_x3y3_framedata_o[6] , \tile_x3y3_framedata_o[5] , \tile_x3y3_framedata_o[4] , \tile_x3y3_framedata_o[3] , \tile_x3y3_framedata_o[2] , \tile_x3y3_framedata_o[1] , \tile_x3y3_framedata_o[0] }),
.top_framedata_o({ \tile_x4y3_framedata_o[31] , \tile_x4y3_framedata_o[30] , \tile_x4y3_framedata_o[29] , \tile_x4y3_framedata_o[28] , \tile_x4y3_framedata_o[27] , \tile_x4y3_framedata_o[26] , \tile_x4y3_framedata_o[25] , \tile_x4y3_framedata_o[24] , \tile_x4y3_framedata_o[23] , \tile_x4y3_framedata_o[22] , \tile_x4y3_framedata_o[21] , \tile_x4y3_framedata_o[20] , \tile_x4y3_framedata_o[19] , \tile_x4y3_framedata_o[18] , \tile_x4y3_framedata_o[17] , \tile_x4y3_framedata_o[16] , \tile_x4y3_framedata_o[15] , \tile_x4y3_framedata_o[14] , \tile_x4y3_framedata_o[13] , \tile_x4y3_framedata_o[12] , \tile_x4y3_framedata_o[11] , \tile_x4y3_framedata_o[10] , \tile_x4y3_framedata_o[9] , \tile_x4y3_framedata_o[8] , \tile_x4y3_framedata_o[7] , \tile_x4y3_framedata_o[6] , \tile_x4y3_framedata_o[5] , \tile_x4y3_framedata_o[4] , \tile_x4y3_framedata_o[3] , \tile_x4y3_framedata_o[2] , \tile_x4y3_framedata_o[1] , \tile_x4y3_framedata_o[0] }),
.top_n1beg({ \tile_x4y3_n1beg[3] , \tile_x4y3_n1beg[2] , \tile_x4y3_n1beg[1] , \tile_x4y3_n1beg[0] }),
.top_n2beg({ \tile_x4y3_n2beg[7] , \tile_x4y3_n2beg[6] , \tile_x4y3_n2beg[5] , \tile_x4y3_n2beg[4] , \tile_x4y3_n2beg[3] , \tile_x4y3_n2beg[2] , \tile_x4y3_n2beg[1] , \tile_x4y3_n2beg[0] }),
.top_n2begb({ \tile_x4y3_n2begb[7] , \tile_x4y3_n2begb[6] , \tile_x4y3_n2begb[5] , \tile_x4y3_n2begb[4] , \tile_x4y3_n2begb[3] , \tile_x4y3_n2begb[2] , \tile_x4y3_n2begb[1] , \tile_x4y3_n2begb[0] }),
.top_n4beg({ \tile_x4y3_n4beg[15] , \tile_x4y3_n4beg[14] , \tile_x4y3_n4beg[13] , \tile_x4y3_n4beg[12] , \tile_x4y3_n4beg[11] , \tile_x4y3_n4beg[10] , \tile_x4y3_n4beg[9] , \tile_x4y3_n4beg[8] , \tile_x4y3_n4beg[7] , \tile_x4y3_n4beg[6] , \tile_x4y3_n4beg[5] , \tile_x4y3_n4beg[4] , \tile_x4y3_n4beg[3] , \tile_x4y3_n4beg[2] , \tile_x4y3_n4beg[1] , \tile_x4y3_n4beg[0] }),
.top_nn4beg({ \tile_x4y3_nn4beg[15] , \tile_x4y3_nn4beg[14] , \tile_x4y3_nn4beg[13] , \tile_x4y3_nn4beg[12] , \tile_x4y3_nn4beg[11] , \tile_x4y3_nn4beg[10] , \tile_x4y3_nn4beg[9] , \tile_x4y3_nn4beg[8] , \tile_x4y3_nn4beg[7] , \tile_x4y3_nn4beg[6] , \tile_x4y3_nn4beg[5] , \tile_x4y3_nn4beg[4] , \tile_x4y3_nn4beg[3] , \tile_x4y3_nn4beg[2] , \tile_x4y3_nn4beg[1] , \tile_x4y3_nn4beg[0] }),
.top_s1end({ \tile_x4y2_s1beg[3] , \tile_x4y2_s1beg[2] , \tile_x4y2_s1beg[1] , \tile_x4y2_s1beg[0] }),
.top_s2end({ \tile_x4y2_s2begb[7] , \tile_x4y2_s2begb[6] , \tile_x4y2_s2begb[5] , \tile_x4y2_s2begb[4] , \tile_x4y2_s2begb[3] , \tile_x4y2_s2begb[2] , \tile_x4y2_s2begb[1] , \tile_x4y2_s2begb[0] }),
.top_s2mid({ \tile_x4y2_s2beg[7] , \tile_x4y2_s2beg[6] , \tile_x4y2_s2beg[5] , \tile_x4y2_s2beg[4] , \tile_x4y2_s2beg[3] , \tile_x4y2_s2beg[2] , \tile_x4y2_s2beg[1] , \tile_x4y2_s2beg[0] }),
.top_s4end({ \tile_x4y2_s4beg[15] , \tile_x4y2_s4beg[14] , \tile_x4y2_s4beg[13] , \tile_x4y2_s4beg[12] , \tile_x4y2_s4beg[11] , \tile_x4y2_s4beg[10] , \tile_x4y2_s4beg[9] , \tile_x4y2_s4beg[8] , \tile_x4y2_s4beg[7] , \tile_x4y2_s4beg[6] , \tile_x4y2_s4beg[5] , \tile_x4y2_s4beg[4] , \tile_x4y2_s4beg[3] , \tile_x4y2_s4beg[2] , \tile_x4y2_s4beg[1] , \tile_x4y2_s4beg[0] }),
.top_ss4end({ \tile_x4y2_ss4beg[15] , \tile_x4y2_ss4beg[14] , \tile_x4y2_ss4beg[13] , \tile_x4y2_ss4beg[12] , \tile_x4y2_ss4beg[11] , \tile_x4y2_ss4beg[10] , \tile_x4y2_ss4beg[9] , \tile_x4y2_ss4beg[8] , \tile_x4y2_ss4beg[7] , \tile_x4y2_ss4beg[6] , \tile_x4y2_ss4beg[5] , \tile_x4y2_ss4beg[4] , \tile_x4y2_ss4beg[3] , \tile_x4y2_ss4beg[2] , \tile_x4y2_ss4beg[1] , \tile_x4y2_ss4beg[0] }),
.top_w1beg({ \tile_x4y3_w1beg[3] , \tile_x4y3_w1beg[2] , \tile_x4y3_w1beg[1] , \tile_x4y3_w1beg[0] }),
.top_w1end({ \tile_x5y3_w1beg[3] , \tile_x5y3_w1beg[2] , \tile_x5y3_w1beg[1] , \tile_x5y3_w1beg[0] }),
.top_w2beg({ \tile_x4y3_w2beg[7] , \tile_x4y3_w2beg[6] , \tile_x4y3_w2beg[5] , \tile_x4y3_w2beg[4] , \tile_x4y3_w2beg[3] , \tile_x4y3_w2beg[2] , \tile_x4y3_w2beg[1] , \tile_x4y3_w2beg[0] }),
.top_w2begb({ \tile_x4y3_w2begb[7] , \tile_x4y3_w2begb[6] , \tile_x4y3_w2begb[5] , \tile_x4y3_w2begb[4] , \tile_x4y3_w2begb[3] , \tile_x4y3_w2begb[2] , \tile_x4y3_w2begb[1] , \tile_x4y3_w2begb[0] }),
.top_w2end({ \tile_x5y3_w2begb[7] , \tile_x5y3_w2begb[6] , \tile_x5y3_w2begb[5] , \tile_x5y3_w2begb[4] , \tile_x5y3_w2begb[3] , \tile_x5y3_w2begb[2] , \tile_x5y3_w2begb[1] , \tile_x5y3_w2begb[0] }),
.top_w2mid({ \tile_x5y3_w2beg[7] , \tile_x5y3_w2beg[6] , \tile_x5y3_w2beg[5] , \tile_x5y3_w2beg[4] , \tile_x5y3_w2beg[3] , \tile_x5y3_w2beg[2] , \tile_x5y3_w2beg[1] , \tile_x5y3_w2beg[0] }),
.top_w6beg({ \tile_x4y3_w6beg[11] , \tile_x4y3_w6beg[10] , \tile_x4y3_w6beg[9] , \tile_x4y3_w6beg[8] , \tile_x4y3_w6beg[7] , \tile_x4y3_w6beg[6] , \tile_x4y3_w6beg[5] , \tile_x4y3_w6beg[4] , \tile_x4y3_w6beg[3] , \tile_x4y3_w6beg[2] , \tile_x4y3_w6beg[1] , \tile_x4y3_w6beg[0] }),
.top_w6end({ \tile_x5y3_w6beg[11] , \tile_x5y3_w6beg[10] , \tile_x5y3_w6beg[9] , \tile_x5y3_w6beg[8] , \tile_x5y3_w6beg[7] , \tile_x5y3_w6beg[6] , \tile_x5y3_w6beg[5] , \tile_x5y3_w6beg[4] , \tile_x5y3_w6beg[3] , \tile_x5y3_w6beg[2] , \tile_x5y3_w6beg[1] , \tile_x5y3_w6beg[0] }),
.top_ww4beg({ \tile_x4y3_ww4beg[15] , \tile_x4y3_ww4beg[14] , \tile_x4y3_ww4beg[13] , \tile_x4y3_ww4beg[12] , \tile_x4y3_ww4beg[11] , \tile_x4y3_ww4beg[10] , \tile_x4y3_ww4beg[9] , \tile_x4y3_ww4beg[8] , \tile_x4y3_ww4beg[7] , \tile_x4y3_ww4beg[6] , \tile_x4y3_ww4beg[5] , \tile_x4y3_ww4beg[4] , \tile_x4y3_ww4beg[3] , \tile_x4y3_ww4beg[2] , \tile_x4y3_ww4beg[1] , \tile_x4y3_ww4beg[0] }),
.top_ww4end({ \tile_x5y3_ww4beg[15] , \tile_x5y3_ww4beg[14] , \tile_x5y3_ww4beg[13] , \tile_x5y3_ww4beg[12] , \tile_x5y3_ww4beg[11] , \tile_x5y3_ww4beg[10] , \tile_x5y3_ww4beg[9] , \tile_x5y3_ww4beg[8] , \tile_x5y3_ww4beg[7] , \tile_x5y3_ww4beg[6] , \tile_x5y3_ww4beg[5] , \tile_x5y3_ww4beg[4] , \tile_x5y3_ww4beg[3] , \tile_x5y3_ww4beg[2] , \tile_x5y3_ww4beg[1] , \tile_x5y3_ww4beg[0] })
);
dsp tile_x4y5_x4y6_dsp_tile (
.framestrobe({ \tile_x4y7_framestrobe_o[19] , \tile_x4y7_framestrobe_o[18] , \tile_x4y7_framestrobe_o[17] , \tile_x4y7_framestrobe_o[16] , \tile_x4y7_framestrobe_o[15] , \tile_x4y7_framestrobe_o[14] , \tile_x4y7_framestrobe_o[13] , \tile_x4y7_framestrobe_o[12] , \tile_x4y7_framestrobe_o[11] , \tile_x4y7_framestrobe_o[10] , \tile_x4y7_framestrobe_o[9] , \tile_x4y7_framestrobe_o[8] , \tile_x4y7_framestrobe_o[7] , \tile_x4y7_framestrobe_o[6] , \tile_x4y7_framestrobe_o[5] , \tile_x4y7_framestrobe_o[4] , \tile_x4y7_framestrobe_o[3] , \tile_x4y7_framestrobe_o[2] , \tile_x4y7_framestrobe_o[1] , \tile_x4y7_framestrobe_o[0] }),
.framestrobe_o({ \tile_x4y5_framestrobe_o[19] , \tile_x4y5_framestrobe_o[18] , \tile_x4y5_framestrobe_o[17] , \tile_x4y5_framestrobe_o[16] , \tile_x4y5_framestrobe_o[15] , \tile_x4y5_framestrobe_o[14] , \tile_x4y5_framestrobe_o[13] , \tile_x4y5_framestrobe_o[12] , \tile_x4y5_framestrobe_o[11] , \tile_x4y5_framestrobe_o[10] , \tile_x4y5_framestrobe_o[9] , \tile_x4y5_framestrobe_o[8] , \tile_x4y5_framestrobe_o[7] , \tile_x4y5_framestrobe_o[6] , \tile_x4y5_framestrobe_o[5] , \tile_x4y5_framestrobe_o[4] , \tile_x4y5_framestrobe_o[3] , \tile_x4y5_framestrobe_o[2] , \tile_x4y5_framestrobe_o[1] , \tile_x4y5_framestrobe_o[0] }),
.userclk(tile_x4y7_userclko),
.userclko(tile_x4y5_userclko),
.bot_e1beg({ \tile_x4y6_e1beg[3] , \tile_x4y6_e1beg[2] , \tile_x4y6_e1beg[1] , \tile_x4y6_e1beg[0] }),
.bot_e1end({ \tile_x3y6_e1beg[3] , \tile_x3y6_e1beg[2] , \tile_x3y6_e1beg[1] , \tile_x3y6_e1beg[0] }),
.bot_e2beg({ \tile_x4y6_e2beg[7] , \tile_x4y6_e2beg[6] , \tile_x4y6_e2beg[5] , \tile_x4y6_e2beg[4] , \tile_x4y6_e2beg[3] , \tile_x4y6_e2beg[2] , \tile_x4y6_e2beg[1] , \tile_x4y6_e2beg[0] }),
.bot_e2begb({ \tile_x4y6_e2begb[7] , \tile_x4y6_e2begb[6] , \tile_x4y6_e2begb[5] , \tile_x4y6_e2begb[4] , \tile_x4y6_e2begb[3] , \tile_x4y6_e2begb[2] , \tile_x4y6_e2begb[1] , \tile_x4y6_e2begb[0] }),
.bot_e2end({ \tile_x3y6_e2begb[7] , \tile_x3y6_e2begb[6] , \tile_x3y6_e2begb[5] , \tile_x3y6_e2begb[4] , \tile_x3y6_e2begb[3] , \tile_x3y6_e2begb[2] , \tile_x3y6_e2begb[1] , \tile_x3y6_e2begb[0] }),
.bot_e2mid({ \tile_x3y6_e2beg[7] , \tile_x3y6_e2beg[6] , \tile_x3y6_e2beg[5] , \tile_x3y6_e2beg[4] , \tile_x3y6_e2beg[3] , \tile_x3y6_e2beg[2] , \tile_x3y6_e2beg[1] , \tile_x3y6_e2beg[0] }),
.bot_e6beg({ \tile_x4y6_e6beg[11] , \tile_x4y6_e6beg[10] , \tile_x4y6_e6beg[9] , \tile_x4y6_e6beg[8] , \tile_x4y6_e6beg[7] , \tile_x4y6_e6beg[6] , \tile_x4y6_e6beg[5] , \tile_x4y6_e6beg[4] , \tile_x4y6_e6beg[3] , \tile_x4y6_e6beg[2] , \tile_x4y6_e6beg[1] , \tile_x4y6_e6beg[0] }),
.bot_e6end({ \tile_x3y6_e6beg[11] , \tile_x3y6_e6beg[10] , \tile_x3y6_e6beg[9] , \tile_x3y6_e6beg[8] , \tile_x3y6_e6beg[7] , \tile_x3y6_e6beg[6] , \tile_x3y6_e6beg[5] , \tile_x3y6_e6beg[4] , \tile_x3y6_e6beg[3] , \tile_x3y6_e6beg[2] , \tile_x3y6_e6beg[1] , \tile_x3y6_e6beg[0] }),
.bot_ee4beg({ \tile_x4y6_ee4beg[15] , \tile_x4y6_ee4beg[14] , \tile_x4y6_ee4beg[13] , \tile_x4y6_ee4beg[12] , \tile_x4y6_ee4beg[11] , \tile_x4y6_ee4beg[10] , \tile_x4y6_ee4beg[9] , \tile_x4y6_ee4beg[8] , \tile_x4y6_ee4beg[7] , \tile_x4y6_ee4beg[6] , \tile_x4y6_ee4beg[5] , \tile_x4y6_ee4beg[4] , \tile_x4y6_ee4beg[3] , \tile_x4y6_ee4beg[2] , \tile_x4y6_ee4beg[1] , \tile_x4y6_ee4beg[0] }),
.bot_ee4end({ \tile_x3y6_ee4beg[15] , \tile_x3y6_ee4beg[14] , \tile_x3y6_ee4beg[13] , \tile_x3y6_ee4beg[12] , \tile_x3y6_ee4beg[11] , \tile_x3y6_ee4beg[10] , \tile_x3y6_ee4beg[9] , \tile_x3y6_ee4beg[8] , \tile_x3y6_ee4beg[7] , \tile_x3y6_ee4beg[6] , \tile_x3y6_ee4beg[5] , \tile_x3y6_ee4beg[4] , \tile_x3y6_ee4beg[3] , \tile_x3y6_ee4beg[2] , \tile_x3y6_ee4beg[1] , \tile_x3y6_ee4beg[0] }),
.bot_framedata({ \tile_x3y6_framedata_o[31] , \tile_x3y6_framedata_o[30] , \tile_x3y6_framedata_o[29] , \tile_x3y6_framedata_o[28] , \tile_x3y6_framedata_o[27] , \tile_x3y6_framedata_o[26] , \tile_x3y6_framedata_o[25] , \tile_x3y6_framedata_o[24] , \tile_x3y6_framedata_o[23] , \tile_x3y6_framedata_o[22] , \tile_x3y6_framedata_o[21] , \tile_x3y6_framedata_o[20] , \tile_x3y6_framedata_o[19] , \tile_x3y6_framedata_o[18] , \tile_x3y6_framedata_o[17] , \tile_x3y6_framedata_o[16] , \tile_x3y6_framedata_o[15] , \tile_x3y6_framedata_o[14] , \tile_x3y6_framedata_o[13] , \tile_x3y6_framedata_o[12] , \tile_x3y6_framedata_o[11] , \tile_x3y6_framedata_o[10] , \tile_x3y6_framedata_o[9] , \tile_x3y6_framedata_o[8] , \tile_x3y6_framedata_o[7] , \tile_x3y6_framedata_o[6] , \tile_x3y6_framedata_o[5] , \tile_x3y6_framedata_o[4] , \tile_x3y6_framedata_o[3] , \tile_x3y6_framedata_o[2] , \tile_x3y6_framedata_o[1] , \tile_x3y6_framedata_o[0] }),
.bot_framedata_o({ \tile_x4y6_framedata_o[31] , \tile_x4y6_framedata_o[30] , \tile_x4y6_framedata_o[29] , \tile_x4y6_framedata_o[28] , \tile_x4y6_framedata_o[27] , \tile_x4y6_framedata_o[26] , \tile_x4y6_framedata_o[25] , \tile_x4y6_framedata_o[24] , \tile_x4y6_framedata_o[23] , \tile_x4y6_framedata_o[22] , \tile_x4y6_framedata_o[21] , \tile_x4y6_framedata_o[20] , \tile_x4y6_framedata_o[19] , \tile_x4y6_framedata_o[18] , \tile_x4y6_framedata_o[17] , \tile_x4y6_framedata_o[16] , \tile_x4y6_framedata_o[15] , \tile_x4y6_framedata_o[14] , \tile_x4y6_framedata_o[13] , \tile_x4y6_framedata_o[12] , \tile_x4y6_framedata_o[11] , \tile_x4y6_framedata_o[10] , \tile_x4y6_framedata_o[9] , \tile_x4y6_framedata_o[8] , \tile_x4y6_framedata_o[7] , \tile_x4y6_framedata_o[6] , \tile_x4y6_framedata_o[5] , \tile_x4y6_framedata_o[4] , \tile_x4y6_framedata_o[3] , \tile_x4y6_framedata_o[2] , \tile_x4y6_framedata_o[1] , \tile_x4y6_framedata_o[0] }),
.bot_n1end({ \tile_x4y7_n1beg[3] , \tile_x4y7_n1beg[2] , \tile_x4y7_n1beg[1] , \tile_x4y7_n1beg[0] }),
.bot_n2end({ \tile_x4y7_n2begb[7] , \tile_x4y7_n2begb[6] , \tile_x4y7_n2begb[5] , \tile_x4y7_n2begb[4] , \tile_x4y7_n2begb[3] , \tile_x4y7_n2begb[2] , \tile_x4y7_n2begb[1] , \tile_x4y7_n2begb[0] }),
.bot_n2mid({ \tile_x4y7_n2beg[7] , \tile_x4y7_n2beg[6] , \tile_x4y7_n2beg[5] , \tile_x4y7_n2beg[4] , \tile_x4y7_n2beg[3] , \tile_x4y7_n2beg[2] , \tile_x4y7_n2beg[1] , \tile_x4y7_n2beg[0] }),
.bot_n4end({ \tile_x4y7_n4beg[15] , \tile_x4y7_n4beg[14] , \tile_x4y7_n4beg[13] , \tile_x4y7_n4beg[12] , \tile_x4y7_n4beg[11] , \tile_x4y7_n4beg[10] , \tile_x4y7_n4beg[9] , \tile_x4y7_n4beg[8] , \tile_x4y7_n4beg[7] , \tile_x4y7_n4beg[6] , \tile_x4y7_n4beg[5] , \tile_x4y7_n4beg[4] , \tile_x4y7_n4beg[3] , \tile_x4y7_n4beg[2] , \tile_x4y7_n4beg[1] , \tile_x4y7_n4beg[0] }),
.bot_nn4end({ \tile_x4y7_nn4beg[15] , \tile_x4y7_nn4beg[14] , \tile_x4y7_nn4beg[13] , \tile_x4y7_nn4beg[12] , \tile_x4y7_nn4beg[11] , \tile_x4y7_nn4beg[10] , \tile_x4y7_nn4beg[9] , \tile_x4y7_nn4beg[8] , \tile_x4y7_nn4beg[7] , \tile_x4y7_nn4beg[6] , \tile_x4y7_nn4beg[5] , \tile_x4y7_nn4beg[4] , \tile_x4y7_nn4beg[3] , \tile_x4y7_nn4beg[2] , \tile_x4y7_nn4beg[1] , \tile_x4y7_nn4beg[0] }),
.bot_s1beg({ \tile_x4y6_s1beg[3] , \tile_x4y6_s1beg[2] , \tile_x4y6_s1beg[1] , \tile_x4y6_s1beg[0] }),
.bot_s2beg({ \tile_x4y6_s2beg[7] , \tile_x4y6_s2beg[6] , \tile_x4y6_s2beg[5] , \tile_x4y6_s2beg[4] , \tile_x4y6_s2beg[3] , \tile_x4y6_s2beg[2] , \tile_x4y6_s2beg[1] , \tile_x4y6_s2beg[0] }),
.bot_s2begb({ \tile_x4y6_s2begb[7] , \tile_x4y6_s2begb[6] , \tile_x4y6_s2begb[5] , \tile_x4y6_s2begb[4] , \tile_x4y6_s2begb[3] , \tile_x4y6_s2begb[2] , \tile_x4y6_s2begb[1] , \tile_x4y6_s2begb[0] }),
.bot_s4beg({ \tile_x4y6_s4beg[15] , \tile_x4y6_s4beg[14] , \tile_x4y6_s4beg[13] , \tile_x4y6_s4beg[12] , \tile_x4y6_s4beg[11] , \tile_x4y6_s4beg[10] , \tile_x4y6_s4beg[9] , \tile_x4y6_s4beg[8] , \tile_x4y6_s4beg[7] , \tile_x4y6_s4beg[6] , \tile_x4y6_s4beg[5] , \tile_x4y6_s4beg[4] , \tile_x4y6_s4beg[3] , \tile_x4y6_s4beg[2] , \tile_x4y6_s4beg[1] , \tile_x4y6_s4beg[0] }),
.bot_ss4beg({ \tile_x4y6_ss4beg[15] , \tile_x4y6_ss4beg[14] , \tile_x4y6_ss4beg[13] , \tile_x4y6_ss4beg[12] , \tile_x4y6_ss4beg[11] , \tile_x4y6_ss4beg[10] , \tile_x4y6_ss4beg[9] , \tile_x4y6_ss4beg[8] , \tile_x4y6_ss4beg[7] , \tile_x4y6_ss4beg[6] , \tile_x4y6_ss4beg[5] , \tile_x4y6_ss4beg[4] , \tile_x4y6_ss4beg[3] , \tile_x4y6_ss4beg[2] , \tile_x4y6_ss4beg[1] , \tile_x4y6_ss4beg[0] }),
.bot_w1beg({ \tile_x4y6_w1beg[3] , \tile_x4y6_w1beg[2] , \tile_x4y6_w1beg[1] , \tile_x4y6_w1beg[0] }),
.bot_w1end({ \tile_x5y6_w1beg[3] , \tile_x5y6_w1beg[2] , \tile_x5y6_w1beg[1] , \tile_x5y6_w1beg[0] }),
.bot_w2beg({ \tile_x4y6_w2beg[7] , \tile_x4y6_w2beg[6] , \tile_x4y6_w2beg[5] , \tile_x4y6_w2beg[4] , \tile_x4y6_w2beg[3] , \tile_x4y6_w2beg[2] , \tile_x4y6_w2beg[1] , \tile_x4y6_w2beg[0] }),
.bot_w2begb({ \tile_x4y6_w2begb[7] , \tile_x4y6_w2begb[6] , \tile_x4y6_w2begb[5] , \tile_x4y6_w2begb[4] , \tile_x4y6_w2begb[3] , \tile_x4y6_w2begb[2] , \tile_x4y6_w2begb[1] , \tile_x4y6_w2begb[0] }),
.bot_w2end({ \tile_x5y6_w2begb[7] , \tile_x5y6_w2begb[6] , \tile_x5y6_w2begb[5] , \tile_x5y6_w2begb[4] , \tile_x5y6_w2begb[3] , \tile_x5y6_w2begb[2] , \tile_x5y6_w2begb[1] , \tile_x5y6_w2begb[0] }),
.bot_w2mid({ \tile_x5y6_w2beg[7] , \tile_x5y6_w2beg[6] , \tile_x5y6_w2beg[5] , \tile_x5y6_w2beg[4] , \tile_x5y6_w2beg[3] , \tile_x5y6_w2beg[2] , \tile_x5y6_w2beg[1] , \tile_x5y6_w2beg[0] }),
.bot_w6beg({ \tile_x4y6_w6beg[11] , \tile_x4y6_w6beg[10] , \tile_x4y6_w6beg[9] , \tile_x4y6_w6beg[8] , \tile_x4y6_w6beg[7] , \tile_x4y6_w6beg[6] , \tile_x4y6_w6beg[5] , \tile_x4y6_w6beg[4] , \tile_x4y6_w6beg[3] , \tile_x4y6_w6beg[2] , \tile_x4y6_w6beg[1] , \tile_x4y6_w6beg[0] }),
.bot_w6end({ \tile_x5y6_w6beg[11] , \tile_x5y6_w6beg[10] , \tile_x5y6_w6beg[9] , \tile_x5y6_w6beg[8] , \tile_x5y6_w6beg[7] , \tile_x5y6_w6beg[6] , \tile_x5y6_w6beg[5] , \tile_x5y6_w6beg[4] , \tile_x5y6_w6beg[3] , \tile_x5y6_w6beg[2] , \tile_x5y6_w6beg[1] , \tile_x5y6_w6beg[0] }),
.bot_ww4beg({ \tile_x4y6_ww4beg[15] , \tile_x4y6_ww4beg[14] , \tile_x4y6_ww4beg[13] , \tile_x4y6_ww4beg[12] , \tile_x4y6_ww4beg[11] , \tile_x4y6_ww4beg[10] , \tile_x4y6_ww4beg[9] , \tile_x4y6_ww4beg[8] , \tile_x4y6_ww4beg[7] , \tile_x4y6_ww4beg[6] , \tile_x4y6_ww4beg[5] , \tile_x4y6_ww4beg[4] , \tile_x4y6_ww4beg[3] , \tile_x4y6_ww4beg[2] , \tile_x4y6_ww4beg[1] , \tile_x4y6_ww4beg[0] }),
.bot_ww4end({ \tile_x5y6_ww4beg[15] , \tile_x5y6_ww4beg[14] , \tile_x5y6_ww4beg[13] , \tile_x5y6_ww4beg[12] , \tile_x5y6_ww4beg[11] , \tile_x5y6_ww4beg[10] , \tile_x5y6_ww4beg[9] , \tile_x5y6_ww4beg[8] , \tile_x5y6_ww4beg[7] , \tile_x5y6_ww4beg[6] , \tile_x5y6_ww4beg[5] , \tile_x5y6_ww4beg[4] , \tile_x5y6_ww4beg[3] , \tile_x5y6_ww4beg[2] , \tile_x5y6_ww4beg[1] , \tile_x5y6_ww4beg[0] }),
.top_e1beg({ \tile_x4y5_e1beg[3] , \tile_x4y5_e1beg[2] , \tile_x4y5_e1beg[1] , \tile_x4y5_e1beg[0] }),
.top_e1end({ \tile_x3y5_e1beg[3] , \tile_x3y5_e1beg[2] , \tile_x3y5_e1beg[1] , \tile_x3y5_e1beg[0] }),
.top_e2beg({ \tile_x4y5_e2beg[7] , \tile_x4y5_e2beg[6] , \tile_x4y5_e2beg[5] , \tile_x4y5_e2beg[4] , \tile_x4y5_e2beg[3] , \tile_x4y5_e2beg[2] , \tile_x4y5_e2beg[1] , \tile_x4y5_e2beg[0] }),
.top_e2begb({ \tile_x4y5_e2begb[7] , \tile_x4y5_e2begb[6] , \tile_x4y5_e2begb[5] , \tile_x4y5_e2begb[4] , \tile_x4y5_e2begb[3] , \tile_x4y5_e2begb[2] , \tile_x4y5_e2begb[1] , \tile_x4y5_e2begb[0] }),
.top_e2end({ \tile_x3y5_e2begb[7] , \tile_x3y5_e2begb[6] , \tile_x3y5_e2begb[5] , \tile_x3y5_e2begb[4] , \tile_x3y5_e2begb[3] , \tile_x3y5_e2begb[2] , \tile_x3y5_e2begb[1] , \tile_x3y5_e2begb[0] }),
.top_e2mid({ \tile_x3y5_e2beg[7] , \tile_x3y5_e2beg[6] , \tile_x3y5_e2beg[5] , \tile_x3y5_e2beg[4] , \tile_x3y5_e2beg[3] , \tile_x3y5_e2beg[2] , \tile_x3y5_e2beg[1] , \tile_x3y5_e2beg[0] }),
.top_e6beg({ \tile_x4y5_e6beg[11] , \tile_x4y5_e6beg[10] , \tile_x4y5_e6beg[9] , \tile_x4y5_e6beg[8] , \tile_x4y5_e6beg[7] , \tile_x4y5_e6beg[6] , \tile_x4y5_e6beg[5] , \tile_x4y5_e6beg[4] , \tile_x4y5_e6beg[3] , \tile_x4y5_e6beg[2] , \tile_x4y5_e6beg[1] , \tile_x4y5_e6beg[0] }),
.top_e6end({ \tile_x3y5_e6beg[11] , \tile_x3y5_e6beg[10] , \tile_x3y5_e6beg[9] , \tile_x3y5_e6beg[8] , \tile_x3y5_e6beg[7] , \tile_x3y5_e6beg[6] , \tile_x3y5_e6beg[5] , \tile_x3y5_e6beg[4] , \tile_x3y5_e6beg[3] , \tile_x3y5_e6beg[2] , \tile_x3y5_e6beg[1] , \tile_x3y5_e6beg[0] }),
.top_ee4beg({ \tile_x4y5_ee4beg[15] , \tile_x4y5_ee4beg[14] , \tile_x4y5_ee4beg[13] , \tile_x4y5_ee4beg[12] , \tile_x4y5_ee4beg[11] , \tile_x4y5_ee4beg[10] , \tile_x4y5_ee4beg[9] , \tile_x4y5_ee4beg[8] , \tile_x4y5_ee4beg[7] , \tile_x4y5_ee4beg[6] , \tile_x4y5_ee4beg[5] , \tile_x4y5_ee4beg[4] , \tile_x4y5_ee4beg[3] , \tile_x4y5_ee4beg[2] , \tile_x4y5_ee4beg[1] , \tile_x4y5_ee4beg[0] }),
.top_ee4end({ \tile_x3y5_ee4beg[15] , \tile_x3y5_ee4beg[14] , \tile_x3y5_ee4beg[13] , \tile_x3y5_ee4beg[12] , \tile_x3y5_ee4beg[11] , \tile_x3y5_ee4beg[10] , \tile_x3y5_ee4beg[9] , \tile_x3y5_ee4beg[8] , \tile_x3y5_ee4beg[7] , \tile_x3y5_ee4beg[6] , \tile_x3y5_ee4beg[5] , \tile_x3y5_ee4beg[4] , \tile_x3y5_ee4beg[3] , \tile_x3y5_ee4beg[2] , \tile_x3y5_ee4beg[1] , \tile_x3y5_ee4beg[0] }),
.top_framedata({ \tile_x3y5_framedata_o[31] , \tile_x3y5_framedata_o[30] , \tile_x3y5_framedata_o[29] , \tile_x3y5_framedata_o[28] , \tile_x3y5_framedata_o[27] , \tile_x3y5_framedata_o[26] , \tile_x3y5_framedata_o[25] , \tile_x3y5_framedata_o[24] , \tile_x3y5_framedata_o[23] , \tile_x3y5_framedata_o[22] , \tile_x3y5_framedata_o[21] , \tile_x3y5_framedata_o[20] , \tile_x3y5_framedata_o[19] , \tile_x3y5_framedata_o[18] , \tile_x3y5_framedata_o[17] , \tile_x3y5_framedata_o[16] , \tile_x3y5_framedata_o[15] , \tile_x3y5_framedata_o[14] , \tile_x3y5_framedata_o[13] , \tile_x3y5_framedata_o[12] , \tile_x3y5_framedata_o[11] , \tile_x3y5_framedata_o[10] , \tile_x3y5_framedata_o[9] , \tile_x3y5_framedata_o[8] , \tile_x3y5_framedata_o[7] , \tile_x3y5_framedata_o[6] , \tile_x3y5_framedata_o[5] , \tile_x3y5_framedata_o[4] , \tile_x3y5_framedata_o[3] , \tile_x3y5_framedata_o[2] , \tile_x3y5_framedata_o[1] , \tile_x3y5_framedata_o[0] }),
.top_framedata_o({ \tile_x4y5_framedata_o[31] , \tile_x4y5_framedata_o[30] , \tile_x4y5_framedata_o[29] , \tile_x4y5_framedata_o[28] , \tile_x4y5_framedata_o[27] , \tile_x4y5_framedata_o[26] , \tile_x4y5_framedata_o[25] , \tile_x4y5_framedata_o[24] , \tile_x4y5_framedata_o[23] , \tile_x4y5_framedata_o[22] , \tile_x4y5_framedata_o[21] , \tile_x4y5_framedata_o[20] , \tile_x4y5_framedata_o[19] , \tile_x4y5_framedata_o[18] , \tile_x4y5_framedata_o[17] , \tile_x4y5_framedata_o[16] , \tile_x4y5_framedata_o[15] , \tile_x4y5_framedata_o[14] , \tile_x4y5_framedata_o[13] , \tile_x4y5_framedata_o[12] , \tile_x4y5_framedata_o[11] , \tile_x4y5_framedata_o[10] , \tile_x4y5_framedata_o[9] , \tile_x4y5_framedata_o[8] , \tile_x4y5_framedata_o[7] , \tile_x4y5_framedata_o[6] , \tile_x4y5_framedata_o[5] , \tile_x4y5_framedata_o[4] , \tile_x4y5_framedata_o[3] , \tile_x4y5_framedata_o[2] , \tile_x4y5_framedata_o[1] , \tile_x4y5_framedata_o[0] }),
.top_n1beg({ \tile_x4y5_n1beg[3] , \tile_x4y5_n1beg[2] , \tile_x4y5_n1beg[1] , \tile_x4y5_n1beg[0] }),
.top_n2beg({ \tile_x4y5_n2beg[7] , \tile_x4y5_n2beg[6] , \tile_x4y5_n2beg[5] , \tile_x4y5_n2beg[4] , \tile_x4y5_n2beg[3] , \tile_x4y5_n2beg[2] , \tile_x4y5_n2beg[1] , \tile_x4y5_n2beg[0] }),
.top_n2begb({ \tile_x4y5_n2begb[7] , \tile_x4y5_n2begb[6] , \tile_x4y5_n2begb[5] , \tile_x4y5_n2begb[4] , \tile_x4y5_n2begb[3] , \tile_x4y5_n2begb[2] , \tile_x4y5_n2begb[1] , \tile_x4y5_n2begb[0] }),
.top_n4beg({ \tile_x4y5_n4beg[15] , \tile_x4y5_n4beg[14] , \tile_x4y5_n4beg[13] , \tile_x4y5_n4beg[12] , \tile_x4y5_n4beg[11] , \tile_x4y5_n4beg[10] , \tile_x4y5_n4beg[9] , \tile_x4y5_n4beg[8] , \tile_x4y5_n4beg[7] , \tile_x4y5_n4beg[6] , \tile_x4y5_n4beg[5] , \tile_x4y5_n4beg[4] , \tile_x4y5_n4beg[3] , \tile_x4y5_n4beg[2] , \tile_x4y5_n4beg[1] , \tile_x4y5_n4beg[0] }),
.top_nn4beg({ \tile_x4y5_nn4beg[15] , \tile_x4y5_nn4beg[14] , \tile_x4y5_nn4beg[13] , \tile_x4y5_nn4beg[12] , \tile_x4y5_nn4beg[11] , \tile_x4y5_nn4beg[10] , \tile_x4y5_nn4beg[9] , \tile_x4y5_nn4beg[8] , \tile_x4y5_nn4beg[7] , \tile_x4y5_nn4beg[6] , \tile_x4y5_nn4beg[5] , \tile_x4y5_nn4beg[4] , \tile_x4y5_nn4beg[3] , \tile_x4y5_nn4beg[2] , \tile_x4y5_nn4beg[1] , \tile_x4y5_nn4beg[0] }),
.top_s1end({ \tile_x4y4_s1beg[3] , \tile_x4y4_s1beg[2] , \tile_x4y4_s1beg[1] , \tile_x4y4_s1beg[0] }),
.top_s2end({ \tile_x4y4_s2begb[7] , \tile_x4y4_s2begb[6] , \tile_x4y4_s2begb[5] , \tile_x4y4_s2begb[4] , \tile_x4y4_s2begb[3] , \tile_x4y4_s2begb[2] , \tile_x4y4_s2begb[1] , \tile_x4y4_s2begb[0] }),
.top_s2mid({ \tile_x4y4_s2beg[7] , \tile_x4y4_s2beg[6] , \tile_x4y4_s2beg[5] , \tile_x4y4_s2beg[4] , \tile_x4y4_s2beg[3] , \tile_x4y4_s2beg[2] , \tile_x4y4_s2beg[1] , \tile_x4y4_s2beg[0] }),
.top_s4end({ \tile_x4y4_s4beg[15] , \tile_x4y4_s4beg[14] , \tile_x4y4_s4beg[13] , \tile_x4y4_s4beg[12] , \tile_x4y4_s4beg[11] , \tile_x4y4_s4beg[10] , \tile_x4y4_s4beg[9] , \tile_x4y4_s4beg[8] , \tile_x4y4_s4beg[7] , \tile_x4y4_s4beg[6] , \tile_x4y4_s4beg[5] , \tile_x4y4_s4beg[4] , \tile_x4y4_s4beg[3] , \tile_x4y4_s4beg[2] , \tile_x4y4_s4beg[1] , \tile_x4y4_s4beg[0] }),
.top_ss4end({ \tile_x4y4_ss4beg[15] , \tile_x4y4_ss4beg[14] , \tile_x4y4_ss4beg[13] , \tile_x4y4_ss4beg[12] , \tile_x4y4_ss4beg[11] , \tile_x4y4_ss4beg[10] , \tile_x4y4_ss4beg[9] , \tile_x4y4_ss4beg[8] , \tile_x4y4_ss4beg[7] , \tile_x4y4_ss4beg[6] , \tile_x4y4_ss4beg[5] , \tile_x4y4_ss4beg[4] , \tile_x4y4_ss4beg[3] , \tile_x4y4_ss4beg[2] , \tile_x4y4_ss4beg[1] , \tile_x4y4_ss4beg[0] }),
.top_w1beg({ \tile_x4y5_w1beg[3] , \tile_x4y5_w1beg[2] , \tile_x4y5_w1beg[1] , \tile_x4y5_w1beg[0] }),
.top_w1end({ \tile_x5y5_w1beg[3] , \tile_x5y5_w1beg[2] , \tile_x5y5_w1beg[1] , \tile_x5y5_w1beg[0] }),
.top_w2beg({ \tile_x4y5_w2beg[7] , \tile_x4y5_w2beg[6] , \tile_x4y5_w2beg[5] , \tile_x4y5_w2beg[4] , \tile_x4y5_w2beg[3] , \tile_x4y5_w2beg[2] , \tile_x4y5_w2beg[1] , \tile_x4y5_w2beg[0] }),
.top_w2begb({ \tile_x4y5_w2begb[7] , \tile_x4y5_w2begb[6] , \tile_x4y5_w2begb[5] , \tile_x4y5_w2begb[4] , \tile_x4y5_w2begb[3] , \tile_x4y5_w2begb[2] , \tile_x4y5_w2begb[1] , \tile_x4y5_w2begb[0] }),
.top_w2end({ \tile_x5y5_w2begb[7] , \tile_x5y5_w2begb[6] , \tile_x5y5_w2begb[5] , \tile_x5y5_w2begb[4] , \tile_x5y5_w2begb[3] , \tile_x5y5_w2begb[2] , \tile_x5y5_w2begb[1] , \tile_x5y5_w2begb[0] }),
.top_w2mid({ \tile_x5y5_w2beg[7] , \tile_x5y5_w2beg[6] , \tile_x5y5_w2beg[5] , \tile_x5y5_w2beg[4] , \tile_x5y5_w2beg[3] , \tile_x5y5_w2beg[2] , \tile_x5y5_w2beg[1] , \tile_x5y5_w2beg[0] }),
.top_w6beg({ \tile_x4y5_w6beg[11] , \tile_x4y5_w6beg[10] , \tile_x4y5_w6beg[9] , \tile_x4y5_w6beg[8] , \tile_x4y5_w6beg[7] , \tile_x4y5_w6beg[6] , \tile_x4y5_w6beg[5] , \tile_x4y5_w6beg[4] , \tile_x4y5_w6beg[3] , \tile_x4y5_w6beg[2] , \tile_x4y5_w6beg[1] , \tile_x4y5_w6beg[0] }),
.top_w6end({ \tile_x5y5_w6beg[11] , \tile_x5y5_w6beg[10] , \tile_x5y5_w6beg[9] , \tile_x5y5_w6beg[8] , \tile_x5y5_w6beg[7] , \tile_x5y5_w6beg[6] , \tile_x5y5_w6beg[5] , \tile_x5y5_w6beg[4] , \tile_x5y5_w6beg[3] , \tile_x5y5_w6beg[2] , \tile_x5y5_w6beg[1] , \tile_x5y5_w6beg[0] }),
.top_ww4beg({ \tile_x4y5_ww4beg[15] , \tile_x4y5_ww4beg[14] , \tile_x4y5_ww4beg[13] , \tile_x4y5_ww4beg[12] , \tile_x4y5_ww4beg[11] , \tile_x4y5_ww4beg[10] , \tile_x4y5_ww4beg[9] , \tile_x4y5_ww4beg[8] , \tile_x4y5_ww4beg[7] , \tile_x4y5_ww4beg[6] , \tile_x4y5_ww4beg[5] , \tile_x4y5_ww4beg[4] , \tile_x4y5_ww4beg[3] , \tile_x4y5_ww4beg[2] , \tile_x4y5_ww4beg[1] , \tile_x4y5_ww4beg[0] }),
.top_ww4end({ \tile_x5y5_ww4beg[15] , \tile_x5y5_ww4beg[14] , \tile_x5y5_ww4beg[13] , \tile_x5y5_ww4beg[12] , \tile_x5y5_ww4beg[11] , \tile_x5y5_ww4beg[10] , \tile_x5y5_ww4beg[9] , \tile_x5y5_ww4beg[8] , \tile_x5y5_ww4beg[7] , \tile_x5y5_ww4beg[6] , \tile_x5y5_ww4beg[5] , \tile_x5y5_ww4beg[4] , \tile_x5y5_ww4beg[3] , \tile_x5y5_ww4beg[2] , \tile_x5y5_ww4beg[1] , \tile_x5y5_ww4beg[0] })
);
dsp tile_x4y7_x4y8_dsp_tile (
.framestrobe({ \tile_x4y9_framestrobe_o[19] , \tile_x4y9_framestrobe_o[18] , \tile_x4y9_framestrobe_o[17] , \tile_x4y9_framestrobe_o[16] , \tile_x4y9_framestrobe_o[15] , \tile_x4y9_framestrobe_o[14] , \tile_x4y9_framestrobe_o[13] , \tile_x4y9_framestrobe_o[12] , \tile_x4y9_framestrobe_o[11] , \tile_x4y9_framestrobe_o[10] , \tile_x4y9_framestrobe_o[9] , \tile_x4y9_framestrobe_o[8] , \tile_x4y9_framestrobe_o[7] , \tile_x4y9_framestrobe_o[6] , \tile_x4y9_framestrobe_o[5] , \tile_x4y9_framestrobe_o[4] , \tile_x4y9_framestrobe_o[3] , \tile_x4y9_framestrobe_o[2] , \tile_x4y9_framestrobe_o[1] , \tile_x4y9_framestrobe_o[0] }),
.framestrobe_o({ \tile_x4y7_framestrobe_o[19] , \tile_x4y7_framestrobe_o[18] , \tile_x4y7_framestrobe_o[17] , \tile_x4y7_framestrobe_o[16] , \tile_x4y7_framestrobe_o[15] , \tile_x4y7_framestrobe_o[14] , \tile_x4y7_framestrobe_o[13] , \tile_x4y7_framestrobe_o[12] , \tile_x4y7_framestrobe_o[11] , \tile_x4y7_framestrobe_o[10] , \tile_x4y7_framestrobe_o[9] , \tile_x4y7_framestrobe_o[8] , \tile_x4y7_framestrobe_o[7] , \tile_x4y7_framestrobe_o[6] , \tile_x4y7_framestrobe_o[5] , \tile_x4y7_framestrobe_o[4] , \tile_x4y7_framestrobe_o[3] , \tile_x4y7_framestrobe_o[2] , \tile_x4y7_framestrobe_o[1] , \tile_x4y7_framestrobe_o[0] }),
.userclk(tile_x4y9_userclko),
.userclko(tile_x4y7_userclko),
.bot_e1beg({ \tile_x4y8_e1beg[3] , \tile_x4y8_e1beg[2] , \tile_x4y8_e1beg[1] , \tile_x4y8_e1beg[0] }),
.bot_e1end({ \tile_x3y8_e1beg[3] , \tile_x3y8_e1beg[2] , \tile_x3y8_e1beg[1] , \tile_x3y8_e1beg[0] }),
.bot_e2beg({ \tile_x4y8_e2beg[7] , \tile_x4y8_e2beg[6] , \tile_x4y8_e2beg[5] , \tile_x4y8_e2beg[4] , \tile_x4y8_e2beg[3] , \tile_x4y8_e2beg[2] , \tile_x4y8_e2beg[1] , \tile_x4y8_e2beg[0] }),
.bot_e2begb({ \tile_x4y8_e2begb[7] , \tile_x4y8_e2begb[6] , \tile_x4y8_e2begb[5] , \tile_x4y8_e2begb[4] , \tile_x4y8_e2begb[3] , \tile_x4y8_e2begb[2] , \tile_x4y8_e2begb[1] , \tile_x4y8_e2begb[0] }),
.bot_e2end({ \tile_x3y8_e2begb[7] , \tile_x3y8_e2begb[6] , \tile_x3y8_e2begb[5] , \tile_x3y8_e2begb[4] , \tile_x3y8_e2begb[3] , \tile_x3y8_e2begb[2] , \tile_x3y8_e2begb[1] , \tile_x3y8_e2begb[0] }),
.bot_e2mid({ \tile_x3y8_e2beg[7] , \tile_x3y8_e2beg[6] , \tile_x3y8_e2beg[5] , \tile_x3y8_e2beg[4] , \tile_x3y8_e2beg[3] , \tile_x3y8_e2beg[2] , \tile_x3y8_e2beg[1] , \tile_x3y8_e2beg[0] }),
.bot_e6beg({ \tile_x4y8_e6beg[11] , \tile_x4y8_e6beg[10] , \tile_x4y8_e6beg[9] , \tile_x4y8_e6beg[8] , \tile_x4y8_e6beg[7] , \tile_x4y8_e6beg[6] , \tile_x4y8_e6beg[5] , \tile_x4y8_e6beg[4] , \tile_x4y8_e6beg[3] , \tile_x4y8_e6beg[2] , \tile_x4y8_e6beg[1] , \tile_x4y8_e6beg[0] }),
.bot_e6end({ \tile_x3y8_e6beg[11] , \tile_x3y8_e6beg[10] , \tile_x3y8_e6beg[9] , \tile_x3y8_e6beg[8] , \tile_x3y8_e6beg[7] , \tile_x3y8_e6beg[6] , \tile_x3y8_e6beg[5] , \tile_x3y8_e6beg[4] , \tile_x3y8_e6beg[3] , \tile_x3y8_e6beg[2] , \tile_x3y8_e6beg[1] , \tile_x3y8_e6beg[0] }),
.bot_ee4beg({ \tile_x4y8_ee4beg[15] , \tile_x4y8_ee4beg[14] , \tile_x4y8_ee4beg[13] , \tile_x4y8_ee4beg[12] , \tile_x4y8_ee4beg[11] , \tile_x4y8_ee4beg[10] , \tile_x4y8_ee4beg[9] , \tile_x4y8_ee4beg[8] , \tile_x4y8_ee4beg[7] , \tile_x4y8_ee4beg[6] , \tile_x4y8_ee4beg[5] , \tile_x4y8_ee4beg[4] , \tile_x4y8_ee4beg[3] , \tile_x4y8_ee4beg[2] , \tile_x4y8_ee4beg[1] , \tile_x4y8_ee4beg[0] }),
.bot_ee4end({ \tile_x3y8_ee4beg[15] , \tile_x3y8_ee4beg[14] , \tile_x3y8_ee4beg[13] , \tile_x3y8_ee4beg[12] , \tile_x3y8_ee4beg[11] , \tile_x3y8_ee4beg[10] , \tile_x3y8_ee4beg[9] , \tile_x3y8_ee4beg[8] , \tile_x3y8_ee4beg[7] , \tile_x3y8_ee4beg[6] , \tile_x3y8_ee4beg[5] , \tile_x3y8_ee4beg[4] , \tile_x3y8_ee4beg[3] , \tile_x3y8_ee4beg[2] , \tile_x3y8_ee4beg[1] , \tile_x3y8_ee4beg[0] }),
.bot_framedata({ \tile_x3y8_framedata_o[31] , \tile_x3y8_framedata_o[30] , \tile_x3y8_framedata_o[29] , \tile_x3y8_framedata_o[28] , \tile_x3y8_framedata_o[27] , \tile_x3y8_framedata_o[26] , \tile_x3y8_framedata_o[25] , \tile_x3y8_framedata_o[24] , \tile_x3y8_framedata_o[23] , \tile_x3y8_framedata_o[22] , \tile_x3y8_framedata_o[21] , \tile_x3y8_framedata_o[20] , \tile_x3y8_framedata_o[19] , \tile_x3y8_framedata_o[18] , \tile_x3y8_framedata_o[17] , \tile_x3y8_framedata_o[16] , \tile_x3y8_framedata_o[15] , \tile_x3y8_framedata_o[14] , \tile_x3y8_framedata_o[13] , \tile_x3y8_framedata_o[12] , \tile_x3y8_framedata_o[11] , \tile_x3y8_framedata_o[10] , \tile_x3y8_framedata_o[9] , \tile_x3y8_framedata_o[8] , \tile_x3y8_framedata_o[7] , \tile_x3y8_framedata_o[6] , \tile_x3y8_framedata_o[5] , \tile_x3y8_framedata_o[4] , \tile_x3y8_framedata_o[3] , \tile_x3y8_framedata_o[2] , \tile_x3y8_framedata_o[1] , \tile_x3y8_framedata_o[0] }),
.bot_framedata_o({ \tile_x4y8_framedata_o[31] , \tile_x4y8_framedata_o[30] , \tile_x4y8_framedata_o[29] , \tile_x4y8_framedata_o[28] , \tile_x4y8_framedata_o[27] , \tile_x4y8_framedata_o[26] , \tile_x4y8_framedata_o[25] , \tile_x4y8_framedata_o[24] , \tile_x4y8_framedata_o[23] , \tile_x4y8_framedata_o[22] , \tile_x4y8_framedata_o[21] , \tile_x4y8_framedata_o[20] , \tile_x4y8_framedata_o[19] , \tile_x4y8_framedata_o[18] , \tile_x4y8_framedata_o[17] , \tile_x4y8_framedata_o[16] , \tile_x4y8_framedata_o[15] , \tile_x4y8_framedata_o[14] , \tile_x4y8_framedata_o[13] , \tile_x4y8_framedata_o[12] , \tile_x4y8_framedata_o[11] , \tile_x4y8_framedata_o[10] , \tile_x4y8_framedata_o[9] , \tile_x4y8_framedata_o[8] , \tile_x4y8_framedata_o[7] , \tile_x4y8_framedata_o[6] , \tile_x4y8_framedata_o[5] , \tile_x4y8_framedata_o[4] , \tile_x4y8_framedata_o[3] , \tile_x4y8_framedata_o[2] , \tile_x4y8_framedata_o[1] , \tile_x4y8_framedata_o[0] }),
.bot_n1end({ \tile_x4y9_n1beg[3] , \tile_x4y9_n1beg[2] , \tile_x4y9_n1beg[1] , \tile_x4y9_n1beg[0] }),
.bot_n2end({ \tile_x4y9_n2begb[7] , \tile_x4y9_n2begb[6] , \tile_x4y9_n2begb[5] , \tile_x4y9_n2begb[4] , \tile_x4y9_n2begb[3] , \tile_x4y9_n2begb[2] , \tile_x4y9_n2begb[1] , \tile_x4y9_n2begb[0] }),
.bot_n2mid({ \tile_x4y9_n2beg[7] , \tile_x4y9_n2beg[6] , \tile_x4y9_n2beg[5] , \tile_x4y9_n2beg[4] , \tile_x4y9_n2beg[3] , \tile_x4y9_n2beg[2] , \tile_x4y9_n2beg[1] , \tile_x4y9_n2beg[0] }),
.bot_n4end({ \tile_x4y9_n4beg[15] , \tile_x4y9_n4beg[14] , \tile_x4y9_n4beg[13] , \tile_x4y9_n4beg[12] , \tile_x4y9_n4beg[11] , \tile_x4y9_n4beg[10] , \tile_x4y9_n4beg[9] , \tile_x4y9_n4beg[8] , \tile_x4y9_n4beg[7] , \tile_x4y9_n4beg[6] , \tile_x4y9_n4beg[5] , \tile_x4y9_n4beg[4] , \tile_x4y9_n4beg[3] , \tile_x4y9_n4beg[2] , \tile_x4y9_n4beg[1] , \tile_x4y9_n4beg[0] }),
.bot_nn4end({ \tile_x4y9_nn4beg[15] , \tile_x4y9_nn4beg[14] , \tile_x4y9_nn4beg[13] , \tile_x4y9_nn4beg[12] , \tile_x4y9_nn4beg[11] , \tile_x4y9_nn4beg[10] , \tile_x4y9_nn4beg[9] , \tile_x4y9_nn4beg[8] , \tile_x4y9_nn4beg[7] , \tile_x4y9_nn4beg[6] , \tile_x4y9_nn4beg[5] , \tile_x4y9_nn4beg[4] , \tile_x4y9_nn4beg[3] , \tile_x4y9_nn4beg[2] , \tile_x4y9_nn4beg[1] , \tile_x4y9_nn4beg[0] }),
.bot_s1beg({ \tile_x4y8_s1beg[3] , \tile_x4y8_s1beg[2] , \tile_x4y8_s1beg[1] , \tile_x4y8_s1beg[0] }),
.bot_s2beg({ \tile_x4y8_s2beg[7] , \tile_x4y8_s2beg[6] , \tile_x4y8_s2beg[5] , \tile_x4y8_s2beg[4] , \tile_x4y8_s2beg[3] , \tile_x4y8_s2beg[2] , \tile_x4y8_s2beg[1] , \tile_x4y8_s2beg[0] }),
.bot_s2begb({ \tile_x4y8_s2begb[7] , \tile_x4y8_s2begb[6] , \tile_x4y8_s2begb[5] , \tile_x4y8_s2begb[4] , \tile_x4y8_s2begb[3] , \tile_x4y8_s2begb[2] , \tile_x4y8_s2begb[1] , \tile_x4y8_s2begb[0] }),
.bot_s4beg({ \tile_x4y8_s4beg[15] , \tile_x4y8_s4beg[14] , \tile_x4y8_s4beg[13] , \tile_x4y8_s4beg[12] , \tile_x4y8_s4beg[11] , \tile_x4y8_s4beg[10] , \tile_x4y8_s4beg[9] , \tile_x4y8_s4beg[8] , \tile_x4y8_s4beg[7] , \tile_x4y8_s4beg[6] , \tile_x4y8_s4beg[5] , \tile_x4y8_s4beg[4] , \tile_x4y8_s4beg[3] , \tile_x4y8_s4beg[2] , \tile_x4y8_s4beg[1] , \tile_x4y8_s4beg[0] }),
.bot_ss4beg({ \tile_x4y8_ss4beg[15] , \tile_x4y8_ss4beg[14] , \tile_x4y8_ss4beg[13] , \tile_x4y8_ss4beg[12] , \tile_x4y8_ss4beg[11] , \tile_x4y8_ss4beg[10] , \tile_x4y8_ss4beg[9] , \tile_x4y8_ss4beg[8] , \tile_x4y8_ss4beg[7] , \tile_x4y8_ss4beg[6] , \tile_x4y8_ss4beg[5] , \tile_x4y8_ss4beg[4] , \tile_x4y8_ss4beg[3] , \tile_x4y8_ss4beg[2] , \tile_x4y8_ss4beg[1] , \tile_x4y8_ss4beg[0] }),
.bot_w1beg({ \tile_x4y8_w1beg[3] , \tile_x4y8_w1beg[2] , \tile_x4y8_w1beg[1] , \tile_x4y8_w1beg[0] }),
.bot_w1end({ \tile_x5y8_w1beg[3] , \tile_x5y8_w1beg[2] , \tile_x5y8_w1beg[1] , \tile_x5y8_w1beg[0] }),
.bot_w2beg({ \tile_x4y8_w2beg[7] , \tile_x4y8_w2beg[6] , \tile_x4y8_w2beg[5] , \tile_x4y8_w2beg[4] , \tile_x4y8_w2beg[3] , \tile_x4y8_w2beg[2] , \tile_x4y8_w2beg[1] , \tile_x4y8_w2beg[0] }),
.bot_w2begb({ \tile_x4y8_w2begb[7] , \tile_x4y8_w2begb[6] , \tile_x4y8_w2begb[5] , \tile_x4y8_w2begb[4] , \tile_x4y8_w2begb[3] , \tile_x4y8_w2begb[2] , \tile_x4y8_w2begb[1] , \tile_x4y8_w2begb[0] }),
.bot_w2end({ \tile_x5y8_w2begb[7] , \tile_x5y8_w2begb[6] , \tile_x5y8_w2begb[5] , \tile_x5y8_w2begb[4] , \tile_x5y8_w2begb[3] , \tile_x5y8_w2begb[2] , \tile_x5y8_w2begb[1] , \tile_x5y8_w2begb[0] }),
.bot_w2mid({ \tile_x5y8_w2beg[7] , \tile_x5y8_w2beg[6] , \tile_x5y8_w2beg[5] , \tile_x5y8_w2beg[4] , \tile_x5y8_w2beg[3] , \tile_x5y8_w2beg[2] , \tile_x5y8_w2beg[1] , \tile_x5y8_w2beg[0] }),
.bot_w6beg({ \tile_x4y8_w6beg[11] , \tile_x4y8_w6beg[10] , \tile_x4y8_w6beg[9] , \tile_x4y8_w6beg[8] , \tile_x4y8_w6beg[7] , \tile_x4y8_w6beg[6] , \tile_x4y8_w6beg[5] , \tile_x4y8_w6beg[4] , \tile_x4y8_w6beg[3] , \tile_x4y8_w6beg[2] , \tile_x4y8_w6beg[1] , \tile_x4y8_w6beg[0] }),
.bot_w6end({ \tile_x5y8_w6beg[11] , \tile_x5y8_w6beg[10] , \tile_x5y8_w6beg[9] , \tile_x5y8_w6beg[8] , \tile_x5y8_w6beg[7] , \tile_x5y8_w6beg[6] , \tile_x5y8_w6beg[5] , \tile_x5y8_w6beg[4] , \tile_x5y8_w6beg[3] , \tile_x5y8_w6beg[2] , \tile_x5y8_w6beg[1] , \tile_x5y8_w6beg[0] }),
.bot_ww4beg({ \tile_x4y8_ww4beg[15] , \tile_x4y8_ww4beg[14] , \tile_x4y8_ww4beg[13] , \tile_x4y8_ww4beg[12] , \tile_x4y8_ww4beg[11] , \tile_x4y8_ww4beg[10] , \tile_x4y8_ww4beg[9] , \tile_x4y8_ww4beg[8] , \tile_x4y8_ww4beg[7] , \tile_x4y8_ww4beg[6] , \tile_x4y8_ww4beg[5] , \tile_x4y8_ww4beg[4] , \tile_x4y8_ww4beg[3] , \tile_x4y8_ww4beg[2] , \tile_x4y8_ww4beg[1] , \tile_x4y8_ww4beg[0] }),
.bot_ww4end({ \tile_x5y8_ww4beg[15] , \tile_x5y8_ww4beg[14] , \tile_x5y8_ww4beg[13] , \tile_x5y8_ww4beg[12] , \tile_x5y8_ww4beg[11] , \tile_x5y8_ww4beg[10] , \tile_x5y8_ww4beg[9] , \tile_x5y8_ww4beg[8] , \tile_x5y8_ww4beg[7] , \tile_x5y8_ww4beg[6] , \tile_x5y8_ww4beg[5] , \tile_x5y8_ww4beg[4] , \tile_x5y8_ww4beg[3] , \tile_x5y8_ww4beg[2] , \tile_x5y8_ww4beg[1] , \tile_x5y8_ww4beg[0] }),
.top_e1beg({ \tile_x4y7_e1beg[3] , \tile_x4y7_e1beg[2] , \tile_x4y7_e1beg[1] , \tile_x4y7_e1beg[0] }),
.top_e1end({ \tile_x3y7_e1beg[3] , \tile_x3y7_e1beg[2] , \tile_x3y7_e1beg[1] , \tile_x3y7_e1beg[0] }),
.top_e2beg({ \tile_x4y7_e2beg[7] , \tile_x4y7_e2beg[6] , \tile_x4y7_e2beg[5] , \tile_x4y7_e2beg[4] , \tile_x4y7_e2beg[3] , \tile_x4y7_e2beg[2] , \tile_x4y7_e2beg[1] , \tile_x4y7_e2beg[0] }),
.top_e2begb({ \tile_x4y7_e2begb[7] , \tile_x4y7_e2begb[6] , \tile_x4y7_e2begb[5] , \tile_x4y7_e2begb[4] , \tile_x4y7_e2begb[3] , \tile_x4y7_e2begb[2] , \tile_x4y7_e2begb[1] , \tile_x4y7_e2begb[0] }),
.top_e2end({ \tile_x3y7_e2begb[7] , \tile_x3y7_e2begb[6] , \tile_x3y7_e2begb[5] , \tile_x3y7_e2begb[4] , \tile_x3y7_e2begb[3] , \tile_x3y7_e2begb[2] , \tile_x3y7_e2begb[1] , \tile_x3y7_e2begb[0] }),
.top_e2mid({ \tile_x3y7_e2beg[7] , \tile_x3y7_e2beg[6] , \tile_x3y7_e2beg[5] , \tile_x3y7_e2beg[4] , \tile_x3y7_e2beg[3] , \tile_x3y7_e2beg[2] , \tile_x3y7_e2beg[1] , \tile_x3y7_e2beg[0] }),
.top_e6beg({ \tile_x4y7_e6beg[11] , \tile_x4y7_e6beg[10] , \tile_x4y7_e6beg[9] , \tile_x4y7_e6beg[8] , \tile_x4y7_e6beg[7] , \tile_x4y7_e6beg[6] , \tile_x4y7_e6beg[5] , \tile_x4y7_e6beg[4] , \tile_x4y7_e6beg[3] , \tile_x4y7_e6beg[2] , \tile_x4y7_e6beg[1] , \tile_x4y7_e6beg[0] }),
.top_e6end({ \tile_x3y7_e6beg[11] , \tile_x3y7_e6beg[10] , \tile_x3y7_e6beg[9] , \tile_x3y7_e6beg[8] , \tile_x3y7_e6beg[7] , \tile_x3y7_e6beg[6] , \tile_x3y7_e6beg[5] , \tile_x3y7_e6beg[4] , \tile_x3y7_e6beg[3] , \tile_x3y7_e6beg[2] , \tile_x3y7_e6beg[1] , \tile_x3y7_e6beg[0] }),
.top_ee4beg({ \tile_x4y7_ee4beg[15] , \tile_x4y7_ee4beg[14] , \tile_x4y7_ee4beg[13] , \tile_x4y7_ee4beg[12] , \tile_x4y7_ee4beg[11] , \tile_x4y7_ee4beg[10] , \tile_x4y7_ee4beg[9] , \tile_x4y7_ee4beg[8] , \tile_x4y7_ee4beg[7] , \tile_x4y7_ee4beg[6] , \tile_x4y7_ee4beg[5] , \tile_x4y7_ee4beg[4] , \tile_x4y7_ee4beg[3] , \tile_x4y7_ee4beg[2] , \tile_x4y7_ee4beg[1] , \tile_x4y7_ee4beg[0] }),
.top_ee4end({ \tile_x3y7_ee4beg[15] , \tile_x3y7_ee4beg[14] , \tile_x3y7_ee4beg[13] , \tile_x3y7_ee4beg[12] , \tile_x3y7_ee4beg[11] , \tile_x3y7_ee4beg[10] , \tile_x3y7_ee4beg[9] , \tile_x3y7_ee4beg[8] , \tile_x3y7_ee4beg[7] , \tile_x3y7_ee4beg[6] , \tile_x3y7_ee4beg[5] , \tile_x3y7_ee4beg[4] , \tile_x3y7_ee4beg[3] , \tile_x3y7_ee4beg[2] , \tile_x3y7_ee4beg[1] , \tile_x3y7_ee4beg[0] }),
.top_framedata({ \tile_x3y7_framedata_o[31] , \tile_x3y7_framedata_o[30] , \tile_x3y7_framedata_o[29] , \tile_x3y7_framedata_o[28] , \tile_x3y7_framedata_o[27] , \tile_x3y7_framedata_o[26] , \tile_x3y7_framedata_o[25] , \tile_x3y7_framedata_o[24] , \tile_x3y7_framedata_o[23] , \tile_x3y7_framedata_o[22] , \tile_x3y7_framedata_o[21] , \tile_x3y7_framedata_o[20] , \tile_x3y7_framedata_o[19] , \tile_x3y7_framedata_o[18] , \tile_x3y7_framedata_o[17] , \tile_x3y7_framedata_o[16] , \tile_x3y7_framedata_o[15] , \tile_x3y7_framedata_o[14] , \tile_x3y7_framedata_o[13] , \tile_x3y7_framedata_o[12] , \tile_x3y7_framedata_o[11] , \tile_x3y7_framedata_o[10] , \tile_x3y7_framedata_o[9] , \tile_x3y7_framedata_o[8] , \tile_x3y7_framedata_o[7] , \tile_x3y7_framedata_o[6] , \tile_x3y7_framedata_o[5] , \tile_x3y7_framedata_o[4] , \tile_x3y7_framedata_o[3] , \tile_x3y7_framedata_o[2] , \tile_x3y7_framedata_o[1] , \tile_x3y7_framedata_o[0] }),
.top_framedata_o({ \tile_x4y7_framedata_o[31] , \tile_x4y7_framedata_o[30] , \tile_x4y7_framedata_o[29] , \tile_x4y7_framedata_o[28] , \tile_x4y7_framedata_o[27] , \tile_x4y7_framedata_o[26] , \tile_x4y7_framedata_o[25] , \tile_x4y7_framedata_o[24] , \tile_x4y7_framedata_o[23] , \tile_x4y7_framedata_o[22] , \tile_x4y7_framedata_o[21] , \tile_x4y7_framedata_o[20] , \tile_x4y7_framedata_o[19] , \tile_x4y7_framedata_o[18] , \tile_x4y7_framedata_o[17] , \tile_x4y7_framedata_o[16] , \tile_x4y7_framedata_o[15] , \tile_x4y7_framedata_o[14] , \tile_x4y7_framedata_o[13] , \tile_x4y7_framedata_o[12] , \tile_x4y7_framedata_o[11] , \tile_x4y7_framedata_o[10] , \tile_x4y7_framedata_o[9] , \tile_x4y7_framedata_o[8] , \tile_x4y7_framedata_o[7] , \tile_x4y7_framedata_o[6] , \tile_x4y7_framedata_o[5] , \tile_x4y7_framedata_o[4] , \tile_x4y7_framedata_o[3] , \tile_x4y7_framedata_o[2] , \tile_x4y7_framedata_o[1] , \tile_x4y7_framedata_o[0] }),
.top_n1beg({ \tile_x4y7_n1beg[3] , \tile_x4y7_n1beg[2] , \tile_x4y7_n1beg[1] , \tile_x4y7_n1beg[0] }),
.top_n2beg({ \tile_x4y7_n2beg[7] , \tile_x4y7_n2beg[6] , \tile_x4y7_n2beg[5] , \tile_x4y7_n2beg[4] , \tile_x4y7_n2beg[3] , \tile_x4y7_n2beg[2] , \tile_x4y7_n2beg[1] , \tile_x4y7_n2beg[0] }),
.top_n2begb({ \tile_x4y7_n2begb[7] , \tile_x4y7_n2begb[6] , \tile_x4y7_n2begb[5] , \tile_x4y7_n2begb[4] , \tile_x4y7_n2begb[3] , \tile_x4y7_n2begb[2] , \tile_x4y7_n2begb[1] , \tile_x4y7_n2begb[0] }),
.top_n4beg({ \tile_x4y7_n4beg[15] , \tile_x4y7_n4beg[14] , \tile_x4y7_n4beg[13] , \tile_x4y7_n4beg[12] , \tile_x4y7_n4beg[11] , \tile_x4y7_n4beg[10] , \tile_x4y7_n4beg[9] , \tile_x4y7_n4beg[8] , \tile_x4y7_n4beg[7] , \tile_x4y7_n4beg[6] , \tile_x4y7_n4beg[5] , \tile_x4y7_n4beg[4] , \tile_x4y7_n4beg[3] , \tile_x4y7_n4beg[2] , \tile_x4y7_n4beg[1] , \tile_x4y7_n4beg[0] }),
.top_nn4beg({ \tile_x4y7_nn4beg[15] , \tile_x4y7_nn4beg[14] , \tile_x4y7_nn4beg[13] , \tile_x4y7_nn4beg[12] , \tile_x4y7_nn4beg[11] , \tile_x4y7_nn4beg[10] , \tile_x4y7_nn4beg[9] , \tile_x4y7_nn4beg[8] , \tile_x4y7_nn4beg[7] , \tile_x4y7_nn4beg[6] , \tile_x4y7_nn4beg[5] , \tile_x4y7_nn4beg[4] , \tile_x4y7_nn4beg[3] , \tile_x4y7_nn4beg[2] , \tile_x4y7_nn4beg[1] , \tile_x4y7_nn4beg[0] }),
.top_s1end({ \tile_x4y6_s1beg[3] , \tile_x4y6_s1beg[2] , \tile_x4y6_s1beg[1] , \tile_x4y6_s1beg[0] }),
.top_s2end({ \tile_x4y6_s2begb[7] , \tile_x4y6_s2begb[6] , \tile_x4y6_s2begb[5] , \tile_x4y6_s2begb[4] , \tile_x4y6_s2begb[3] , \tile_x4y6_s2begb[2] , \tile_x4y6_s2begb[1] , \tile_x4y6_s2begb[0] }),
.top_s2mid({ \tile_x4y6_s2beg[7] , \tile_x4y6_s2beg[6] , \tile_x4y6_s2beg[5] , \tile_x4y6_s2beg[4] , \tile_x4y6_s2beg[3] , \tile_x4y6_s2beg[2] , \tile_x4y6_s2beg[1] , \tile_x4y6_s2beg[0] }),
.top_s4end({ \tile_x4y6_s4beg[15] , \tile_x4y6_s4beg[14] , \tile_x4y6_s4beg[13] , \tile_x4y6_s4beg[12] , \tile_x4y6_s4beg[11] , \tile_x4y6_s4beg[10] , \tile_x4y6_s4beg[9] , \tile_x4y6_s4beg[8] , \tile_x4y6_s4beg[7] , \tile_x4y6_s4beg[6] , \tile_x4y6_s4beg[5] , \tile_x4y6_s4beg[4] , \tile_x4y6_s4beg[3] , \tile_x4y6_s4beg[2] , \tile_x4y6_s4beg[1] , \tile_x4y6_s4beg[0] }),
.top_ss4end({ \tile_x4y6_ss4beg[15] , \tile_x4y6_ss4beg[14] , \tile_x4y6_ss4beg[13] , \tile_x4y6_ss4beg[12] , \tile_x4y6_ss4beg[11] , \tile_x4y6_ss4beg[10] , \tile_x4y6_ss4beg[9] , \tile_x4y6_ss4beg[8] , \tile_x4y6_ss4beg[7] , \tile_x4y6_ss4beg[6] , \tile_x4y6_ss4beg[5] , \tile_x4y6_ss4beg[4] , \tile_x4y6_ss4beg[3] , \tile_x4y6_ss4beg[2] , \tile_x4y6_ss4beg[1] , \tile_x4y6_ss4beg[0] }),
.top_w1beg({ \tile_x4y7_w1beg[3] , \tile_x4y7_w1beg[2] , \tile_x4y7_w1beg[1] , \tile_x4y7_w1beg[0] }),
.top_w1end({ \tile_x5y7_w1beg[3] , \tile_x5y7_w1beg[2] , \tile_x5y7_w1beg[1] , \tile_x5y7_w1beg[0] }),
.top_w2beg({ \tile_x4y7_w2beg[7] , \tile_x4y7_w2beg[6] , \tile_x4y7_w2beg[5] , \tile_x4y7_w2beg[4] , \tile_x4y7_w2beg[3] , \tile_x4y7_w2beg[2] , \tile_x4y7_w2beg[1] , \tile_x4y7_w2beg[0] }),
.top_w2begb({ \tile_x4y7_w2begb[7] , \tile_x4y7_w2begb[6] , \tile_x4y7_w2begb[5] , \tile_x4y7_w2begb[4] , \tile_x4y7_w2begb[3] , \tile_x4y7_w2begb[2] , \tile_x4y7_w2begb[1] , \tile_x4y7_w2begb[0] }),
.top_w2end({ \tile_x5y7_w2begb[7] , \tile_x5y7_w2begb[6] , \tile_x5y7_w2begb[5] , \tile_x5y7_w2begb[4] , \tile_x5y7_w2begb[3] , \tile_x5y7_w2begb[2] , \tile_x5y7_w2begb[1] , \tile_x5y7_w2begb[0] }),
.top_w2mid({ \tile_x5y7_w2beg[7] , \tile_x5y7_w2beg[6] , \tile_x5y7_w2beg[5] , \tile_x5y7_w2beg[4] , \tile_x5y7_w2beg[3] , \tile_x5y7_w2beg[2] , \tile_x5y7_w2beg[1] , \tile_x5y7_w2beg[0] }),
.top_w6beg({ \tile_x4y7_w6beg[11] , \tile_x4y7_w6beg[10] , \tile_x4y7_w6beg[9] , \tile_x4y7_w6beg[8] , \tile_x4y7_w6beg[7] , \tile_x4y7_w6beg[6] , \tile_x4y7_w6beg[5] , \tile_x4y7_w6beg[4] , \tile_x4y7_w6beg[3] , \tile_x4y7_w6beg[2] , \tile_x4y7_w6beg[1] , \tile_x4y7_w6beg[0] }),
.top_w6end({ \tile_x5y7_w6beg[11] , \tile_x5y7_w6beg[10] , \tile_x5y7_w6beg[9] , \tile_x5y7_w6beg[8] , \tile_x5y7_w6beg[7] , \tile_x5y7_w6beg[6] , \tile_x5y7_w6beg[5] , \tile_x5y7_w6beg[4] , \tile_x5y7_w6beg[3] , \tile_x5y7_w6beg[2] , \tile_x5y7_w6beg[1] , \tile_x5y7_w6beg[0] }),
.top_ww4beg({ \tile_x4y7_ww4beg[15] , \tile_x4y7_ww4beg[14] , \tile_x4y7_ww4beg[13] , \tile_x4y7_ww4beg[12] , \tile_x4y7_ww4beg[11] , \tile_x4y7_ww4beg[10] , \tile_x4y7_ww4beg[9] , \tile_x4y7_ww4beg[8] , \tile_x4y7_ww4beg[7] , \tile_x4y7_ww4beg[6] , \tile_x4y7_ww4beg[5] , \tile_x4y7_ww4beg[4] , \tile_x4y7_ww4beg[3] , \tile_x4y7_ww4beg[2] , \tile_x4y7_ww4beg[1] , \tile_x4y7_ww4beg[0] }),
.top_ww4end({ \tile_x5y7_ww4beg[15] , \tile_x5y7_ww4beg[14] , \tile_x5y7_ww4beg[13] , \tile_x5y7_ww4beg[12] , \tile_x5y7_ww4beg[11] , \tile_x5y7_ww4beg[10] , \tile_x5y7_ww4beg[9] , \tile_x5y7_ww4beg[8] , \tile_x5y7_ww4beg[7] , \tile_x5y7_ww4beg[6] , \tile_x5y7_ww4beg[5] , \tile_x5y7_ww4beg[4] , \tile_x5y7_ww4beg[3] , \tile_x5y7_ww4beg[2] , \tile_x5y7_ww4beg[1] , \tile_x5y7_ww4beg[0] })
);
dsp tile_x4y9_x4y10_dsp_tile (
.framestrobe({ \tile_x4y11_framestrobe_o[19] , \tile_x4y11_framestrobe_o[18] , \tile_x4y11_framestrobe_o[17] , \tile_x4y11_framestrobe_o[16] , \tile_x4y11_framestrobe_o[15] , \tile_x4y11_framestrobe_o[14] , \tile_x4y11_framestrobe_o[13] , \tile_x4y11_framestrobe_o[12] , \tile_x4y11_framestrobe_o[11] , \tile_x4y11_framestrobe_o[10] , \tile_x4y11_framestrobe_o[9] , \tile_x4y11_framestrobe_o[8] , \tile_x4y11_framestrobe_o[7] , \tile_x4y11_framestrobe_o[6] , \tile_x4y11_framestrobe_o[5] , \tile_x4y11_framestrobe_o[4] , \tile_x4y11_framestrobe_o[3] , \tile_x4y11_framestrobe_o[2] , \tile_x4y11_framestrobe_o[1] , \tile_x4y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x4y9_framestrobe_o[19] , \tile_x4y9_framestrobe_o[18] , \tile_x4y9_framestrobe_o[17] , \tile_x4y9_framestrobe_o[16] , \tile_x4y9_framestrobe_o[15] , \tile_x4y9_framestrobe_o[14] , \tile_x4y9_framestrobe_o[13] , \tile_x4y9_framestrobe_o[12] , \tile_x4y9_framestrobe_o[11] , \tile_x4y9_framestrobe_o[10] , \tile_x4y9_framestrobe_o[9] , \tile_x4y9_framestrobe_o[8] , \tile_x4y9_framestrobe_o[7] , \tile_x4y9_framestrobe_o[6] , \tile_x4y9_framestrobe_o[5] , \tile_x4y9_framestrobe_o[4] , \tile_x4y9_framestrobe_o[3] , \tile_x4y9_framestrobe_o[2] , \tile_x4y9_framestrobe_o[1] , \tile_x4y9_framestrobe_o[0] }),
.userclk(tile_x4y11_userclko),
.userclko(tile_x4y9_userclko),
.bot_e1beg({ \tile_x4y10_e1beg[3] , \tile_x4y10_e1beg[2] , \tile_x4y10_e1beg[1] , \tile_x4y10_e1beg[0] }),
.bot_e1end({ \tile_x3y10_e1beg[3] , \tile_x3y10_e1beg[2] , \tile_x3y10_e1beg[1] , \tile_x3y10_e1beg[0] }),
.bot_e2beg({ \tile_x4y10_e2beg[7] , \tile_x4y10_e2beg[6] , \tile_x4y10_e2beg[5] , \tile_x4y10_e2beg[4] , \tile_x4y10_e2beg[3] , \tile_x4y10_e2beg[2] , \tile_x4y10_e2beg[1] , \tile_x4y10_e2beg[0] }),
.bot_e2begb({ \tile_x4y10_e2begb[7] , \tile_x4y10_e2begb[6] , \tile_x4y10_e2begb[5] , \tile_x4y10_e2begb[4] , \tile_x4y10_e2begb[3] , \tile_x4y10_e2begb[2] , \tile_x4y10_e2begb[1] , \tile_x4y10_e2begb[0] }),
.bot_e2end({ \tile_x3y10_e2begb[7] , \tile_x3y10_e2begb[6] , \tile_x3y10_e2begb[5] , \tile_x3y10_e2begb[4] , \tile_x3y10_e2begb[3] , \tile_x3y10_e2begb[2] , \tile_x3y10_e2begb[1] , \tile_x3y10_e2begb[0] }),
.bot_e2mid({ \tile_x3y10_e2beg[7] , \tile_x3y10_e2beg[6] , \tile_x3y10_e2beg[5] , \tile_x3y10_e2beg[4] , \tile_x3y10_e2beg[3] , \tile_x3y10_e2beg[2] , \tile_x3y10_e2beg[1] , \tile_x3y10_e2beg[0] }),
.bot_e6beg({ \tile_x4y10_e6beg[11] , \tile_x4y10_e6beg[10] , \tile_x4y10_e6beg[9] , \tile_x4y10_e6beg[8] , \tile_x4y10_e6beg[7] , \tile_x4y10_e6beg[6] , \tile_x4y10_e6beg[5] , \tile_x4y10_e6beg[4] , \tile_x4y10_e6beg[3] , \tile_x4y10_e6beg[2] , \tile_x4y10_e6beg[1] , \tile_x4y10_e6beg[0] }),
.bot_e6end({ \tile_x3y10_e6beg[11] , \tile_x3y10_e6beg[10] , \tile_x3y10_e6beg[9] , \tile_x3y10_e6beg[8] , \tile_x3y10_e6beg[7] , \tile_x3y10_e6beg[6] , \tile_x3y10_e6beg[5] , \tile_x3y10_e6beg[4] , \tile_x3y10_e6beg[3] , \tile_x3y10_e6beg[2] , \tile_x3y10_e6beg[1] , \tile_x3y10_e6beg[0] }),
.bot_ee4beg({ \tile_x4y10_ee4beg[15] , \tile_x4y10_ee4beg[14] , \tile_x4y10_ee4beg[13] , \tile_x4y10_ee4beg[12] , \tile_x4y10_ee4beg[11] , \tile_x4y10_ee4beg[10] , \tile_x4y10_ee4beg[9] , \tile_x4y10_ee4beg[8] , \tile_x4y10_ee4beg[7] , \tile_x4y10_ee4beg[6] , \tile_x4y10_ee4beg[5] , \tile_x4y10_ee4beg[4] , \tile_x4y10_ee4beg[3] , \tile_x4y10_ee4beg[2] , \tile_x4y10_ee4beg[1] , \tile_x4y10_ee4beg[0] }),
.bot_ee4end({ \tile_x3y10_ee4beg[15] , \tile_x3y10_ee4beg[14] , \tile_x3y10_ee4beg[13] , \tile_x3y10_ee4beg[12] , \tile_x3y10_ee4beg[11] , \tile_x3y10_ee4beg[10] , \tile_x3y10_ee4beg[9] , \tile_x3y10_ee4beg[8] , \tile_x3y10_ee4beg[7] , \tile_x3y10_ee4beg[6] , \tile_x3y10_ee4beg[5] , \tile_x3y10_ee4beg[4] , \tile_x3y10_ee4beg[3] , \tile_x3y10_ee4beg[2] , \tile_x3y10_ee4beg[1] , \tile_x3y10_ee4beg[0] }),
.bot_framedata({ \tile_x3y10_framedata_o[31] , \tile_x3y10_framedata_o[30] , \tile_x3y10_framedata_o[29] , \tile_x3y10_framedata_o[28] , \tile_x3y10_framedata_o[27] , \tile_x3y10_framedata_o[26] , \tile_x3y10_framedata_o[25] , \tile_x3y10_framedata_o[24] , \tile_x3y10_framedata_o[23] , \tile_x3y10_framedata_o[22] , \tile_x3y10_framedata_o[21] , \tile_x3y10_framedata_o[20] , \tile_x3y10_framedata_o[19] , \tile_x3y10_framedata_o[18] , \tile_x3y10_framedata_o[17] , \tile_x3y10_framedata_o[16] , \tile_x3y10_framedata_o[15] , \tile_x3y10_framedata_o[14] , \tile_x3y10_framedata_o[13] , \tile_x3y10_framedata_o[12] , \tile_x3y10_framedata_o[11] , \tile_x3y10_framedata_o[10] , \tile_x3y10_framedata_o[9] , \tile_x3y10_framedata_o[8] , \tile_x3y10_framedata_o[7] , \tile_x3y10_framedata_o[6] , \tile_x3y10_framedata_o[5] , \tile_x3y10_framedata_o[4] , \tile_x3y10_framedata_o[3] , \tile_x3y10_framedata_o[2] , \tile_x3y10_framedata_o[1] , \tile_x3y10_framedata_o[0] }),
.bot_framedata_o({ \tile_x4y10_framedata_o[31] , \tile_x4y10_framedata_o[30] , \tile_x4y10_framedata_o[29] , \tile_x4y10_framedata_o[28] , \tile_x4y10_framedata_o[27] , \tile_x4y10_framedata_o[26] , \tile_x4y10_framedata_o[25] , \tile_x4y10_framedata_o[24] , \tile_x4y10_framedata_o[23] , \tile_x4y10_framedata_o[22] , \tile_x4y10_framedata_o[21] , \tile_x4y10_framedata_o[20] , \tile_x4y10_framedata_o[19] , \tile_x4y10_framedata_o[18] , \tile_x4y10_framedata_o[17] , \tile_x4y10_framedata_o[16] , \tile_x4y10_framedata_o[15] , \tile_x4y10_framedata_o[14] , \tile_x4y10_framedata_o[13] , \tile_x4y10_framedata_o[12] , \tile_x4y10_framedata_o[11] , \tile_x4y10_framedata_o[10] , \tile_x4y10_framedata_o[9] , \tile_x4y10_framedata_o[8] , \tile_x4y10_framedata_o[7] , \tile_x4y10_framedata_o[6] , \tile_x4y10_framedata_o[5] , \tile_x4y10_framedata_o[4] , \tile_x4y10_framedata_o[3] , \tile_x4y10_framedata_o[2] , \tile_x4y10_framedata_o[1] , \tile_x4y10_framedata_o[0] }),
.bot_n1end({ \tile_x4y11_n1beg[3] , \tile_x4y11_n1beg[2] , \tile_x4y11_n1beg[1] , \tile_x4y11_n1beg[0] }),
.bot_n2end({ \tile_x4y11_n2begb[7] , \tile_x4y11_n2begb[6] , \tile_x4y11_n2begb[5] , \tile_x4y11_n2begb[4] , \tile_x4y11_n2begb[3] , \tile_x4y11_n2begb[2] , \tile_x4y11_n2begb[1] , \tile_x4y11_n2begb[0] }),
.bot_n2mid({ \tile_x4y11_n2beg[7] , \tile_x4y11_n2beg[6] , \tile_x4y11_n2beg[5] , \tile_x4y11_n2beg[4] , \tile_x4y11_n2beg[3] , \tile_x4y11_n2beg[2] , \tile_x4y11_n2beg[1] , \tile_x4y11_n2beg[0] }),
.bot_n4end({ \tile_x4y11_n4beg[15] , \tile_x4y11_n4beg[14] , \tile_x4y11_n4beg[13] , \tile_x4y11_n4beg[12] , \tile_x4y11_n4beg[11] , \tile_x4y11_n4beg[10] , \tile_x4y11_n4beg[9] , \tile_x4y11_n4beg[8] , \tile_x4y11_n4beg[7] , \tile_x4y11_n4beg[6] , \tile_x4y11_n4beg[5] , \tile_x4y11_n4beg[4] , \tile_x4y11_n4beg[3] , \tile_x4y11_n4beg[2] , \tile_x4y11_n4beg[1] , \tile_x4y11_n4beg[0] }),
.bot_nn4end({ \tile_x4y11_nn4beg[15] , \tile_x4y11_nn4beg[14] , \tile_x4y11_nn4beg[13] , \tile_x4y11_nn4beg[12] , \tile_x4y11_nn4beg[11] , \tile_x4y11_nn4beg[10] , \tile_x4y11_nn4beg[9] , \tile_x4y11_nn4beg[8] , \tile_x4y11_nn4beg[7] , \tile_x4y11_nn4beg[6] , \tile_x4y11_nn4beg[5] , \tile_x4y11_nn4beg[4] , \tile_x4y11_nn4beg[3] , \tile_x4y11_nn4beg[2] , \tile_x4y11_nn4beg[1] , \tile_x4y11_nn4beg[0] }),
.bot_s1beg({ \tile_x4y10_s1beg[3] , \tile_x4y10_s1beg[2] , \tile_x4y10_s1beg[1] , \tile_x4y10_s1beg[0] }),
.bot_s2beg({ \tile_x4y10_s2beg[7] , \tile_x4y10_s2beg[6] , \tile_x4y10_s2beg[5] , \tile_x4y10_s2beg[4] , \tile_x4y10_s2beg[3] , \tile_x4y10_s2beg[2] , \tile_x4y10_s2beg[1] , \tile_x4y10_s2beg[0] }),
.bot_s2begb({ \tile_x4y10_s2begb[7] , \tile_x4y10_s2begb[6] , \tile_x4y10_s2begb[5] , \tile_x4y10_s2begb[4] , \tile_x4y10_s2begb[3] , \tile_x4y10_s2begb[2] , \tile_x4y10_s2begb[1] , \tile_x4y10_s2begb[0] }),
.bot_s4beg({ \tile_x4y10_s4beg[15] , \tile_x4y10_s4beg[14] , \tile_x4y10_s4beg[13] , \tile_x4y10_s4beg[12] , \tile_x4y10_s4beg[11] , \tile_x4y10_s4beg[10] , \tile_x4y10_s4beg[9] , \tile_x4y10_s4beg[8] , \tile_x4y10_s4beg[7] , \tile_x4y10_s4beg[6] , \tile_x4y10_s4beg[5] , \tile_x4y10_s4beg[4] , \tile_x4y10_s4beg[3] , \tile_x4y10_s4beg[2] , \tile_x4y10_s4beg[1] , \tile_x4y10_s4beg[0] }),
.bot_ss4beg({ \tile_x4y10_ss4beg[15] , \tile_x4y10_ss4beg[14] , \tile_x4y10_ss4beg[13] , \tile_x4y10_ss4beg[12] , \tile_x4y10_ss4beg[11] , \tile_x4y10_ss4beg[10] , \tile_x4y10_ss4beg[9] , \tile_x4y10_ss4beg[8] , \tile_x4y10_ss4beg[7] , \tile_x4y10_ss4beg[6] , \tile_x4y10_ss4beg[5] , \tile_x4y10_ss4beg[4] , \tile_x4y10_ss4beg[3] , \tile_x4y10_ss4beg[2] , \tile_x4y10_ss4beg[1] , \tile_x4y10_ss4beg[0] }),
.bot_w1beg({ \tile_x4y10_w1beg[3] , \tile_x4y10_w1beg[2] , \tile_x4y10_w1beg[1] , \tile_x4y10_w1beg[0] }),
.bot_w1end({ \tile_x5y10_w1beg[3] , \tile_x5y10_w1beg[2] , \tile_x5y10_w1beg[1] , \tile_x5y10_w1beg[0] }),
.bot_w2beg({ \tile_x4y10_w2beg[7] , \tile_x4y10_w2beg[6] , \tile_x4y10_w2beg[5] , \tile_x4y10_w2beg[4] , \tile_x4y10_w2beg[3] , \tile_x4y10_w2beg[2] , \tile_x4y10_w2beg[1] , \tile_x4y10_w2beg[0] }),
.bot_w2begb({ \tile_x4y10_w2begb[7] , \tile_x4y10_w2begb[6] , \tile_x4y10_w2begb[5] , \tile_x4y10_w2begb[4] , \tile_x4y10_w2begb[3] , \tile_x4y10_w2begb[2] , \tile_x4y10_w2begb[1] , \tile_x4y10_w2begb[0] }),
.bot_w2end({ \tile_x5y10_w2begb[7] , \tile_x5y10_w2begb[6] , \tile_x5y10_w2begb[5] , \tile_x5y10_w2begb[4] , \tile_x5y10_w2begb[3] , \tile_x5y10_w2begb[2] , \tile_x5y10_w2begb[1] , \tile_x5y10_w2begb[0] }),
.bot_w2mid({ \tile_x5y10_w2beg[7] , \tile_x5y10_w2beg[6] , \tile_x5y10_w2beg[5] , \tile_x5y10_w2beg[4] , \tile_x5y10_w2beg[3] , \tile_x5y10_w2beg[2] , \tile_x5y10_w2beg[1] , \tile_x5y10_w2beg[0] }),
.bot_w6beg({ \tile_x4y10_w6beg[11] , \tile_x4y10_w6beg[10] , \tile_x4y10_w6beg[9] , \tile_x4y10_w6beg[8] , \tile_x4y10_w6beg[7] , \tile_x4y10_w6beg[6] , \tile_x4y10_w6beg[5] , \tile_x4y10_w6beg[4] , \tile_x4y10_w6beg[3] , \tile_x4y10_w6beg[2] , \tile_x4y10_w6beg[1] , \tile_x4y10_w6beg[0] }),
.bot_w6end({ \tile_x5y10_w6beg[11] , \tile_x5y10_w6beg[10] , \tile_x5y10_w6beg[9] , \tile_x5y10_w6beg[8] , \tile_x5y10_w6beg[7] , \tile_x5y10_w6beg[6] , \tile_x5y10_w6beg[5] , \tile_x5y10_w6beg[4] , \tile_x5y10_w6beg[3] , \tile_x5y10_w6beg[2] , \tile_x5y10_w6beg[1] , \tile_x5y10_w6beg[0] }),
.bot_ww4beg({ \tile_x4y10_ww4beg[15] , \tile_x4y10_ww4beg[14] , \tile_x4y10_ww4beg[13] , \tile_x4y10_ww4beg[12] , \tile_x4y10_ww4beg[11] , \tile_x4y10_ww4beg[10] , \tile_x4y10_ww4beg[9] , \tile_x4y10_ww4beg[8] , \tile_x4y10_ww4beg[7] , \tile_x4y10_ww4beg[6] , \tile_x4y10_ww4beg[5] , \tile_x4y10_ww4beg[4] , \tile_x4y10_ww4beg[3] , \tile_x4y10_ww4beg[2] , \tile_x4y10_ww4beg[1] , \tile_x4y10_ww4beg[0] }),
.bot_ww4end({ \tile_x5y10_ww4beg[15] , \tile_x5y10_ww4beg[14] , \tile_x5y10_ww4beg[13] , \tile_x5y10_ww4beg[12] , \tile_x5y10_ww4beg[11] , \tile_x5y10_ww4beg[10] , \tile_x5y10_ww4beg[9] , \tile_x5y10_ww4beg[8] , \tile_x5y10_ww4beg[7] , \tile_x5y10_ww4beg[6] , \tile_x5y10_ww4beg[5] , \tile_x5y10_ww4beg[4] , \tile_x5y10_ww4beg[3] , \tile_x5y10_ww4beg[2] , \tile_x5y10_ww4beg[1] , \tile_x5y10_ww4beg[0] }),
.top_e1beg({ \tile_x4y9_e1beg[3] , \tile_x4y9_e1beg[2] , \tile_x4y9_e1beg[1] , \tile_x4y9_e1beg[0] }),
.top_e1end({ \tile_x3y9_e1beg[3] , \tile_x3y9_e1beg[2] , \tile_x3y9_e1beg[1] , \tile_x3y9_e1beg[0] }),
.top_e2beg({ \tile_x4y9_e2beg[7] , \tile_x4y9_e2beg[6] , \tile_x4y9_e2beg[5] , \tile_x4y9_e2beg[4] , \tile_x4y9_e2beg[3] , \tile_x4y9_e2beg[2] , \tile_x4y9_e2beg[1] , \tile_x4y9_e2beg[0] }),
.top_e2begb({ \tile_x4y9_e2begb[7] , \tile_x4y9_e2begb[6] , \tile_x4y9_e2begb[5] , \tile_x4y9_e2begb[4] , \tile_x4y9_e2begb[3] , \tile_x4y9_e2begb[2] , \tile_x4y9_e2begb[1] , \tile_x4y9_e2begb[0] }),
.top_e2end({ \tile_x3y9_e2begb[7] , \tile_x3y9_e2begb[6] , \tile_x3y9_e2begb[5] , \tile_x3y9_e2begb[4] , \tile_x3y9_e2begb[3] , \tile_x3y9_e2begb[2] , \tile_x3y9_e2begb[1] , \tile_x3y9_e2begb[0] }),
.top_e2mid({ \tile_x3y9_e2beg[7] , \tile_x3y9_e2beg[6] , \tile_x3y9_e2beg[5] , \tile_x3y9_e2beg[4] , \tile_x3y9_e2beg[3] , \tile_x3y9_e2beg[2] , \tile_x3y9_e2beg[1] , \tile_x3y9_e2beg[0] }),
.top_e6beg({ \tile_x4y9_e6beg[11] , \tile_x4y9_e6beg[10] , \tile_x4y9_e6beg[9] , \tile_x4y9_e6beg[8] , \tile_x4y9_e6beg[7] , \tile_x4y9_e6beg[6] , \tile_x4y9_e6beg[5] , \tile_x4y9_e6beg[4] , \tile_x4y9_e6beg[3] , \tile_x4y9_e6beg[2] , \tile_x4y9_e6beg[1] , \tile_x4y9_e6beg[0] }),
.top_e6end({ \tile_x3y9_e6beg[11] , \tile_x3y9_e6beg[10] , \tile_x3y9_e6beg[9] , \tile_x3y9_e6beg[8] , \tile_x3y9_e6beg[7] , \tile_x3y9_e6beg[6] , \tile_x3y9_e6beg[5] , \tile_x3y9_e6beg[4] , \tile_x3y9_e6beg[3] , \tile_x3y9_e6beg[2] , \tile_x3y9_e6beg[1] , \tile_x3y9_e6beg[0] }),
.top_ee4beg({ \tile_x4y9_ee4beg[15] , \tile_x4y9_ee4beg[14] , \tile_x4y9_ee4beg[13] , \tile_x4y9_ee4beg[12] , \tile_x4y9_ee4beg[11] , \tile_x4y9_ee4beg[10] , \tile_x4y9_ee4beg[9] , \tile_x4y9_ee4beg[8] , \tile_x4y9_ee4beg[7] , \tile_x4y9_ee4beg[6] , \tile_x4y9_ee4beg[5] , \tile_x4y9_ee4beg[4] , \tile_x4y9_ee4beg[3] , \tile_x4y9_ee4beg[2] , \tile_x4y9_ee4beg[1] , \tile_x4y9_ee4beg[0] }),
.top_ee4end({ \tile_x3y9_ee4beg[15] , \tile_x3y9_ee4beg[14] , \tile_x3y9_ee4beg[13] , \tile_x3y9_ee4beg[12] , \tile_x3y9_ee4beg[11] , \tile_x3y9_ee4beg[10] , \tile_x3y9_ee4beg[9] , \tile_x3y9_ee4beg[8] , \tile_x3y9_ee4beg[7] , \tile_x3y9_ee4beg[6] , \tile_x3y9_ee4beg[5] , \tile_x3y9_ee4beg[4] , \tile_x3y9_ee4beg[3] , \tile_x3y9_ee4beg[2] , \tile_x3y9_ee4beg[1] , \tile_x3y9_ee4beg[0] }),
.top_framedata({ \tile_x3y9_framedata_o[31] , \tile_x3y9_framedata_o[30] , \tile_x3y9_framedata_o[29] , \tile_x3y9_framedata_o[28] , \tile_x3y9_framedata_o[27] , \tile_x3y9_framedata_o[26] , \tile_x3y9_framedata_o[25] , \tile_x3y9_framedata_o[24] , \tile_x3y9_framedata_o[23] , \tile_x3y9_framedata_o[22] , \tile_x3y9_framedata_o[21] , \tile_x3y9_framedata_o[20] , \tile_x3y9_framedata_o[19] , \tile_x3y9_framedata_o[18] , \tile_x3y9_framedata_o[17] , \tile_x3y9_framedata_o[16] , \tile_x3y9_framedata_o[15] , \tile_x3y9_framedata_o[14] , \tile_x3y9_framedata_o[13] , \tile_x3y9_framedata_o[12] , \tile_x3y9_framedata_o[11] , \tile_x3y9_framedata_o[10] , \tile_x3y9_framedata_o[9] , \tile_x3y9_framedata_o[8] , \tile_x3y9_framedata_o[7] , \tile_x3y9_framedata_o[6] , \tile_x3y9_framedata_o[5] , \tile_x3y9_framedata_o[4] , \tile_x3y9_framedata_o[3] , \tile_x3y9_framedata_o[2] , \tile_x3y9_framedata_o[1] , \tile_x3y9_framedata_o[0] }),
.top_framedata_o({ \tile_x4y9_framedata_o[31] , \tile_x4y9_framedata_o[30] , \tile_x4y9_framedata_o[29] , \tile_x4y9_framedata_o[28] , \tile_x4y9_framedata_o[27] , \tile_x4y9_framedata_o[26] , \tile_x4y9_framedata_o[25] , \tile_x4y9_framedata_o[24] , \tile_x4y9_framedata_o[23] , \tile_x4y9_framedata_o[22] , \tile_x4y9_framedata_o[21] , \tile_x4y9_framedata_o[20] , \tile_x4y9_framedata_o[19] , \tile_x4y9_framedata_o[18] , \tile_x4y9_framedata_o[17] , \tile_x4y9_framedata_o[16] , \tile_x4y9_framedata_o[15] , \tile_x4y9_framedata_o[14] , \tile_x4y9_framedata_o[13] , \tile_x4y9_framedata_o[12] , \tile_x4y9_framedata_o[11] , \tile_x4y9_framedata_o[10] , \tile_x4y9_framedata_o[9] , \tile_x4y9_framedata_o[8] , \tile_x4y9_framedata_o[7] , \tile_x4y9_framedata_o[6] , \tile_x4y9_framedata_o[5] , \tile_x4y9_framedata_o[4] , \tile_x4y9_framedata_o[3] , \tile_x4y9_framedata_o[2] , \tile_x4y9_framedata_o[1] , \tile_x4y9_framedata_o[0] }),
.top_n1beg({ \tile_x4y9_n1beg[3] , \tile_x4y9_n1beg[2] , \tile_x4y9_n1beg[1] , \tile_x4y9_n1beg[0] }),
.top_n2beg({ \tile_x4y9_n2beg[7] , \tile_x4y9_n2beg[6] , \tile_x4y9_n2beg[5] , \tile_x4y9_n2beg[4] , \tile_x4y9_n2beg[3] , \tile_x4y9_n2beg[2] , \tile_x4y9_n2beg[1] , \tile_x4y9_n2beg[0] }),
.top_n2begb({ \tile_x4y9_n2begb[7] , \tile_x4y9_n2begb[6] , \tile_x4y9_n2begb[5] , \tile_x4y9_n2begb[4] , \tile_x4y9_n2begb[3] , \tile_x4y9_n2begb[2] , \tile_x4y9_n2begb[1] , \tile_x4y9_n2begb[0] }),
.top_n4beg({ \tile_x4y9_n4beg[15] , \tile_x4y9_n4beg[14] , \tile_x4y9_n4beg[13] , \tile_x4y9_n4beg[12] , \tile_x4y9_n4beg[11] , \tile_x4y9_n4beg[10] , \tile_x4y9_n4beg[9] , \tile_x4y9_n4beg[8] , \tile_x4y9_n4beg[7] , \tile_x4y9_n4beg[6] , \tile_x4y9_n4beg[5] , \tile_x4y9_n4beg[4] , \tile_x4y9_n4beg[3] , \tile_x4y9_n4beg[2] , \tile_x4y9_n4beg[1] , \tile_x4y9_n4beg[0] }),
.top_nn4beg({ \tile_x4y9_nn4beg[15] , \tile_x4y9_nn4beg[14] , \tile_x4y9_nn4beg[13] , \tile_x4y9_nn4beg[12] , \tile_x4y9_nn4beg[11] , \tile_x4y9_nn4beg[10] , \tile_x4y9_nn4beg[9] , \tile_x4y9_nn4beg[8] , \tile_x4y9_nn4beg[7] , \tile_x4y9_nn4beg[6] , \tile_x4y9_nn4beg[5] , \tile_x4y9_nn4beg[4] , \tile_x4y9_nn4beg[3] , \tile_x4y9_nn4beg[2] , \tile_x4y9_nn4beg[1] , \tile_x4y9_nn4beg[0] }),
.top_s1end({ \tile_x4y8_s1beg[3] , \tile_x4y8_s1beg[2] , \tile_x4y8_s1beg[1] , \tile_x4y8_s1beg[0] }),
.top_s2end({ \tile_x4y8_s2begb[7] , \tile_x4y8_s2begb[6] , \tile_x4y8_s2begb[5] , \tile_x4y8_s2begb[4] , \tile_x4y8_s2begb[3] , \tile_x4y8_s2begb[2] , \tile_x4y8_s2begb[1] , \tile_x4y8_s2begb[0] }),
.top_s2mid({ \tile_x4y8_s2beg[7] , \tile_x4y8_s2beg[6] , \tile_x4y8_s2beg[5] , \tile_x4y8_s2beg[4] , \tile_x4y8_s2beg[3] , \tile_x4y8_s2beg[2] , \tile_x4y8_s2beg[1] , \tile_x4y8_s2beg[0] }),
.top_s4end({ \tile_x4y8_s4beg[15] , \tile_x4y8_s4beg[14] , \tile_x4y8_s4beg[13] , \tile_x4y8_s4beg[12] , \tile_x4y8_s4beg[11] , \tile_x4y8_s4beg[10] , \tile_x4y8_s4beg[9] , \tile_x4y8_s4beg[8] , \tile_x4y8_s4beg[7] , \tile_x4y8_s4beg[6] , \tile_x4y8_s4beg[5] , \tile_x4y8_s4beg[4] , \tile_x4y8_s4beg[3] , \tile_x4y8_s4beg[2] , \tile_x4y8_s4beg[1] , \tile_x4y8_s4beg[0] }),
.top_ss4end({ \tile_x4y8_ss4beg[15] , \tile_x4y8_ss4beg[14] , \tile_x4y8_ss4beg[13] , \tile_x4y8_ss4beg[12] , \tile_x4y8_ss4beg[11] , \tile_x4y8_ss4beg[10] , \tile_x4y8_ss4beg[9] , \tile_x4y8_ss4beg[8] , \tile_x4y8_ss4beg[7] , \tile_x4y8_ss4beg[6] , \tile_x4y8_ss4beg[5] , \tile_x4y8_ss4beg[4] , \tile_x4y8_ss4beg[3] , \tile_x4y8_ss4beg[2] , \tile_x4y8_ss4beg[1] , \tile_x4y8_ss4beg[0] }),
.top_w1beg({ \tile_x4y9_w1beg[3] , \tile_x4y9_w1beg[2] , \tile_x4y9_w1beg[1] , \tile_x4y9_w1beg[0] }),
.top_w1end({ \tile_x5y9_w1beg[3] , \tile_x5y9_w1beg[2] , \tile_x5y9_w1beg[1] , \tile_x5y9_w1beg[0] }),
.top_w2beg({ \tile_x4y9_w2beg[7] , \tile_x4y9_w2beg[6] , \tile_x4y9_w2beg[5] , \tile_x4y9_w2beg[4] , \tile_x4y9_w2beg[3] , \tile_x4y9_w2beg[2] , \tile_x4y9_w2beg[1] , \tile_x4y9_w2beg[0] }),
.top_w2begb({ \tile_x4y9_w2begb[7] , \tile_x4y9_w2begb[6] , \tile_x4y9_w2begb[5] , \tile_x4y9_w2begb[4] , \tile_x4y9_w2begb[3] , \tile_x4y9_w2begb[2] , \tile_x4y9_w2begb[1] , \tile_x4y9_w2begb[0] }),
.top_w2end({ \tile_x5y9_w2begb[7] , \tile_x5y9_w2begb[6] , \tile_x5y9_w2begb[5] , \tile_x5y9_w2begb[4] , \tile_x5y9_w2begb[3] , \tile_x5y9_w2begb[2] , \tile_x5y9_w2begb[1] , \tile_x5y9_w2begb[0] }),
.top_w2mid({ \tile_x5y9_w2beg[7] , \tile_x5y9_w2beg[6] , \tile_x5y9_w2beg[5] , \tile_x5y9_w2beg[4] , \tile_x5y9_w2beg[3] , \tile_x5y9_w2beg[2] , \tile_x5y9_w2beg[1] , \tile_x5y9_w2beg[0] }),
.top_w6beg({ \tile_x4y9_w6beg[11] , \tile_x4y9_w6beg[10] , \tile_x4y9_w6beg[9] , \tile_x4y9_w6beg[8] , \tile_x4y9_w6beg[7] , \tile_x4y9_w6beg[6] , \tile_x4y9_w6beg[5] , \tile_x4y9_w6beg[4] , \tile_x4y9_w6beg[3] , \tile_x4y9_w6beg[2] , \tile_x4y9_w6beg[1] , \tile_x4y9_w6beg[0] }),
.top_w6end({ \tile_x5y9_w6beg[11] , \tile_x5y9_w6beg[10] , \tile_x5y9_w6beg[9] , \tile_x5y9_w6beg[8] , \tile_x5y9_w6beg[7] , \tile_x5y9_w6beg[6] , \tile_x5y9_w6beg[5] , \tile_x5y9_w6beg[4] , \tile_x5y9_w6beg[3] , \tile_x5y9_w6beg[2] , \tile_x5y9_w6beg[1] , \tile_x5y9_w6beg[0] }),
.top_ww4beg({ \tile_x4y9_ww4beg[15] , \tile_x4y9_ww4beg[14] , \tile_x4y9_ww4beg[13] , \tile_x4y9_ww4beg[12] , \tile_x4y9_ww4beg[11] , \tile_x4y9_ww4beg[10] , \tile_x4y9_ww4beg[9] , \tile_x4y9_ww4beg[8] , \tile_x4y9_ww4beg[7] , \tile_x4y9_ww4beg[6] , \tile_x4y9_ww4beg[5] , \tile_x4y9_ww4beg[4] , \tile_x4y9_ww4beg[3] , \tile_x4y9_ww4beg[2] , \tile_x4y9_ww4beg[1] , \tile_x4y9_ww4beg[0] }),
.top_ww4end({ \tile_x5y9_ww4beg[15] , \tile_x5y9_ww4beg[14] , \tile_x5y9_ww4beg[13] , \tile_x5y9_ww4beg[12] , \tile_x5y9_ww4beg[11] , \tile_x5y9_ww4beg[10] , \tile_x5y9_ww4beg[9] , \tile_x5y9_ww4beg[8] , \tile_x5y9_ww4beg[7] , \tile_x5y9_ww4beg[6] , \tile_x5y9_ww4beg[5] , \tile_x5y9_ww4beg[4] , \tile_x5y9_ww4beg[3] , \tile_x5y9_ww4beg[2] , \tile_x5y9_ww4beg[1] , \tile_x5y9_ww4beg[0] })
);
n_term_single tile_x5y0_n_term_single (
.ci(tile_x5y1_co),
.framestrobe({ \tile_x5y1_framestrobe_o[19] , \tile_x5y1_framestrobe_o[18] , \tile_x5y1_framestrobe_o[17] , \tile_x5y1_framestrobe_o[16] , \tile_x5y1_framestrobe_o[15] , \tile_x5y1_framestrobe_o[14] , \tile_x5y1_framestrobe_o[13] , \tile_x5y1_framestrobe_o[12] , \tile_x5y1_framestrobe_o[11] , \tile_x5y1_framestrobe_o[10] , \tile_x5y1_framestrobe_o[9] , \tile_x5y1_framestrobe_o[8] , \tile_x5y1_framestrobe_o[7] , \tile_x5y1_framestrobe_o[6] , \tile_x5y1_framestrobe_o[5] , \tile_x5y1_framestrobe_o[4] , \tile_x5y1_framestrobe_o[3] , \tile_x5y1_framestrobe_o[2] , \tile_x5y1_framestrobe_o[1] , \tile_x5y1_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y0_framestrobe_o[19] , \tile_x5y0_framestrobe_o[18] , \tile_x5y0_framestrobe_o[17] , \tile_x5y0_framestrobe_o[16] , \tile_x5y0_framestrobe_o[15] , \tile_x5y0_framestrobe_o[14] , \tile_x5y0_framestrobe_o[13] , \tile_x5y0_framestrobe_o[12] , \tile_x5y0_framestrobe_o[11] , \tile_x5y0_framestrobe_o[10] , \tile_x5y0_framestrobe_o[9] , \tile_x5y0_framestrobe_o[8] , \tile_x5y0_framestrobe_o[7] , \tile_x5y0_framestrobe_o[6] , \tile_x5y0_framestrobe_o[5] , \tile_x5y0_framestrobe_o[4] , \tile_x5y0_framestrobe_o[3] , \tile_x5y0_framestrobe_o[2] , \tile_x5y0_framestrobe_o[1] , \tile_x5y0_framestrobe_o[0] }),
.n1end({ \tile_x5y1_n1beg[3] , \tile_x5y1_n1beg[2] , \tile_x5y1_n1beg[1] , \tile_x5y1_n1beg[0] }),
.n2end({ \tile_x5y1_n2begb[7] , \tile_x5y1_n2begb[6] , \tile_x5y1_n2begb[5] , \tile_x5y1_n2begb[4] , \tile_x5y1_n2begb[3] , \tile_x5y1_n2begb[2] , \tile_x5y1_n2begb[1] , \tile_x5y1_n2begb[0] }),
.n2mid({ \tile_x5y1_n2beg[7] , \tile_x5y1_n2beg[6] , \tile_x5y1_n2beg[5] , \tile_x5y1_n2beg[4] , \tile_x5y1_n2beg[3] , \tile_x5y1_n2beg[2] , \tile_x5y1_n2beg[1] , \tile_x5y1_n2beg[0] }),
.n4end({ \tile_x5y1_n4beg[15] , \tile_x5y1_n4beg[14] , \tile_x5y1_n4beg[13] , \tile_x5y1_n4beg[12] , \tile_x5y1_n4beg[11] , \tile_x5y1_n4beg[10] , \tile_x5y1_n4beg[9] , \tile_x5y1_n4beg[8] , \tile_x5y1_n4beg[7] , \tile_x5y1_n4beg[6] , \tile_x5y1_n4beg[5] , \tile_x5y1_n4beg[4] , \tile_x5y1_n4beg[3] , \tile_x5y1_n4beg[2] , \tile_x5y1_n4beg[1] , \tile_x5y1_n4beg[0] }),
.nn4end({ \tile_x5y1_nn4beg[15] , \tile_x5y1_nn4beg[14] , \tile_x5y1_nn4beg[13] , \tile_x5y1_nn4beg[12] , \tile_x5y1_nn4beg[11] , \tile_x5y1_nn4beg[10] , \tile_x5y1_nn4beg[9] , \tile_x5y1_nn4beg[8] , \tile_x5y1_nn4beg[7] , \tile_x5y1_nn4beg[6] , \tile_x5y1_nn4beg[5] , \tile_x5y1_nn4beg[4] , \tile_x5y1_nn4beg[3] , \tile_x5y1_nn4beg[2] , \tile_x5y1_nn4beg[1] , \tile_x5y1_nn4beg[0] }),
.s1beg({ \tile_x5y0_s1beg[3] , \tile_x5y0_s1beg[2] , \tile_x5y0_s1beg[1] , \tile_x5y0_s1beg[0] }),
.s2beg({ \tile_x5y0_s2beg[7] , \tile_x5y0_s2beg[6] , \tile_x5y0_s2beg[5] , \tile_x5y0_s2beg[4] , \tile_x5y0_s2beg[3] , \tile_x5y0_s2beg[2] , \tile_x5y0_s2beg[1] , \tile_x5y0_s2beg[0] }),
.s2begb({ \tile_x5y0_s2begb[7] , \tile_x5y0_s2begb[6] , \tile_x5y0_s2begb[5] , \tile_x5y0_s2begb[4] , \tile_x5y0_s2begb[3] , \tile_x5y0_s2begb[2] , \tile_x5y0_s2begb[1] , \tile_x5y0_s2begb[0] }),
.s4beg({ \tile_x5y0_s4beg[15] , \tile_x5y0_s4beg[14] , \tile_x5y0_s4beg[13] , \tile_x5y0_s4beg[12] , \tile_x5y0_s4beg[11] , \tile_x5y0_s4beg[10] , \tile_x5y0_s4beg[9] , \tile_x5y0_s4beg[8] , \tile_x5y0_s4beg[7] , \tile_x5y0_s4beg[6] , \tile_x5y0_s4beg[5] , \tile_x5y0_s4beg[4] , \tile_x5y0_s4beg[3] , \tile_x5y0_s4beg[2] , \tile_x5y0_s4beg[1] , \tile_x5y0_s4beg[0] }),
.ss4beg({ \tile_x5y0_ss4beg[15] , \tile_x5y0_ss4beg[14] , \tile_x5y0_ss4beg[13] , \tile_x5y0_ss4beg[12] , \tile_x5y0_ss4beg[11] , \tile_x5y0_ss4beg[10] , \tile_x5y0_ss4beg[9] , \tile_x5y0_ss4beg[8] , \tile_x5y0_ss4beg[7] , \tile_x5y0_ss4beg[6] , \tile_x5y0_ss4beg[5] , \tile_x5y0_ss4beg[4] , \tile_x5y0_ss4beg[3] , \tile_x5y0_ss4beg[2] , \tile_x5y0_ss4beg[1] , \tile_x5y0_ss4beg[0] }),
.userclk(tile_x5y1_userclko),
.userclko(tile_x5y0_userclko)
);
lut4ab tile_x5y10_lut4ab (
.ci(tile_x5y11_co),
.co(tile_x5y10_co),
.e1beg({ \tile_x5y10_e1beg[3] , \tile_x5y10_e1beg[2] , \tile_x5y10_e1beg[1] , \tile_x5y10_e1beg[0] }),
.e1end({ \tile_x4y10_e1beg[3] , \tile_x4y10_e1beg[2] , \tile_x4y10_e1beg[1] , \tile_x4y10_e1beg[0] }),
.e2beg({ \tile_x5y10_e2beg[7] , \tile_x5y10_e2beg[6] , \tile_x5y10_e2beg[5] , \tile_x5y10_e2beg[4] , \tile_x5y10_e2beg[3] , \tile_x5y10_e2beg[2] , \tile_x5y10_e2beg[1] , \tile_x5y10_e2beg[0] }),
.e2begb({ \tile_x5y10_e2begb[7] , \tile_x5y10_e2begb[6] , \tile_x5y10_e2begb[5] , \tile_x5y10_e2begb[4] , \tile_x5y10_e2begb[3] , \tile_x5y10_e2begb[2] , \tile_x5y10_e2begb[1] , \tile_x5y10_e2begb[0] }),
.e2end({ \tile_x4y10_e2begb[7] , \tile_x4y10_e2begb[6] , \tile_x4y10_e2begb[5] , \tile_x4y10_e2begb[4] , \tile_x4y10_e2begb[3] , \tile_x4y10_e2begb[2] , \tile_x4y10_e2begb[1] , \tile_x4y10_e2begb[0] }),
.e2mid({ \tile_x4y10_e2beg[7] , \tile_x4y10_e2beg[6] , \tile_x4y10_e2beg[5] , \tile_x4y10_e2beg[4] , \tile_x4y10_e2beg[3] , \tile_x4y10_e2beg[2] , \tile_x4y10_e2beg[1] , \tile_x4y10_e2beg[0] }),
.e6beg({ \tile_x5y10_e6beg[11] , \tile_x5y10_e6beg[10] , \tile_x5y10_e6beg[9] , \tile_x5y10_e6beg[8] , \tile_x5y10_e6beg[7] , \tile_x5y10_e6beg[6] , \tile_x5y10_e6beg[5] , \tile_x5y10_e6beg[4] , \tile_x5y10_e6beg[3] , \tile_x5y10_e6beg[2] , \tile_x5y10_e6beg[1] , \tile_x5y10_e6beg[0] }),
.e6end({ \tile_x4y10_e6beg[11] , \tile_x4y10_e6beg[10] , \tile_x4y10_e6beg[9] , \tile_x4y10_e6beg[8] , \tile_x4y10_e6beg[7] , \tile_x4y10_e6beg[6] , \tile_x4y10_e6beg[5] , \tile_x4y10_e6beg[4] , \tile_x4y10_e6beg[3] , \tile_x4y10_e6beg[2] , \tile_x4y10_e6beg[1] , \tile_x4y10_e6beg[0] }),
.ee4beg({ \tile_x5y10_ee4beg[15] , \tile_x5y10_ee4beg[14] , \tile_x5y10_ee4beg[13] , \tile_x5y10_ee4beg[12] , \tile_x5y10_ee4beg[11] , \tile_x5y10_ee4beg[10] , \tile_x5y10_ee4beg[9] , \tile_x5y10_ee4beg[8] , \tile_x5y10_ee4beg[7] , \tile_x5y10_ee4beg[6] , \tile_x5y10_ee4beg[5] , \tile_x5y10_ee4beg[4] , \tile_x5y10_ee4beg[3] , \tile_x5y10_ee4beg[2] , \tile_x5y10_ee4beg[1] , \tile_x5y10_ee4beg[0] }),
.ee4end({ \tile_x4y10_ee4beg[15] , \tile_x4y10_ee4beg[14] , \tile_x4y10_ee4beg[13] , \tile_x4y10_ee4beg[12] , \tile_x4y10_ee4beg[11] , \tile_x4y10_ee4beg[10] , \tile_x4y10_ee4beg[9] , \tile_x4y10_ee4beg[8] , \tile_x4y10_ee4beg[7] , \tile_x4y10_ee4beg[6] , \tile_x4y10_ee4beg[5] , \tile_x4y10_ee4beg[4] , \tile_x4y10_ee4beg[3] , \tile_x4y10_ee4beg[2] , \tile_x4y10_ee4beg[1] , \tile_x4y10_ee4beg[0] }),
.framedata({ \tile_x4y10_framedata_o[31] , \tile_x4y10_framedata_o[30] , \tile_x4y10_framedata_o[29] , \tile_x4y10_framedata_o[28] , \tile_x4y10_framedata_o[27] , \tile_x4y10_framedata_o[26] , \tile_x4y10_framedata_o[25] , \tile_x4y10_framedata_o[24] , \tile_x4y10_framedata_o[23] , \tile_x4y10_framedata_o[22] , \tile_x4y10_framedata_o[21] , \tile_x4y10_framedata_o[20] , \tile_x4y10_framedata_o[19] , \tile_x4y10_framedata_o[18] , \tile_x4y10_framedata_o[17] , \tile_x4y10_framedata_o[16] , \tile_x4y10_framedata_o[15] , \tile_x4y10_framedata_o[14] , \tile_x4y10_framedata_o[13] , \tile_x4y10_framedata_o[12] , \tile_x4y10_framedata_o[11] , \tile_x4y10_framedata_o[10] , \tile_x4y10_framedata_o[9] , \tile_x4y10_framedata_o[8] , \tile_x4y10_framedata_o[7] , \tile_x4y10_framedata_o[6] , \tile_x4y10_framedata_o[5] , \tile_x4y10_framedata_o[4] , \tile_x4y10_framedata_o[3] , \tile_x4y10_framedata_o[2] , \tile_x4y10_framedata_o[1] , \tile_x4y10_framedata_o[0] }),
.framedata_o({ \tile_x5y10_framedata_o[31] , \tile_x5y10_framedata_o[30] , \tile_x5y10_framedata_o[29] , \tile_x5y10_framedata_o[28] , \tile_x5y10_framedata_o[27] , \tile_x5y10_framedata_o[26] , \tile_x5y10_framedata_o[25] , \tile_x5y10_framedata_o[24] , \tile_x5y10_framedata_o[23] , \tile_x5y10_framedata_o[22] , \tile_x5y10_framedata_o[21] , \tile_x5y10_framedata_o[20] , \tile_x5y10_framedata_o[19] , \tile_x5y10_framedata_o[18] , \tile_x5y10_framedata_o[17] , \tile_x5y10_framedata_o[16] , \tile_x5y10_framedata_o[15] , \tile_x5y10_framedata_o[14] , \tile_x5y10_framedata_o[13] , \tile_x5y10_framedata_o[12] , \tile_x5y10_framedata_o[11] , \tile_x5y10_framedata_o[10] , \tile_x5y10_framedata_o[9] , \tile_x5y10_framedata_o[8] , \tile_x5y10_framedata_o[7] , \tile_x5y10_framedata_o[6] , \tile_x5y10_framedata_o[5] , \tile_x5y10_framedata_o[4] , \tile_x5y10_framedata_o[3] , \tile_x5y10_framedata_o[2] , \tile_x5y10_framedata_o[1] , \tile_x5y10_framedata_o[0] }),
.framestrobe({ \tile_x5y11_framestrobe_o[19] , \tile_x5y11_framestrobe_o[18] , \tile_x5y11_framestrobe_o[17] , \tile_x5y11_framestrobe_o[16] , \tile_x5y11_framestrobe_o[15] , \tile_x5y11_framestrobe_o[14] , \tile_x5y11_framestrobe_o[13] , \tile_x5y11_framestrobe_o[12] , \tile_x5y11_framestrobe_o[11] , \tile_x5y11_framestrobe_o[10] , \tile_x5y11_framestrobe_o[9] , \tile_x5y11_framestrobe_o[8] , \tile_x5y11_framestrobe_o[7] , \tile_x5y11_framestrobe_o[6] , \tile_x5y11_framestrobe_o[5] , \tile_x5y11_framestrobe_o[4] , \tile_x5y11_framestrobe_o[3] , \tile_x5y11_framestrobe_o[2] , \tile_x5y11_framestrobe_o[1] , \tile_x5y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y10_framestrobe_o[19] , \tile_x5y10_framestrobe_o[18] , \tile_x5y10_framestrobe_o[17] , \tile_x5y10_framestrobe_o[16] , \tile_x5y10_framestrobe_o[15] , \tile_x5y10_framestrobe_o[14] , \tile_x5y10_framestrobe_o[13] , \tile_x5y10_framestrobe_o[12] , \tile_x5y10_framestrobe_o[11] , \tile_x5y10_framestrobe_o[10] , \tile_x5y10_framestrobe_o[9] , \tile_x5y10_framestrobe_o[8] , \tile_x5y10_framestrobe_o[7] , \tile_x5y10_framestrobe_o[6] , \tile_x5y10_framestrobe_o[5] , \tile_x5y10_framestrobe_o[4] , \tile_x5y10_framestrobe_o[3] , \tile_x5y10_framestrobe_o[2] , \tile_x5y10_framestrobe_o[1] , \tile_x5y10_framestrobe_o[0] }),
.n1beg({ \tile_x5y10_n1beg[3] , \tile_x5y10_n1beg[2] , \tile_x5y10_n1beg[1] , \tile_x5y10_n1beg[0] }),
.n1end({ \tile_x5y11_n1beg[3] , \tile_x5y11_n1beg[2] , \tile_x5y11_n1beg[1] , \tile_x5y11_n1beg[0] }),
.n2beg({ \tile_x5y10_n2beg[7] , \tile_x5y10_n2beg[6] , \tile_x5y10_n2beg[5] , \tile_x5y10_n2beg[4] , \tile_x5y10_n2beg[3] , \tile_x5y10_n2beg[2] , \tile_x5y10_n2beg[1] , \tile_x5y10_n2beg[0] }),
.n2begb({ \tile_x5y10_n2begb[7] , \tile_x5y10_n2begb[6] , \tile_x5y10_n2begb[5] , \tile_x5y10_n2begb[4] , \tile_x5y10_n2begb[3] , \tile_x5y10_n2begb[2] , \tile_x5y10_n2begb[1] , \tile_x5y10_n2begb[0] }),
.n2end({ \tile_x5y11_n2begb[7] , \tile_x5y11_n2begb[6] , \tile_x5y11_n2begb[5] , \tile_x5y11_n2begb[4] , \tile_x5y11_n2begb[3] , \tile_x5y11_n2begb[2] , \tile_x5y11_n2begb[1] , \tile_x5y11_n2begb[0] }),
.n2mid({ \tile_x5y11_n2beg[7] , \tile_x5y11_n2beg[6] , \tile_x5y11_n2beg[5] , \tile_x5y11_n2beg[4] , \tile_x5y11_n2beg[3] , \tile_x5y11_n2beg[2] , \tile_x5y11_n2beg[1] , \tile_x5y11_n2beg[0] }),
.n4beg({ \tile_x5y10_n4beg[15] , \tile_x5y10_n4beg[14] , \tile_x5y10_n4beg[13] , \tile_x5y10_n4beg[12] , \tile_x5y10_n4beg[11] , \tile_x5y10_n4beg[10] , \tile_x5y10_n4beg[9] , \tile_x5y10_n4beg[8] , \tile_x5y10_n4beg[7] , \tile_x5y10_n4beg[6] , \tile_x5y10_n4beg[5] , \tile_x5y10_n4beg[4] , \tile_x5y10_n4beg[3] , \tile_x5y10_n4beg[2] , \tile_x5y10_n4beg[1] , \tile_x5y10_n4beg[0] }),
.n4end({ \tile_x5y11_n4beg[15] , \tile_x5y11_n4beg[14] , \tile_x5y11_n4beg[13] , \tile_x5y11_n4beg[12] , \tile_x5y11_n4beg[11] , \tile_x5y11_n4beg[10] , \tile_x5y11_n4beg[9] , \tile_x5y11_n4beg[8] , \tile_x5y11_n4beg[7] , \tile_x5y11_n4beg[6] , \tile_x5y11_n4beg[5] , \tile_x5y11_n4beg[4] , \tile_x5y11_n4beg[3] , \tile_x5y11_n4beg[2] , \tile_x5y11_n4beg[1] , \tile_x5y11_n4beg[0] }),
.nn4beg({ \tile_x5y10_nn4beg[15] , \tile_x5y10_nn4beg[14] , \tile_x5y10_nn4beg[13] , \tile_x5y10_nn4beg[12] , \tile_x5y10_nn4beg[11] , \tile_x5y10_nn4beg[10] , \tile_x5y10_nn4beg[9] , \tile_x5y10_nn4beg[8] , \tile_x5y10_nn4beg[7] , \tile_x5y10_nn4beg[6] , \tile_x5y10_nn4beg[5] , \tile_x5y10_nn4beg[4] , \tile_x5y10_nn4beg[3] , \tile_x5y10_nn4beg[2] , \tile_x5y10_nn4beg[1] , \tile_x5y10_nn4beg[0] }),
.nn4end({ \tile_x5y11_nn4beg[15] , \tile_x5y11_nn4beg[14] , \tile_x5y11_nn4beg[13] , \tile_x5y11_nn4beg[12] , \tile_x5y11_nn4beg[11] , \tile_x5y11_nn4beg[10] , \tile_x5y11_nn4beg[9] , \tile_x5y11_nn4beg[8] , \tile_x5y11_nn4beg[7] , \tile_x5y11_nn4beg[6] , \tile_x5y11_nn4beg[5] , \tile_x5y11_nn4beg[4] , \tile_x5y11_nn4beg[3] , \tile_x5y11_nn4beg[2] , \tile_x5y11_nn4beg[1] , \tile_x5y11_nn4beg[0] }),
.s1beg({ \tile_x5y10_s1beg[3] , \tile_x5y10_s1beg[2] , \tile_x5y10_s1beg[1] , \tile_x5y10_s1beg[0] }),
.s1end({ \tile_x5y9_s1beg[3] , \tile_x5y9_s1beg[2] , \tile_x5y9_s1beg[1] , \tile_x5y9_s1beg[0] }),
.s2beg({ \tile_x5y10_s2beg[7] , \tile_x5y10_s2beg[6] , \tile_x5y10_s2beg[5] , \tile_x5y10_s2beg[4] , \tile_x5y10_s2beg[3] , \tile_x5y10_s2beg[2] , \tile_x5y10_s2beg[1] , \tile_x5y10_s2beg[0] }),
.s2begb({ \tile_x5y10_s2begb[7] , \tile_x5y10_s2begb[6] , \tile_x5y10_s2begb[5] , \tile_x5y10_s2begb[4] , \tile_x5y10_s2begb[3] , \tile_x5y10_s2begb[2] , \tile_x5y10_s2begb[1] , \tile_x5y10_s2begb[0] }),
.s2end({ \tile_x5y9_s2begb[7] , \tile_x5y9_s2begb[6] , \tile_x5y9_s2begb[5] , \tile_x5y9_s2begb[4] , \tile_x5y9_s2begb[3] , \tile_x5y9_s2begb[2] , \tile_x5y9_s2begb[1] , \tile_x5y9_s2begb[0] }),
.s2mid({ \tile_x5y9_s2beg[7] , \tile_x5y9_s2beg[6] , \tile_x5y9_s2beg[5] , \tile_x5y9_s2beg[4] , \tile_x5y9_s2beg[3] , \tile_x5y9_s2beg[2] , \tile_x5y9_s2beg[1] , \tile_x5y9_s2beg[0] }),
.s4beg({ \tile_x5y10_s4beg[15] , \tile_x5y10_s4beg[14] , \tile_x5y10_s4beg[13] , \tile_x5y10_s4beg[12] , \tile_x5y10_s4beg[11] , \tile_x5y10_s4beg[10] , \tile_x5y10_s4beg[9] , \tile_x5y10_s4beg[8] , \tile_x5y10_s4beg[7] , \tile_x5y10_s4beg[6] , \tile_x5y10_s4beg[5] , \tile_x5y10_s4beg[4] , \tile_x5y10_s4beg[3] , \tile_x5y10_s4beg[2] , \tile_x5y10_s4beg[1] , \tile_x5y10_s4beg[0] }),
.s4end({ \tile_x5y9_s4beg[15] , \tile_x5y9_s4beg[14] , \tile_x5y9_s4beg[13] , \tile_x5y9_s4beg[12] , \tile_x5y9_s4beg[11] , \tile_x5y9_s4beg[10] , \tile_x5y9_s4beg[9] , \tile_x5y9_s4beg[8] , \tile_x5y9_s4beg[7] , \tile_x5y9_s4beg[6] , \tile_x5y9_s4beg[5] , \tile_x5y9_s4beg[4] , \tile_x5y9_s4beg[3] , \tile_x5y9_s4beg[2] , \tile_x5y9_s4beg[1] , \tile_x5y9_s4beg[0] }),
.ss4beg({ \tile_x5y10_ss4beg[15] , \tile_x5y10_ss4beg[14] , \tile_x5y10_ss4beg[13] , \tile_x5y10_ss4beg[12] , \tile_x5y10_ss4beg[11] , \tile_x5y10_ss4beg[10] , \tile_x5y10_ss4beg[9] , \tile_x5y10_ss4beg[8] , \tile_x5y10_ss4beg[7] , \tile_x5y10_ss4beg[6] , \tile_x5y10_ss4beg[5] , \tile_x5y10_ss4beg[4] , \tile_x5y10_ss4beg[3] , \tile_x5y10_ss4beg[2] , \tile_x5y10_ss4beg[1] , \tile_x5y10_ss4beg[0] }),
.ss4end({ \tile_x5y9_ss4beg[15] , \tile_x5y9_ss4beg[14] , \tile_x5y9_ss4beg[13] , \tile_x5y9_ss4beg[12] , \tile_x5y9_ss4beg[11] , \tile_x5y9_ss4beg[10] , \tile_x5y9_ss4beg[9] , \tile_x5y9_ss4beg[8] , \tile_x5y9_ss4beg[7] , \tile_x5y9_ss4beg[6] , \tile_x5y9_ss4beg[5] , \tile_x5y9_ss4beg[4] , \tile_x5y9_ss4beg[3] , \tile_x5y9_ss4beg[2] , \tile_x5y9_ss4beg[1] , \tile_x5y9_ss4beg[0] }),
.userclk(tile_x5y11_userclko),
.userclko(tile_x5y10_userclko),
.w1beg({ \tile_x5y10_w1beg[3] , \tile_x5y10_w1beg[2] , \tile_x5y10_w1beg[1] , \tile_x5y10_w1beg[0] }),
.w1end({ \tile_x6y10_w1beg[3] , \tile_x6y10_w1beg[2] , \tile_x6y10_w1beg[1] , \tile_x6y10_w1beg[0] }),
.w2beg({ \tile_x5y10_w2beg[7] , \tile_x5y10_w2beg[6] , \tile_x5y10_w2beg[5] , \tile_x5y10_w2beg[4] , \tile_x5y10_w2beg[3] , \tile_x5y10_w2beg[2] , \tile_x5y10_w2beg[1] , \tile_x5y10_w2beg[0] }),
.w2begb({ \tile_x5y10_w2begb[7] , \tile_x5y10_w2begb[6] , \tile_x5y10_w2begb[5] , \tile_x5y10_w2begb[4] , \tile_x5y10_w2begb[3] , \tile_x5y10_w2begb[2] , \tile_x5y10_w2begb[1] , \tile_x5y10_w2begb[0] }),
.w2end({ \tile_x6y10_w2begb[7] , \tile_x6y10_w2begb[6] , \tile_x6y10_w2begb[5] , \tile_x6y10_w2begb[4] , \tile_x6y10_w2begb[3] , \tile_x6y10_w2begb[2] , \tile_x6y10_w2begb[1] , \tile_x6y10_w2begb[0] }),
.w2mid({ \tile_x6y10_w2beg[7] , \tile_x6y10_w2beg[6] , \tile_x6y10_w2beg[5] , \tile_x6y10_w2beg[4] , \tile_x6y10_w2beg[3] , \tile_x6y10_w2beg[2] , \tile_x6y10_w2beg[1] , \tile_x6y10_w2beg[0] }),
.w6beg({ \tile_x5y10_w6beg[11] , \tile_x5y10_w6beg[10] , \tile_x5y10_w6beg[9] , \tile_x5y10_w6beg[8] , \tile_x5y10_w6beg[7] , \tile_x5y10_w6beg[6] , \tile_x5y10_w6beg[5] , \tile_x5y10_w6beg[4] , \tile_x5y10_w6beg[3] , \tile_x5y10_w6beg[2] , \tile_x5y10_w6beg[1] , \tile_x5y10_w6beg[0] }),
.w6end({ \tile_x6y10_w6beg[11] , \tile_x6y10_w6beg[10] , \tile_x6y10_w6beg[9] , \tile_x6y10_w6beg[8] , \tile_x6y10_w6beg[7] , \tile_x6y10_w6beg[6] , \tile_x6y10_w6beg[5] , \tile_x6y10_w6beg[4] , \tile_x6y10_w6beg[3] , \tile_x6y10_w6beg[2] , \tile_x6y10_w6beg[1] , \tile_x6y10_w6beg[0] }),
.ww4beg({ \tile_x5y10_ww4beg[15] , \tile_x5y10_ww4beg[14] , \tile_x5y10_ww4beg[13] , \tile_x5y10_ww4beg[12] , \tile_x5y10_ww4beg[11] , \tile_x5y10_ww4beg[10] , \tile_x5y10_ww4beg[9] , \tile_x5y10_ww4beg[8] , \tile_x5y10_ww4beg[7] , \tile_x5y10_ww4beg[6] , \tile_x5y10_ww4beg[5] , \tile_x5y10_ww4beg[4] , \tile_x5y10_ww4beg[3] , \tile_x5y10_ww4beg[2] , \tile_x5y10_ww4beg[1] , \tile_x5y10_ww4beg[0] }),
.ww4end({ \tile_x6y10_ww4beg[15] , \tile_x6y10_ww4beg[14] , \tile_x6y10_ww4beg[13] , \tile_x6y10_ww4beg[12] , \tile_x6y10_ww4beg[11] , \tile_x6y10_ww4beg[10] , \tile_x6y10_ww4beg[9] , \tile_x6y10_ww4beg[8] , \tile_x6y10_ww4beg[7] , \tile_x6y10_ww4beg[6] , \tile_x6y10_ww4beg[5] , \tile_x6y10_ww4beg[4] , \tile_x6y10_ww4beg[3] , \tile_x6y10_ww4beg[2] , \tile_x6y10_ww4beg[1] , \tile_x6y10_ww4beg[0] })
);
lut4ab tile_x5y11_lut4ab (
.ci(tile_x5y12_co),
.co(tile_x5y11_co),
.e1beg({ \tile_x5y11_e1beg[3] , \tile_x5y11_e1beg[2] , \tile_x5y11_e1beg[1] , \tile_x5y11_e1beg[0] }),
.e1end({ \tile_x4y11_e1beg[3] , \tile_x4y11_e1beg[2] , \tile_x4y11_e1beg[1] , \tile_x4y11_e1beg[0] }),
.e2beg({ \tile_x5y11_e2beg[7] , \tile_x5y11_e2beg[6] , \tile_x5y11_e2beg[5] , \tile_x5y11_e2beg[4] , \tile_x5y11_e2beg[3] , \tile_x5y11_e2beg[2] , \tile_x5y11_e2beg[1] , \tile_x5y11_e2beg[0] }),
.e2begb({ \tile_x5y11_e2begb[7] , \tile_x5y11_e2begb[6] , \tile_x5y11_e2begb[5] , \tile_x5y11_e2begb[4] , \tile_x5y11_e2begb[3] , \tile_x5y11_e2begb[2] , \tile_x5y11_e2begb[1] , \tile_x5y11_e2begb[0] }),
.e2end({ \tile_x4y11_e2begb[7] , \tile_x4y11_e2begb[6] , \tile_x4y11_e2begb[5] , \tile_x4y11_e2begb[4] , \tile_x4y11_e2begb[3] , \tile_x4y11_e2begb[2] , \tile_x4y11_e2begb[1] , \tile_x4y11_e2begb[0] }),
.e2mid({ \tile_x4y11_e2beg[7] , \tile_x4y11_e2beg[6] , \tile_x4y11_e2beg[5] , \tile_x4y11_e2beg[4] , \tile_x4y11_e2beg[3] , \tile_x4y11_e2beg[2] , \tile_x4y11_e2beg[1] , \tile_x4y11_e2beg[0] }),
.e6beg({ \tile_x5y11_e6beg[11] , \tile_x5y11_e6beg[10] , \tile_x5y11_e6beg[9] , \tile_x5y11_e6beg[8] , \tile_x5y11_e6beg[7] , \tile_x5y11_e6beg[6] , \tile_x5y11_e6beg[5] , \tile_x5y11_e6beg[4] , \tile_x5y11_e6beg[3] , \tile_x5y11_e6beg[2] , \tile_x5y11_e6beg[1] , \tile_x5y11_e6beg[0] }),
.e6end({ \tile_x4y11_e6beg[11] , \tile_x4y11_e6beg[10] , \tile_x4y11_e6beg[9] , \tile_x4y11_e6beg[8] , \tile_x4y11_e6beg[7] , \tile_x4y11_e6beg[6] , \tile_x4y11_e6beg[5] , \tile_x4y11_e6beg[4] , \tile_x4y11_e6beg[3] , \tile_x4y11_e6beg[2] , \tile_x4y11_e6beg[1] , \tile_x4y11_e6beg[0] }),
.ee4beg({ \tile_x5y11_ee4beg[15] , \tile_x5y11_ee4beg[14] , \tile_x5y11_ee4beg[13] , \tile_x5y11_ee4beg[12] , \tile_x5y11_ee4beg[11] , \tile_x5y11_ee4beg[10] , \tile_x5y11_ee4beg[9] , \tile_x5y11_ee4beg[8] , \tile_x5y11_ee4beg[7] , \tile_x5y11_ee4beg[6] , \tile_x5y11_ee4beg[5] , \tile_x5y11_ee4beg[4] , \tile_x5y11_ee4beg[3] , \tile_x5y11_ee4beg[2] , \tile_x5y11_ee4beg[1] , \tile_x5y11_ee4beg[0] }),
.ee4end({ \tile_x4y11_ee4beg[15] , \tile_x4y11_ee4beg[14] , \tile_x4y11_ee4beg[13] , \tile_x4y11_ee4beg[12] , \tile_x4y11_ee4beg[11] , \tile_x4y11_ee4beg[10] , \tile_x4y11_ee4beg[9] , \tile_x4y11_ee4beg[8] , \tile_x4y11_ee4beg[7] , \tile_x4y11_ee4beg[6] , \tile_x4y11_ee4beg[5] , \tile_x4y11_ee4beg[4] , \tile_x4y11_ee4beg[3] , \tile_x4y11_ee4beg[2] , \tile_x4y11_ee4beg[1] , \tile_x4y11_ee4beg[0] }),
.framedata({ \tile_x4y11_framedata_o[31] , \tile_x4y11_framedata_o[30] , \tile_x4y11_framedata_o[29] , \tile_x4y11_framedata_o[28] , \tile_x4y11_framedata_o[27] , \tile_x4y11_framedata_o[26] , \tile_x4y11_framedata_o[25] , \tile_x4y11_framedata_o[24] , \tile_x4y11_framedata_o[23] , \tile_x4y11_framedata_o[22] , \tile_x4y11_framedata_o[21] , \tile_x4y11_framedata_o[20] , \tile_x4y11_framedata_o[19] , \tile_x4y11_framedata_o[18] , \tile_x4y11_framedata_o[17] , \tile_x4y11_framedata_o[16] , \tile_x4y11_framedata_o[15] , \tile_x4y11_framedata_o[14] , \tile_x4y11_framedata_o[13] , \tile_x4y11_framedata_o[12] , \tile_x4y11_framedata_o[11] , \tile_x4y11_framedata_o[10] , \tile_x4y11_framedata_o[9] , \tile_x4y11_framedata_o[8] , \tile_x4y11_framedata_o[7] , \tile_x4y11_framedata_o[6] , \tile_x4y11_framedata_o[5] , \tile_x4y11_framedata_o[4] , \tile_x4y11_framedata_o[3] , \tile_x4y11_framedata_o[2] , \tile_x4y11_framedata_o[1] , \tile_x4y11_framedata_o[0] }),
.framedata_o({ \tile_x5y11_framedata_o[31] , \tile_x5y11_framedata_o[30] , \tile_x5y11_framedata_o[29] , \tile_x5y11_framedata_o[28] , \tile_x5y11_framedata_o[27] , \tile_x5y11_framedata_o[26] , \tile_x5y11_framedata_o[25] , \tile_x5y11_framedata_o[24] , \tile_x5y11_framedata_o[23] , \tile_x5y11_framedata_o[22] , \tile_x5y11_framedata_o[21] , \tile_x5y11_framedata_o[20] , \tile_x5y11_framedata_o[19] , \tile_x5y11_framedata_o[18] , \tile_x5y11_framedata_o[17] , \tile_x5y11_framedata_o[16] , \tile_x5y11_framedata_o[15] , \tile_x5y11_framedata_o[14] , \tile_x5y11_framedata_o[13] , \tile_x5y11_framedata_o[12] , \tile_x5y11_framedata_o[11] , \tile_x5y11_framedata_o[10] , \tile_x5y11_framedata_o[9] , \tile_x5y11_framedata_o[8] , \tile_x5y11_framedata_o[7] , \tile_x5y11_framedata_o[6] , \tile_x5y11_framedata_o[5] , \tile_x5y11_framedata_o[4] , \tile_x5y11_framedata_o[3] , \tile_x5y11_framedata_o[2] , \tile_x5y11_framedata_o[1] , \tile_x5y11_framedata_o[0] }),
.framestrobe({ \tile_x5y12_framestrobe_o[19] , \tile_x5y12_framestrobe_o[18] , \tile_x5y12_framestrobe_o[17] , \tile_x5y12_framestrobe_o[16] , \tile_x5y12_framestrobe_o[15] , \tile_x5y12_framestrobe_o[14] , \tile_x5y12_framestrobe_o[13] , \tile_x5y12_framestrobe_o[12] , \tile_x5y12_framestrobe_o[11] , \tile_x5y12_framestrobe_o[10] , \tile_x5y12_framestrobe_o[9] , \tile_x5y12_framestrobe_o[8] , \tile_x5y12_framestrobe_o[7] , \tile_x5y12_framestrobe_o[6] , \tile_x5y12_framestrobe_o[5] , \tile_x5y12_framestrobe_o[4] , \tile_x5y12_framestrobe_o[3] , \tile_x5y12_framestrobe_o[2] , \tile_x5y12_framestrobe_o[1] , \tile_x5y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y11_framestrobe_o[19] , \tile_x5y11_framestrobe_o[18] , \tile_x5y11_framestrobe_o[17] , \tile_x5y11_framestrobe_o[16] , \tile_x5y11_framestrobe_o[15] , \tile_x5y11_framestrobe_o[14] , \tile_x5y11_framestrobe_o[13] , \tile_x5y11_framestrobe_o[12] , \tile_x5y11_framestrobe_o[11] , \tile_x5y11_framestrobe_o[10] , \tile_x5y11_framestrobe_o[9] , \tile_x5y11_framestrobe_o[8] , \tile_x5y11_framestrobe_o[7] , \tile_x5y11_framestrobe_o[6] , \tile_x5y11_framestrobe_o[5] , \tile_x5y11_framestrobe_o[4] , \tile_x5y11_framestrobe_o[3] , \tile_x5y11_framestrobe_o[2] , \tile_x5y11_framestrobe_o[1] , \tile_x5y11_framestrobe_o[0] }),
.n1beg({ \tile_x5y11_n1beg[3] , \tile_x5y11_n1beg[2] , \tile_x5y11_n1beg[1] , \tile_x5y11_n1beg[0] }),
.n1end({ \tile_x5y12_n1beg[3] , \tile_x5y12_n1beg[2] , \tile_x5y12_n1beg[1] , \tile_x5y12_n1beg[0] }),
.n2beg({ \tile_x5y11_n2beg[7] , \tile_x5y11_n2beg[6] , \tile_x5y11_n2beg[5] , \tile_x5y11_n2beg[4] , \tile_x5y11_n2beg[3] , \tile_x5y11_n2beg[2] , \tile_x5y11_n2beg[1] , \tile_x5y11_n2beg[0] }),
.n2begb({ \tile_x5y11_n2begb[7] , \tile_x5y11_n2begb[6] , \tile_x5y11_n2begb[5] , \tile_x5y11_n2begb[4] , \tile_x5y11_n2begb[3] , \tile_x5y11_n2begb[2] , \tile_x5y11_n2begb[1] , \tile_x5y11_n2begb[0] }),
.n2end({ \tile_x5y12_n2begb[7] , \tile_x5y12_n2begb[6] , \tile_x5y12_n2begb[5] , \tile_x5y12_n2begb[4] , \tile_x5y12_n2begb[3] , \tile_x5y12_n2begb[2] , \tile_x5y12_n2begb[1] , \tile_x5y12_n2begb[0] }),
.n2mid({ \tile_x5y12_n2beg[7] , \tile_x5y12_n2beg[6] , \tile_x5y12_n2beg[5] , \tile_x5y12_n2beg[4] , \tile_x5y12_n2beg[3] , \tile_x5y12_n2beg[2] , \tile_x5y12_n2beg[1] , \tile_x5y12_n2beg[0] }),
.n4beg({ \tile_x5y11_n4beg[15] , \tile_x5y11_n4beg[14] , \tile_x5y11_n4beg[13] , \tile_x5y11_n4beg[12] , \tile_x5y11_n4beg[11] , \tile_x5y11_n4beg[10] , \tile_x5y11_n4beg[9] , \tile_x5y11_n4beg[8] , \tile_x5y11_n4beg[7] , \tile_x5y11_n4beg[6] , \tile_x5y11_n4beg[5] , \tile_x5y11_n4beg[4] , \tile_x5y11_n4beg[3] , \tile_x5y11_n4beg[2] , \tile_x5y11_n4beg[1] , \tile_x5y11_n4beg[0] }),
.n4end({ \tile_x5y12_n4beg[15] , \tile_x5y12_n4beg[14] , \tile_x5y12_n4beg[13] , \tile_x5y12_n4beg[12] , \tile_x5y12_n4beg[11] , \tile_x5y12_n4beg[10] , \tile_x5y12_n4beg[9] , \tile_x5y12_n4beg[8] , \tile_x5y12_n4beg[7] , \tile_x5y12_n4beg[6] , \tile_x5y12_n4beg[5] , \tile_x5y12_n4beg[4] , \tile_x5y12_n4beg[3] , \tile_x5y12_n4beg[2] , \tile_x5y12_n4beg[1] , \tile_x5y12_n4beg[0] }),
.nn4beg({ \tile_x5y11_nn4beg[15] , \tile_x5y11_nn4beg[14] , \tile_x5y11_nn4beg[13] , \tile_x5y11_nn4beg[12] , \tile_x5y11_nn4beg[11] , \tile_x5y11_nn4beg[10] , \tile_x5y11_nn4beg[9] , \tile_x5y11_nn4beg[8] , \tile_x5y11_nn4beg[7] , \tile_x5y11_nn4beg[6] , \tile_x5y11_nn4beg[5] , \tile_x5y11_nn4beg[4] , \tile_x5y11_nn4beg[3] , \tile_x5y11_nn4beg[2] , \tile_x5y11_nn4beg[1] , \tile_x5y11_nn4beg[0] }),
.nn4end({ \tile_x5y12_nn4beg[15] , \tile_x5y12_nn4beg[14] , \tile_x5y12_nn4beg[13] , \tile_x5y12_nn4beg[12] , \tile_x5y12_nn4beg[11] , \tile_x5y12_nn4beg[10] , \tile_x5y12_nn4beg[9] , \tile_x5y12_nn4beg[8] , \tile_x5y12_nn4beg[7] , \tile_x5y12_nn4beg[6] , \tile_x5y12_nn4beg[5] , \tile_x5y12_nn4beg[4] , \tile_x5y12_nn4beg[3] , \tile_x5y12_nn4beg[2] , \tile_x5y12_nn4beg[1] , \tile_x5y12_nn4beg[0] }),
.s1beg({ \tile_x5y11_s1beg[3] , \tile_x5y11_s1beg[2] , \tile_x5y11_s1beg[1] , \tile_x5y11_s1beg[0] }),
.s1end({ \tile_x5y10_s1beg[3] , \tile_x5y10_s1beg[2] , \tile_x5y10_s1beg[1] , \tile_x5y10_s1beg[0] }),
.s2beg({ \tile_x5y11_s2beg[7] , \tile_x5y11_s2beg[6] , \tile_x5y11_s2beg[5] , \tile_x5y11_s2beg[4] , \tile_x5y11_s2beg[3] , \tile_x5y11_s2beg[2] , \tile_x5y11_s2beg[1] , \tile_x5y11_s2beg[0] }),
.s2begb({ \tile_x5y11_s2begb[7] , \tile_x5y11_s2begb[6] , \tile_x5y11_s2begb[5] , \tile_x5y11_s2begb[4] , \tile_x5y11_s2begb[3] , \tile_x5y11_s2begb[2] , \tile_x5y11_s2begb[1] , \tile_x5y11_s2begb[0] }),
.s2end({ \tile_x5y10_s2begb[7] , \tile_x5y10_s2begb[6] , \tile_x5y10_s2begb[5] , \tile_x5y10_s2begb[4] , \tile_x5y10_s2begb[3] , \tile_x5y10_s2begb[2] , \tile_x5y10_s2begb[1] , \tile_x5y10_s2begb[0] }),
.s2mid({ \tile_x5y10_s2beg[7] , \tile_x5y10_s2beg[6] , \tile_x5y10_s2beg[5] , \tile_x5y10_s2beg[4] , \tile_x5y10_s2beg[3] , \tile_x5y10_s2beg[2] , \tile_x5y10_s2beg[1] , \tile_x5y10_s2beg[0] }),
.s4beg({ \tile_x5y11_s4beg[15] , \tile_x5y11_s4beg[14] , \tile_x5y11_s4beg[13] , \tile_x5y11_s4beg[12] , \tile_x5y11_s4beg[11] , \tile_x5y11_s4beg[10] , \tile_x5y11_s4beg[9] , \tile_x5y11_s4beg[8] , \tile_x5y11_s4beg[7] , \tile_x5y11_s4beg[6] , \tile_x5y11_s4beg[5] , \tile_x5y11_s4beg[4] , \tile_x5y11_s4beg[3] , \tile_x5y11_s4beg[2] , \tile_x5y11_s4beg[1] , \tile_x5y11_s4beg[0] }),
.s4end({ \tile_x5y10_s4beg[15] , \tile_x5y10_s4beg[14] , \tile_x5y10_s4beg[13] , \tile_x5y10_s4beg[12] , \tile_x5y10_s4beg[11] , \tile_x5y10_s4beg[10] , \tile_x5y10_s4beg[9] , \tile_x5y10_s4beg[8] , \tile_x5y10_s4beg[7] , \tile_x5y10_s4beg[6] , \tile_x5y10_s4beg[5] , \tile_x5y10_s4beg[4] , \tile_x5y10_s4beg[3] , \tile_x5y10_s4beg[2] , \tile_x5y10_s4beg[1] , \tile_x5y10_s4beg[0] }),
.ss4beg({ \tile_x5y11_ss4beg[15] , \tile_x5y11_ss4beg[14] , \tile_x5y11_ss4beg[13] , \tile_x5y11_ss4beg[12] , \tile_x5y11_ss4beg[11] , \tile_x5y11_ss4beg[10] , \tile_x5y11_ss4beg[9] , \tile_x5y11_ss4beg[8] , \tile_x5y11_ss4beg[7] , \tile_x5y11_ss4beg[6] , \tile_x5y11_ss4beg[5] , \tile_x5y11_ss4beg[4] , \tile_x5y11_ss4beg[3] , \tile_x5y11_ss4beg[2] , \tile_x5y11_ss4beg[1] , \tile_x5y11_ss4beg[0] }),
.ss4end({ \tile_x5y10_ss4beg[15] , \tile_x5y10_ss4beg[14] , \tile_x5y10_ss4beg[13] , \tile_x5y10_ss4beg[12] , \tile_x5y10_ss4beg[11] , \tile_x5y10_ss4beg[10] , \tile_x5y10_ss4beg[9] , \tile_x5y10_ss4beg[8] , \tile_x5y10_ss4beg[7] , \tile_x5y10_ss4beg[6] , \tile_x5y10_ss4beg[5] , \tile_x5y10_ss4beg[4] , \tile_x5y10_ss4beg[3] , \tile_x5y10_ss4beg[2] , \tile_x5y10_ss4beg[1] , \tile_x5y10_ss4beg[0] }),
.userclk(tile_x5y12_userclko),
.userclko(tile_x5y11_userclko),
.w1beg({ \tile_x5y11_w1beg[3] , \tile_x5y11_w1beg[2] , \tile_x5y11_w1beg[1] , \tile_x5y11_w1beg[0] }),
.w1end({ \tile_x6y11_w1beg[3] , \tile_x6y11_w1beg[2] , \tile_x6y11_w1beg[1] , \tile_x6y11_w1beg[0] }),
.w2beg({ \tile_x5y11_w2beg[7] , \tile_x5y11_w2beg[6] , \tile_x5y11_w2beg[5] , \tile_x5y11_w2beg[4] , \tile_x5y11_w2beg[3] , \tile_x5y11_w2beg[2] , \tile_x5y11_w2beg[1] , \tile_x5y11_w2beg[0] }),
.w2begb({ \tile_x5y11_w2begb[7] , \tile_x5y11_w2begb[6] , \tile_x5y11_w2begb[5] , \tile_x5y11_w2begb[4] , \tile_x5y11_w2begb[3] , \tile_x5y11_w2begb[2] , \tile_x5y11_w2begb[1] , \tile_x5y11_w2begb[0] }),
.w2end({ \tile_x6y11_w2begb[7] , \tile_x6y11_w2begb[6] , \tile_x6y11_w2begb[5] , \tile_x6y11_w2begb[4] , \tile_x6y11_w2begb[3] , \tile_x6y11_w2begb[2] , \tile_x6y11_w2begb[1] , \tile_x6y11_w2begb[0] }),
.w2mid({ \tile_x6y11_w2beg[7] , \tile_x6y11_w2beg[6] , \tile_x6y11_w2beg[5] , \tile_x6y11_w2beg[4] , \tile_x6y11_w2beg[3] , \tile_x6y11_w2beg[2] , \tile_x6y11_w2beg[1] , \tile_x6y11_w2beg[0] }),
.w6beg({ \tile_x5y11_w6beg[11] , \tile_x5y11_w6beg[10] , \tile_x5y11_w6beg[9] , \tile_x5y11_w6beg[8] , \tile_x5y11_w6beg[7] , \tile_x5y11_w6beg[6] , \tile_x5y11_w6beg[5] , \tile_x5y11_w6beg[4] , \tile_x5y11_w6beg[3] , \tile_x5y11_w6beg[2] , \tile_x5y11_w6beg[1] , \tile_x5y11_w6beg[0] }),
.w6end({ \tile_x6y11_w6beg[11] , \tile_x6y11_w6beg[10] , \tile_x6y11_w6beg[9] , \tile_x6y11_w6beg[8] , \tile_x6y11_w6beg[7] , \tile_x6y11_w6beg[6] , \tile_x6y11_w6beg[5] , \tile_x6y11_w6beg[4] , \tile_x6y11_w6beg[3] , \tile_x6y11_w6beg[2] , \tile_x6y11_w6beg[1] , \tile_x6y11_w6beg[0] }),
.ww4beg({ \tile_x5y11_ww4beg[15] , \tile_x5y11_ww4beg[14] , \tile_x5y11_ww4beg[13] , \tile_x5y11_ww4beg[12] , \tile_x5y11_ww4beg[11] , \tile_x5y11_ww4beg[10] , \tile_x5y11_ww4beg[9] , \tile_x5y11_ww4beg[8] , \tile_x5y11_ww4beg[7] , \tile_x5y11_ww4beg[6] , \tile_x5y11_ww4beg[5] , \tile_x5y11_ww4beg[4] , \tile_x5y11_ww4beg[3] , \tile_x5y11_ww4beg[2] , \tile_x5y11_ww4beg[1] , \tile_x5y11_ww4beg[0] }),
.ww4end({ \tile_x6y11_ww4beg[15] , \tile_x6y11_ww4beg[14] , \tile_x6y11_ww4beg[13] , \tile_x6y11_ww4beg[12] , \tile_x6y11_ww4beg[11] , \tile_x6y11_ww4beg[10] , \tile_x6y11_ww4beg[9] , \tile_x6y11_ww4beg[8] , \tile_x6y11_ww4beg[7] , \tile_x6y11_ww4beg[6] , \tile_x6y11_ww4beg[5] , \tile_x6y11_ww4beg[4] , \tile_x6y11_ww4beg[3] , \tile_x6y11_ww4beg[2] , \tile_x6y11_ww4beg[1] , \tile_x6y11_ww4beg[0] })
);
lut4ab tile_x5y12_lut4ab (
.ci(tile_x5y13_co),
.co(tile_x5y12_co),
.e1beg({ \tile_x5y12_e1beg[3] , \tile_x5y12_e1beg[2] , \tile_x5y12_e1beg[1] , \tile_x5y12_e1beg[0] }),
.e1end({ \tile_x4y12_e1beg[3] , \tile_x4y12_e1beg[2] , \tile_x4y12_e1beg[1] , \tile_x4y12_e1beg[0] }),
.e2beg({ \tile_x5y12_e2beg[7] , \tile_x5y12_e2beg[6] , \tile_x5y12_e2beg[5] , \tile_x5y12_e2beg[4] , \tile_x5y12_e2beg[3] , \tile_x5y12_e2beg[2] , \tile_x5y12_e2beg[1] , \tile_x5y12_e2beg[0] }),
.e2begb({ \tile_x5y12_e2begb[7] , \tile_x5y12_e2begb[6] , \tile_x5y12_e2begb[5] , \tile_x5y12_e2begb[4] , \tile_x5y12_e2begb[3] , \tile_x5y12_e2begb[2] , \tile_x5y12_e2begb[1] , \tile_x5y12_e2begb[0] }),
.e2end({ \tile_x4y12_e2begb[7] , \tile_x4y12_e2begb[6] , \tile_x4y12_e2begb[5] , \tile_x4y12_e2begb[4] , \tile_x4y12_e2begb[3] , \tile_x4y12_e2begb[2] , \tile_x4y12_e2begb[1] , \tile_x4y12_e2begb[0] }),
.e2mid({ \tile_x4y12_e2beg[7] , \tile_x4y12_e2beg[6] , \tile_x4y12_e2beg[5] , \tile_x4y12_e2beg[4] , \tile_x4y12_e2beg[3] , \tile_x4y12_e2beg[2] , \tile_x4y12_e2beg[1] , \tile_x4y12_e2beg[0] }),
.e6beg({ \tile_x5y12_e6beg[11] , \tile_x5y12_e6beg[10] , \tile_x5y12_e6beg[9] , \tile_x5y12_e6beg[8] , \tile_x5y12_e6beg[7] , \tile_x5y12_e6beg[6] , \tile_x5y12_e6beg[5] , \tile_x5y12_e6beg[4] , \tile_x5y12_e6beg[3] , \tile_x5y12_e6beg[2] , \tile_x5y12_e6beg[1] , \tile_x5y12_e6beg[0] }),
.e6end({ \tile_x4y12_e6beg[11] , \tile_x4y12_e6beg[10] , \tile_x4y12_e6beg[9] , \tile_x4y12_e6beg[8] , \tile_x4y12_e6beg[7] , \tile_x4y12_e6beg[6] , \tile_x4y12_e6beg[5] , \tile_x4y12_e6beg[4] , \tile_x4y12_e6beg[3] , \tile_x4y12_e6beg[2] , \tile_x4y12_e6beg[1] , \tile_x4y12_e6beg[0] }),
.ee4beg({ \tile_x5y12_ee4beg[15] , \tile_x5y12_ee4beg[14] , \tile_x5y12_ee4beg[13] , \tile_x5y12_ee4beg[12] , \tile_x5y12_ee4beg[11] , \tile_x5y12_ee4beg[10] , \tile_x5y12_ee4beg[9] , \tile_x5y12_ee4beg[8] , \tile_x5y12_ee4beg[7] , \tile_x5y12_ee4beg[6] , \tile_x5y12_ee4beg[5] , \tile_x5y12_ee4beg[4] , \tile_x5y12_ee4beg[3] , \tile_x5y12_ee4beg[2] , \tile_x5y12_ee4beg[1] , \tile_x5y12_ee4beg[0] }),
.ee4end({ \tile_x4y12_ee4beg[15] , \tile_x4y12_ee4beg[14] , \tile_x4y12_ee4beg[13] , \tile_x4y12_ee4beg[12] , \tile_x4y12_ee4beg[11] , \tile_x4y12_ee4beg[10] , \tile_x4y12_ee4beg[9] , \tile_x4y12_ee4beg[8] , \tile_x4y12_ee4beg[7] , \tile_x4y12_ee4beg[6] , \tile_x4y12_ee4beg[5] , \tile_x4y12_ee4beg[4] , \tile_x4y12_ee4beg[3] , \tile_x4y12_ee4beg[2] , \tile_x4y12_ee4beg[1] , \tile_x4y12_ee4beg[0] }),
.framedata({ \tile_x4y12_framedata_o[31] , \tile_x4y12_framedata_o[30] , \tile_x4y12_framedata_o[29] , \tile_x4y12_framedata_o[28] , \tile_x4y12_framedata_o[27] , \tile_x4y12_framedata_o[26] , \tile_x4y12_framedata_o[25] , \tile_x4y12_framedata_o[24] , \tile_x4y12_framedata_o[23] , \tile_x4y12_framedata_o[22] , \tile_x4y12_framedata_o[21] , \tile_x4y12_framedata_o[20] , \tile_x4y12_framedata_o[19] , \tile_x4y12_framedata_o[18] , \tile_x4y12_framedata_o[17] , \tile_x4y12_framedata_o[16] , \tile_x4y12_framedata_o[15] , \tile_x4y12_framedata_o[14] , \tile_x4y12_framedata_o[13] , \tile_x4y12_framedata_o[12] , \tile_x4y12_framedata_o[11] , \tile_x4y12_framedata_o[10] , \tile_x4y12_framedata_o[9] , \tile_x4y12_framedata_o[8] , \tile_x4y12_framedata_o[7] , \tile_x4y12_framedata_o[6] , \tile_x4y12_framedata_o[5] , \tile_x4y12_framedata_o[4] , \tile_x4y12_framedata_o[3] , \tile_x4y12_framedata_o[2] , \tile_x4y12_framedata_o[1] , \tile_x4y12_framedata_o[0] }),
.framedata_o({ \tile_x5y12_framedata_o[31] , \tile_x5y12_framedata_o[30] , \tile_x5y12_framedata_o[29] , \tile_x5y12_framedata_o[28] , \tile_x5y12_framedata_o[27] , \tile_x5y12_framedata_o[26] , \tile_x5y12_framedata_o[25] , \tile_x5y12_framedata_o[24] , \tile_x5y12_framedata_o[23] , \tile_x5y12_framedata_o[22] , \tile_x5y12_framedata_o[21] , \tile_x5y12_framedata_o[20] , \tile_x5y12_framedata_o[19] , \tile_x5y12_framedata_o[18] , \tile_x5y12_framedata_o[17] , \tile_x5y12_framedata_o[16] , \tile_x5y12_framedata_o[15] , \tile_x5y12_framedata_o[14] , \tile_x5y12_framedata_o[13] , \tile_x5y12_framedata_o[12] , \tile_x5y12_framedata_o[11] , \tile_x5y12_framedata_o[10] , \tile_x5y12_framedata_o[9] , \tile_x5y12_framedata_o[8] , \tile_x5y12_framedata_o[7] , \tile_x5y12_framedata_o[6] , \tile_x5y12_framedata_o[5] , \tile_x5y12_framedata_o[4] , \tile_x5y12_framedata_o[3] , \tile_x5y12_framedata_o[2] , \tile_x5y12_framedata_o[1] , \tile_x5y12_framedata_o[0] }),
.framestrobe({ \tile_x5y13_framestrobe_o[19] , \tile_x5y13_framestrobe_o[18] , \tile_x5y13_framestrobe_o[17] , \tile_x5y13_framestrobe_o[16] , \tile_x5y13_framestrobe_o[15] , \tile_x5y13_framestrobe_o[14] , \tile_x5y13_framestrobe_o[13] , \tile_x5y13_framestrobe_o[12] , \tile_x5y13_framestrobe_o[11] , \tile_x5y13_framestrobe_o[10] , \tile_x5y13_framestrobe_o[9] , \tile_x5y13_framestrobe_o[8] , \tile_x5y13_framestrobe_o[7] , \tile_x5y13_framestrobe_o[6] , \tile_x5y13_framestrobe_o[5] , \tile_x5y13_framestrobe_o[4] , \tile_x5y13_framestrobe_o[3] , \tile_x5y13_framestrobe_o[2] , \tile_x5y13_framestrobe_o[1] , \tile_x5y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y12_framestrobe_o[19] , \tile_x5y12_framestrobe_o[18] , \tile_x5y12_framestrobe_o[17] , \tile_x5y12_framestrobe_o[16] , \tile_x5y12_framestrobe_o[15] , \tile_x5y12_framestrobe_o[14] , \tile_x5y12_framestrobe_o[13] , \tile_x5y12_framestrobe_o[12] , \tile_x5y12_framestrobe_o[11] , \tile_x5y12_framestrobe_o[10] , \tile_x5y12_framestrobe_o[9] , \tile_x5y12_framestrobe_o[8] , \tile_x5y12_framestrobe_o[7] , \tile_x5y12_framestrobe_o[6] , \tile_x5y12_framestrobe_o[5] , \tile_x5y12_framestrobe_o[4] , \tile_x5y12_framestrobe_o[3] , \tile_x5y12_framestrobe_o[2] , \tile_x5y12_framestrobe_o[1] , \tile_x5y12_framestrobe_o[0] }),
.n1beg({ \tile_x5y12_n1beg[3] , \tile_x5y12_n1beg[2] , \tile_x5y12_n1beg[1] , \tile_x5y12_n1beg[0] }),
.n1end({ \tile_x5y13_n1beg[3] , \tile_x5y13_n1beg[2] , \tile_x5y13_n1beg[1] , \tile_x5y13_n1beg[0] }),
.n2beg({ \tile_x5y12_n2beg[7] , \tile_x5y12_n2beg[6] , \tile_x5y12_n2beg[5] , \tile_x5y12_n2beg[4] , \tile_x5y12_n2beg[3] , \tile_x5y12_n2beg[2] , \tile_x5y12_n2beg[1] , \tile_x5y12_n2beg[0] }),
.n2begb({ \tile_x5y12_n2begb[7] , \tile_x5y12_n2begb[6] , \tile_x5y12_n2begb[5] , \tile_x5y12_n2begb[4] , \tile_x5y12_n2begb[3] , \tile_x5y12_n2begb[2] , \tile_x5y12_n2begb[1] , \tile_x5y12_n2begb[0] }),
.n2end({ \tile_x5y13_n2begb[7] , \tile_x5y13_n2begb[6] , \tile_x5y13_n2begb[5] , \tile_x5y13_n2begb[4] , \tile_x5y13_n2begb[3] , \tile_x5y13_n2begb[2] , \tile_x5y13_n2begb[1] , \tile_x5y13_n2begb[0] }),
.n2mid({ \tile_x5y13_n2beg[7] , \tile_x5y13_n2beg[6] , \tile_x5y13_n2beg[5] , \tile_x5y13_n2beg[4] , \tile_x5y13_n2beg[3] , \tile_x5y13_n2beg[2] , \tile_x5y13_n2beg[1] , \tile_x5y13_n2beg[0] }),
.n4beg({ \tile_x5y12_n4beg[15] , \tile_x5y12_n4beg[14] , \tile_x5y12_n4beg[13] , \tile_x5y12_n4beg[12] , \tile_x5y12_n4beg[11] , \tile_x5y12_n4beg[10] , \tile_x5y12_n4beg[9] , \tile_x5y12_n4beg[8] , \tile_x5y12_n4beg[7] , \tile_x5y12_n4beg[6] , \tile_x5y12_n4beg[5] , \tile_x5y12_n4beg[4] , \tile_x5y12_n4beg[3] , \tile_x5y12_n4beg[2] , \tile_x5y12_n4beg[1] , \tile_x5y12_n4beg[0] }),
.n4end({ \tile_x5y13_n4beg[15] , \tile_x5y13_n4beg[14] , \tile_x5y13_n4beg[13] , \tile_x5y13_n4beg[12] , \tile_x5y13_n4beg[11] , \tile_x5y13_n4beg[10] , \tile_x5y13_n4beg[9] , \tile_x5y13_n4beg[8] , \tile_x5y13_n4beg[7] , \tile_x5y13_n4beg[6] , \tile_x5y13_n4beg[5] , \tile_x5y13_n4beg[4] , \tile_x5y13_n4beg[3] , \tile_x5y13_n4beg[2] , \tile_x5y13_n4beg[1] , \tile_x5y13_n4beg[0] }),
.nn4beg({ \tile_x5y12_nn4beg[15] , \tile_x5y12_nn4beg[14] , \tile_x5y12_nn4beg[13] , \tile_x5y12_nn4beg[12] , \tile_x5y12_nn4beg[11] , \tile_x5y12_nn4beg[10] , \tile_x5y12_nn4beg[9] , \tile_x5y12_nn4beg[8] , \tile_x5y12_nn4beg[7] , \tile_x5y12_nn4beg[6] , \tile_x5y12_nn4beg[5] , \tile_x5y12_nn4beg[4] , \tile_x5y12_nn4beg[3] , \tile_x5y12_nn4beg[2] , \tile_x5y12_nn4beg[1] , \tile_x5y12_nn4beg[0] }),
.nn4end({ \tile_x5y13_nn4beg[15] , \tile_x5y13_nn4beg[14] , \tile_x5y13_nn4beg[13] , \tile_x5y13_nn4beg[12] , \tile_x5y13_nn4beg[11] , \tile_x5y13_nn4beg[10] , \tile_x5y13_nn4beg[9] , \tile_x5y13_nn4beg[8] , \tile_x5y13_nn4beg[7] , \tile_x5y13_nn4beg[6] , \tile_x5y13_nn4beg[5] , \tile_x5y13_nn4beg[4] , \tile_x5y13_nn4beg[3] , \tile_x5y13_nn4beg[2] , \tile_x5y13_nn4beg[1] , \tile_x5y13_nn4beg[0] }),
.s1beg({ \tile_x5y12_s1beg[3] , \tile_x5y12_s1beg[2] , \tile_x5y12_s1beg[1] , \tile_x5y12_s1beg[0] }),
.s1end({ \tile_x5y11_s1beg[3] , \tile_x5y11_s1beg[2] , \tile_x5y11_s1beg[1] , \tile_x5y11_s1beg[0] }),
.s2beg({ \tile_x5y12_s2beg[7] , \tile_x5y12_s2beg[6] , \tile_x5y12_s2beg[5] , \tile_x5y12_s2beg[4] , \tile_x5y12_s2beg[3] , \tile_x5y12_s2beg[2] , \tile_x5y12_s2beg[1] , \tile_x5y12_s2beg[0] }),
.s2begb({ \tile_x5y12_s2begb[7] , \tile_x5y12_s2begb[6] , \tile_x5y12_s2begb[5] , \tile_x5y12_s2begb[4] , \tile_x5y12_s2begb[3] , \tile_x5y12_s2begb[2] , \tile_x5y12_s2begb[1] , \tile_x5y12_s2begb[0] }),
.s2end({ \tile_x5y11_s2begb[7] , \tile_x5y11_s2begb[6] , \tile_x5y11_s2begb[5] , \tile_x5y11_s2begb[4] , \tile_x5y11_s2begb[3] , \tile_x5y11_s2begb[2] , \tile_x5y11_s2begb[1] , \tile_x5y11_s2begb[0] }),
.s2mid({ \tile_x5y11_s2beg[7] , \tile_x5y11_s2beg[6] , \tile_x5y11_s2beg[5] , \tile_x5y11_s2beg[4] , \tile_x5y11_s2beg[3] , \tile_x5y11_s2beg[2] , \tile_x5y11_s2beg[1] , \tile_x5y11_s2beg[0] }),
.s4beg({ \tile_x5y12_s4beg[15] , \tile_x5y12_s4beg[14] , \tile_x5y12_s4beg[13] , \tile_x5y12_s4beg[12] , \tile_x5y12_s4beg[11] , \tile_x5y12_s4beg[10] , \tile_x5y12_s4beg[9] , \tile_x5y12_s4beg[8] , \tile_x5y12_s4beg[7] , \tile_x5y12_s4beg[6] , \tile_x5y12_s4beg[5] , \tile_x5y12_s4beg[4] , \tile_x5y12_s4beg[3] , \tile_x5y12_s4beg[2] , \tile_x5y12_s4beg[1] , \tile_x5y12_s4beg[0] }),
.s4end({ \tile_x5y11_s4beg[15] , \tile_x5y11_s4beg[14] , \tile_x5y11_s4beg[13] , \tile_x5y11_s4beg[12] , \tile_x5y11_s4beg[11] , \tile_x5y11_s4beg[10] , \tile_x5y11_s4beg[9] , \tile_x5y11_s4beg[8] , \tile_x5y11_s4beg[7] , \tile_x5y11_s4beg[6] , \tile_x5y11_s4beg[5] , \tile_x5y11_s4beg[4] , \tile_x5y11_s4beg[3] , \tile_x5y11_s4beg[2] , \tile_x5y11_s4beg[1] , \tile_x5y11_s4beg[0] }),
.ss4beg({ \tile_x5y12_ss4beg[15] , \tile_x5y12_ss4beg[14] , \tile_x5y12_ss4beg[13] , \tile_x5y12_ss4beg[12] , \tile_x5y12_ss4beg[11] , \tile_x5y12_ss4beg[10] , \tile_x5y12_ss4beg[9] , \tile_x5y12_ss4beg[8] , \tile_x5y12_ss4beg[7] , \tile_x5y12_ss4beg[6] , \tile_x5y12_ss4beg[5] , \tile_x5y12_ss4beg[4] , \tile_x5y12_ss4beg[3] , \tile_x5y12_ss4beg[2] , \tile_x5y12_ss4beg[1] , \tile_x5y12_ss4beg[0] }),
.ss4end({ \tile_x5y11_ss4beg[15] , \tile_x5y11_ss4beg[14] , \tile_x5y11_ss4beg[13] , \tile_x5y11_ss4beg[12] , \tile_x5y11_ss4beg[11] , \tile_x5y11_ss4beg[10] , \tile_x5y11_ss4beg[9] , \tile_x5y11_ss4beg[8] , \tile_x5y11_ss4beg[7] , \tile_x5y11_ss4beg[6] , \tile_x5y11_ss4beg[5] , \tile_x5y11_ss4beg[4] , \tile_x5y11_ss4beg[3] , \tile_x5y11_ss4beg[2] , \tile_x5y11_ss4beg[1] , \tile_x5y11_ss4beg[0] }),
.userclk(tile_x5y13_userclko),
.userclko(tile_x5y12_userclko),
.w1beg({ \tile_x5y12_w1beg[3] , \tile_x5y12_w1beg[2] , \tile_x5y12_w1beg[1] , \tile_x5y12_w1beg[0] }),
.w1end({ \tile_x6y12_w1beg[3] , \tile_x6y12_w1beg[2] , \tile_x6y12_w1beg[1] , \tile_x6y12_w1beg[0] }),
.w2beg({ \tile_x5y12_w2beg[7] , \tile_x5y12_w2beg[6] , \tile_x5y12_w2beg[5] , \tile_x5y12_w2beg[4] , \tile_x5y12_w2beg[3] , \tile_x5y12_w2beg[2] , \tile_x5y12_w2beg[1] , \tile_x5y12_w2beg[0] }),
.w2begb({ \tile_x5y12_w2begb[7] , \tile_x5y12_w2begb[6] , \tile_x5y12_w2begb[5] , \tile_x5y12_w2begb[4] , \tile_x5y12_w2begb[3] , \tile_x5y12_w2begb[2] , \tile_x5y12_w2begb[1] , \tile_x5y12_w2begb[0] }),
.w2end({ \tile_x6y12_w2begb[7] , \tile_x6y12_w2begb[6] , \tile_x6y12_w2begb[5] , \tile_x6y12_w2begb[4] , \tile_x6y12_w2begb[3] , \tile_x6y12_w2begb[2] , \tile_x6y12_w2begb[1] , \tile_x6y12_w2begb[0] }),
.w2mid({ \tile_x6y12_w2beg[7] , \tile_x6y12_w2beg[6] , \tile_x6y12_w2beg[5] , \tile_x6y12_w2beg[4] , \tile_x6y12_w2beg[3] , \tile_x6y12_w2beg[2] , \tile_x6y12_w2beg[1] , \tile_x6y12_w2beg[0] }),
.w6beg({ \tile_x5y12_w6beg[11] , \tile_x5y12_w6beg[10] , \tile_x5y12_w6beg[9] , \tile_x5y12_w6beg[8] , \tile_x5y12_w6beg[7] , \tile_x5y12_w6beg[6] , \tile_x5y12_w6beg[5] , \tile_x5y12_w6beg[4] , \tile_x5y12_w6beg[3] , \tile_x5y12_w6beg[2] , \tile_x5y12_w6beg[1] , \tile_x5y12_w6beg[0] }),
.w6end({ \tile_x6y12_w6beg[11] , \tile_x6y12_w6beg[10] , \tile_x6y12_w6beg[9] , \tile_x6y12_w6beg[8] , \tile_x6y12_w6beg[7] , \tile_x6y12_w6beg[6] , \tile_x6y12_w6beg[5] , \tile_x6y12_w6beg[4] , \tile_x6y12_w6beg[3] , \tile_x6y12_w6beg[2] , \tile_x6y12_w6beg[1] , \tile_x6y12_w6beg[0] }),
.ww4beg({ \tile_x5y12_ww4beg[15] , \tile_x5y12_ww4beg[14] , \tile_x5y12_ww4beg[13] , \tile_x5y12_ww4beg[12] , \tile_x5y12_ww4beg[11] , \tile_x5y12_ww4beg[10] , \tile_x5y12_ww4beg[9] , \tile_x5y12_ww4beg[8] , \tile_x5y12_ww4beg[7] , \tile_x5y12_ww4beg[6] , \tile_x5y12_ww4beg[5] , \tile_x5y12_ww4beg[4] , \tile_x5y12_ww4beg[3] , \tile_x5y12_ww4beg[2] , \tile_x5y12_ww4beg[1] , \tile_x5y12_ww4beg[0] }),
.ww4end({ \tile_x6y12_ww4beg[15] , \tile_x6y12_ww4beg[14] , \tile_x6y12_ww4beg[13] , \tile_x6y12_ww4beg[12] , \tile_x6y12_ww4beg[11] , \tile_x6y12_ww4beg[10] , \tile_x6y12_ww4beg[9] , \tile_x6y12_ww4beg[8] , \tile_x6y12_ww4beg[7] , \tile_x6y12_ww4beg[6] , \tile_x6y12_ww4beg[5] , \tile_x6y12_ww4beg[4] , \tile_x6y12_ww4beg[3] , \tile_x6y12_ww4beg[2] , \tile_x6y12_ww4beg[1] , \tile_x6y12_ww4beg[0] })
);
lut4ab tile_x5y13_lut4ab (
.ci(tile_x5y14_co),
.co(tile_x5y13_co),
.e1beg({ \tile_x5y13_e1beg[3] , \tile_x5y13_e1beg[2] , \tile_x5y13_e1beg[1] , \tile_x5y13_e1beg[0] }),
.e1end({ \tile_x4y13_e1beg[3] , \tile_x4y13_e1beg[2] , \tile_x4y13_e1beg[1] , \tile_x4y13_e1beg[0] }),
.e2beg({ \tile_x5y13_e2beg[7] , \tile_x5y13_e2beg[6] , \tile_x5y13_e2beg[5] , \tile_x5y13_e2beg[4] , \tile_x5y13_e2beg[3] , \tile_x5y13_e2beg[2] , \tile_x5y13_e2beg[1] , \tile_x5y13_e2beg[0] }),
.e2begb({ \tile_x5y13_e2begb[7] , \tile_x5y13_e2begb[6] , \tile_x5y13_e2begb[5] , \tile_x5y13_e2begb[4] , \tile_x5y13_e2begb[3] , \tile_x5y13_e2begb[2] , \tile_x5y13_e2begb[1] , \tile_x5y13_e2begb[0] }),
.e2end({ \tile_x4y13_e2begb[7] , \tile_x4y13_e2begb[6] , \tile_x4y13_e2begb[5] , \tile_x4y13_e2begb[4] , \tile_x4y13_e2begb[3] , \tile_x4y13_e2begb[2] , \tile_x4y13_e2begb[1] , \tile_x4y13_e2begb[0] }),
.e2mid({ \tile_x4y13_e2beg[7] , \tile_x4y13_e2beg[6] , \tile_x4y13_e2beg[5] , \tile_x4y13_e2beg[4] , \tile_x4y13_e2beg[3] , \tile_x4y13_e2beg[2] , \tile_x4y13_e2beg[1] , \tile_x4y13_e2beg[0] }),
.e6beg({ \tile_x5y13_e6beg[11] , \tile_x5y13_e6beg[10] , \tile_x5y13_e6beg[9] , \tile_x5y13_e6beg[8] , \tile_x5y13_e6beg[7] , \tile_x5y13_e6beg[6] , \tile_x5y13_e6beg[5] , \tile_x5y13_e6beg[4] , \tile_x5y13_e6beg[3] , \tile_x5y13_e6beg[2] , \tile_x5y13_e6beg[1] , \tile_x5y13_e6beg[0] }),
.e6end({ \tile_x4y13_e6beg[11] , \tile_x4y13_e6beg[10] , \tile_x4y13_e6beg[9] , \tile_x4y13_e6beg[8] , \tile_x4y13_e6beg[7] , \tile_x4y13_e6beg[6] , \tile_x4y13_e6beg[5] , \tile_x4y13_e6beg[4] , \tile_x4y13_e6beg[3] , \tile_x4y13_e6beg[2] , \tile_x4y13_e6beg[1] , \tile_x4y13_e6beg[0] }),
.ee4beg({ \tile_x5y13_ee4beg[15] , \tile_x5y13_ee4beg[14] , \tile_x5y13_ee4beg[13] , \tile_x5y13_ee4beg[12] , \tile_x5y13_ee4beg[11] , \tile_x5y13_ee4beg[10] , \tile_x5y13_ee4beg[9] , \tile_x5y13_ee4beg[8] , \tile_x5y13_ee4beg[7] , \tile_x5y13_ee4beg[6] , \tile_x5y13_ee4beg[5] , \tile_x5y13_ee4beg[4] , \tile_x5y13_ee4beg[3] , \tile_x5y13_ee4beg[2] , \tile_x5y13_ee4beg[1] , \tile_x5y13_ee4beg[0] }),
.ee4end({ \tile_x4y13_ee4beg[15] , \tile_x4y13_ee4beg[14] , \tile_x4y13_ee4beg[13] , \tile_x4y13_ee4beg[12] , \tile_x4y13_ee4beg[11] , \tile_x4y13_ee4beg[10] , \tile_x4y13_ee4beg[9] , \tile_x4y13_ee4beg[8] , \tile_x4y13_ee4beg[7] , \tile_x4y13_ee4beg[6] , \tile_x4y13_ee4beg[5] , \tile_x4y13_ee4beg[4] , \tile_x4y13_ee4beg[3] , \tile_x4y13_ee4beg[2] , \tile_x4y13_ee4beg[1] , \tile_x4y13_ee4beg[0] }),
.framedata({ \tile_x4y13_framedata_o[31] , \tile_x4y13_framedata_o[30] , \tile_x4y13_framedata_o[29] , \tile_x4y13_framedata_o[28] , \tile_x4y13_framedata_o[27] , \tile_x4y13_framedata_o[26] , \tile_x4y13_framedata_o[25] , \tile_x4y13_framedata_o[24] , \tile_x4y13_framedata_o[23] , \tile_x4y13_framedata_o[22] , \tile_x4y13_framedata_o[21] , \tile_x4y13_framedata_o[20] , \tile_x4y13_framedata_o[19] , \tile_x4y13_framedata_o[18] , \tile_x4y13_framedata_o[17] , \tile_x4y13_framedata_o[16] , \tile_x4y13_framedata_o[15] , \tile_x4y13_framedata_o[14] , \tile_x4y13_framedata_o[13] , \tile_x4y13_framedata_o[12] , \tile_x4y13_framedata_o[11] , \tile_x4y13_framedata_o[10] , \tile_x4y13_framedata_o[9] , \tile_x4y13_framedata_o[8] , \tile_x4y13_framedata_o[7] , \tile_x4y13_framedata_o[6] , \tile_x4y13_framedata_o[5] , \tile_x4y13_framedata_o[4] , \tile_x4y13_framedata_o[3] , \tile_x4y13_framedata_o[2] , \tile_x4y13_framedata_o[1] , \tile_x4y13_framedata_o[0] }),
.framedata_o({ \tile_x5y13_framedata_o[31] , \tile_x5y13_framedata_o[30] , \tile_x5y13_framedata_o[29] , \tile_x5y13_framedata_o[28] , \tile_x5y13_framedata_o[27] , \tile_x5y13_framedata_o[26] , \tile_x5y13_framedata_o[25] , \tile_x5y13_framedata_o[24] , \tile_x5y13_framedata_o[23] , \tile_x5y13_framedata_o[22] , \tile_x5y13_framedata_o[21] , \tile_x5y13_framedata_o[20] , \tile_x5y13_framedata_o[19] , \tile_x5y13_framedata_o[18] , \tile_x5y13_framedata_o[17] , \tile_x5y13_framedata_o[16] , \tile_x5y13_framedata_o[15] , \tile_x5y13_framedata_o[14] , \tile_x5y13_framedata_o[13] , \tile_x5y13_framedata_o[12] , \tile_x5y13_framedata_o[11] , \tile_x5y13_framedata_o[10] , \tile_x5y13_framedata_o[9] , \tile_x5y13_framedata_o[8] , \tile_x5y13_framedata_o[7] , \tile_x5y13_framedata_o[6] , \tile_x5y13_framedata_o[5] , \tile_x5y13_framedata_o[4] , \tile_x5y13_framedata_o[3] , \tile_x5y13_framedata_o[2] , \tile_x5y13_framedata_o[1] , \tile_x5y13_framedata_o[0] }),
.framestrobe({ \tile_x5y14_framestrobe_o[19] , \tile_x5y14_framestrobe_o[18] , \tile_x5y14_framestrobe_o[17] , \tile_x5y14_framestrobe_o[16] , \tile_x5y14_framestrobe_o[15] , \tile_x5y14_framestrobe_o[14] , \tile_x5y14_framestrobe_o[13] , \tile_x5y14_framestrobe_o[12] , \tile_x5y14_framestrobe_o[11] , \tile_x5y14_framestrobe_o[10] , \tile_x5y14_framestrobe_o[9] , \tile_x5y14_framestrobe_o[8] , \tile_x5y14_framestrobe_o[7] , \tile_x5y14_framestrobe_o[6] , \tile_x5y14_framestrobe_o[5] , \tile_x5y14_framestrobe_o[4] , \tile_x5y14_framestrobe_o[3] , \tile_x5y14_framestrobe_o[2] , \tile_x5y14_framestrobe_o[1] , \tile_x5y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y13_framestrobe_o[19] , \tile_x5y13_framestrobe_o[18] , \tile_x5y13_framestrobe_o[17] , \tile_x5y13_framestrobe_o[16] , \tile_x5y13_framestrobe_o[15] , \tile_x5y13_framestrobe_o[14] , \tile_x5y13_framestrobe_o[13] , \tile_x5y13_framestrobe_o[12] , \tile_x5y13_framestrobe_o[11] , \tile_x5y13_framestrobe_o[10] , \tile_x5y13_framestrobe_o[9] , \tile_x5y13_framestrobe_o[8] , \tile_x5y13_framestrobe_o[7] , \tile_x5y13_framestrobe_o[6] , \tile_x5y13_framestrobe_o[5] , \tile_x5y13_framestrobe_o[4] , \tile_x5y13_framestrobe_o[3] , \tile_x5y13_framestrobe_o[2] , \tile_x5y13_framestrobe_o[1] , \tile_x5y13_framestrobe_o[0] }),
.n1beg({ \tile_x5y13_n1beg[3] , \tile_x5y13_n1beg[2] , \tile_x5y13_n1beg[1] , \tile_x5y13_n1beg[0] }),
.n1end({ \tile_x5y14_n1beg[3] , \tile_x5y14_n1beg[2] , \tile_x5y14_n1beg[1] , \tile_x5y14_n1beg[0] }),
.n2beg({ \tile_x5y13_n2beg[7] , \tile_x5y13_n2beg[6] , \tile_x5y13_n2beg[5] , \tile_x5y13_n2beg[4] , \tile_x5y13_n2beg[3] , \tile_x5y13_n2beg[2] , \tile_x5y13_n2beg[1] , \tile_x5y13_n2beg[0] }),
.n2begb({ \tile_x5y13_n2begb[7] , \tile_x5y13_n2begb[6] , \tile_x5y13_n2begb[5] , \tile_x5y13_n2begb[4] , \tile_x5y13_n2begb[3] , \tile_x5y13_n2begb[2] , \tile_x5y13_n2begb[1] , \tile_x5y13_n2begb[0] }),
.n2end({ \tile_x5y14_n2begb[7] , \tile_x5y14_n2begb[6] , \tile_x5y14_n2begb[5] , \tile_x5y14_n2begb[4] , \tile_x5y14_n2begb[3] , \tile_x5y14_n2begb[2] , \tile_x5y14_n2begb[1] , \tile_x5y14_n2begb[0] }),
.n2mid({ \tile_x5y14_n2beg[7] , \tile_x5y14_n2beg[6] , \tile_x5y14_n2beg[5] , \tile_x5y14_n2beg[4] , \tile_x5y14_n2beg[3] , \tile_x5y14_n2beg[2] , \tile_x5y14_n2beg[1] , \tile_x5y14_n2beg[0] }),
.n4beg({ \tile_x5y13_n4beg[15] , \tile_x5y13_n4beg[14] , \tile_x5y13_n4beg[13] , \tile_x5y13_n4beg[12] , \tile_x5y13_n4beg[11] , \tile_x5y13_n4beg[10] , \tile_x5y13_n4beg[9] , \tile_x5y13_n4beg[8] , \tile_x5y13_n4beg[7] , \tile_x5y13_n4beg[6] , \tile_x5y13_n4beg[5] , \tile_x5y13_n4beg[4] , \tile_x5y13_n4beg[3] , \tile_x5y13_n4beg[2] , \tile_x5y13_n4beg[1] , \tile_x5y13_n4beg[0] }),
.n4end({ \tile_x5y14_n4beg[15] , \tile_x5y14_n4beg[14] , \tile_x5y14_n4beg[13] , \tile_x5y14_n4beg[12] , \tile_x5y14_n4beg[11] , \tile_x5y14_n4beg[10] , \tile_x5y14_n4beg[9] , \tile_x5y14_n4beg[8] , \tile_x5y14_n4beg[7] , \tile_x5y14_n4beg[6] , \tile_x5y14_n4beg[5] , \tile_x5y14_n4beg[4] , \tile_x5y14_n4beg[3] , \tile_x5y14_n4beg[2] , \tile_x5y14_n4beg[1] , \tile_x5y14_n4beg[0] }),
.nn4beg({ \tile_x5y13_nn4beg[15] , \tile_x5y13_nn4beg[14] , \tile_x5y13_nn4beg[13] , \tile_x5y13_nn4beg[12] , \tile_x5y13_nn4beg[11] , \tile_x5y13_nn4beg[10] , \tile_x5y13_nn4beg[9] , \tile_x5y13_nn4beg[8] , \tile_x5y13_nn4beg[7] , \tile_x5y13_nn4beg[6] , \tile_x5y13_nn4beg[5] , \tile_x5y13_nn4beg[4] , \tile_x5y13_nn4beg[3] , \tile_x5y13_nn4beg[2] , \tile_x5y13_nn4beg[1] , \tile_x5y13_nn4beg[0] }),
.nn4end({ \tile_x5y14_nn4beg[15] , \tile_x5y14_nn4beg[14] , \tile_x5y14_nn4beg[13] , \tile_x5y14_nn4beg[12] , \tile_x5y14_nn4beg[11] , \tile_x5y14_nn4beg[10] , \tile_x5y14_nn4beg[9] , \tile_x5y14_nn4beg[8] , \tile_x5y14_nn4beg[7] , \tile_x5y14_nn4beg[6] , \tile_x5y14_nn4beg[5] , \tile_x5y14_nn4beg[4] , \tile_x5y14_nn4beg[3] , \tile_x5y14_nn4beg[2] , \tile_x5y14_nn4beg[1] , \tile_x5y14_nn4beg[0] }),
.s1beg({ \tile_x5y13_s1beg[3] , \tile_x5y13_s1beg[2] , \tile_x5y13_s1beg[1] , \tile_x5y13_s1beg[0] }),
.s1end({ \tile_x5y12_s1beg[3] , \tile_x5y12_s1beg[2] , \tile_x5y12_s1beg[1] , \tile_x5y12_s1beg[0] }),
.s2beg({ \tile_x5y13_s2beg[7] , \tile_x5y13_s2beg[6] , \tile_x5y13_s2beg[5] , \tile_x5y13_s2beg[4] , \tile_x5y13_s2beg[3] , \tile_x5y13_s2beg[2] , \tile_x5y13_s2beg[1] , \tile_x5y13_s2beg[0] }),
.s2begb({ \tile_x5y13_s2begb[7] , \tile_x5y13_s2begb[6] , \tile_x5y13_s2begb[5] , \tile_x5y13_s2begb[4] , \tile_x5y13_s2begb[3] , \tile_x5y13_s2begb[2] , \tile_x5y13_s2begb[1] , \tile_x5y13_s2begb[0] }),
.s2end({ \tile_x5y12_s2begb[7] , \tile_x5y12_s2begb[6] , \tile_x5y12_s2begb[5] , \tile_x5y12_s2begb[4] , \tile_x5y12_s2begb[3] , \tile_x5y12_s2begb[2] , \tile_x5y12_s2begb[1] , \tile_x5y12_s2begb[0] }),
.s2mid({ \tile_x5y12_s2beg[7] , \tile_x5y12_s2beg[6] , \tile_x5y12_s2beg[5] , \tile_x5y12_s2beg[4] , \tile_x5y12_s2beg[3] , \tile_x5y12_s2beg[2] , \tile_x5y12_s2beg[1] , \tile_x5y12_s2beg[0] }),
.s4beg({ \tile_x5y13_s4beg[15] , \tile_x5y13_s4beg[14] , \tile_x5y13_s4beg[13] , \tile_x5y13_s4beg[12] , \tile_x5y13_s4beg[11] , \tile_x5y13_s4beg[10] , \tile_x5y13_s4beg[9] , \tile_x5y13_s4beg[8] , \tile_x5y13_s4beg[7] , \tile_x5y13_s4beg[6] , \tile_x5y13_s4beg[5] , \tile_x5y13_s4beg[4] , \tile_x5y13_s4beg[3] , \tile_x5y13_s4beg[2] , \tile_x5y13_s4beg[1] , \tile_x5y13_s4beg[0] }),
.s4end({ \tile_x5y12_s4beg[15] , \tile_x5y12_s4beg[14] , \tile_x5y12_s4beg[13] , \tile_x5y12_s4beg[12] , \tile_x5y12_s4beg[11] , \tile_x5y12_s4beg[10] , \tile_x5y12_s4beg[9] , \tile_x5y12_s4beg[8] , \tile_x5y12_s4beg[7] , \tile_x5y12_s4beg[6] , \tile_x5y12_s4beg[5] , \tile_x5y12_s4beg[4] , \tile_x5y12_s4beg[3] , \tile_x5y12_s4beg[2] , \tile_x5y12_s4beg[1] , \tile_x5y12_s4beg[0] }),
.ss4beg({ \tile_x5y13_ss4beg[15] , \tile_x5y13_ss4beg[14] , \tile_x5y13_ss4beg[13] , \tile_x5y13_ss4beg[12] , \tile_x5y13_ss4beg[11] , \tile_x5y13_ss4beg[10] , \tile_x5y13_ss4beg[9] , \tile_x5y13_ss4beg[8] , \tile_x5y13_ss4beg[7] , \tile_x5y13_ss4beg[6] , \tile_x5y13_ss4beg[5] , \tile_x5y13_ss4beg[4] , \tile_x5y13_ss4beg[3] , \tile_x5y13_ss4beg[2] , \tile_x5y13_ss4beg[1] , \tile_x5y13_ss4beg[0] }),
.ss4end({ \tile_x5y12_ss4beg[15] , \tile_x5y12_ss4beg[14] , \tile_x5y12_ss4beg[13] , \tile_x5y12_ss4beg[12] , \tile_x5y12_ss4beg[11] , \tile_x5y12_ss4beg[10] , \tile_x5y12_ss4beg[9] , \tile_x5y12_ss4beg[8] , \tile_x5y12_ss4beg[7] , \tile_x5y12_ss4beg[6] , \tile_x5y12_ss4beg[5] , \tile_x5y12_ss4beg[4] , \tile_x5y12_ss4beg[3] , \tile_x5y12_ss4beg[2] , \tile_x5y12_ss4beg[1] , \tile_x5y12_ss4beg[0] }),
.userclk(tile_x5y14_userclko),
.userclko(tile_x5y13_userclko),
.w1beg({ \tile_x5y13_w1beg[3] , \tile_x5y13_w1beg[2] , \tile_x5y13_w1beg[1] , \tile_x5y13_w1beg[0] }),
.w1end({ \tile_x6y13_w1beg[3] , \tile_x6y13_w1beg[2] , \tile_x6y13_w1beg[1] , \tile_x6y13_w1beg[0] }),
.w2beg({ \tile_x5y13_w2beg[7] , \tile_x5y13_w2beg[6] , \tile_x5y13_w2beg[5] , \tile_x5y13_w2beg[4] , \tile_x5y13_w2beg[3] , \tile_x5y13_w2beg[2] , \tile_x5y13_w2beg[1] , \tile_x5y13_w2beg[0] }),
.w2begb({ \tile_x5y13_w2begb[7] , \tile_x5y13_w2begb[6] , \tile_x5y13_w2begb[5] , \tile_x5y13_w2begb[4] , \tile_x5y13_w2begb[3] , \tile_x5y13_w2begb[2] , \tile_x5y13_w2begb[1] , \tile_x5y13_w2begb[0] }),
.w2end({ \tile_x6y13_w2begb[7] , \tile_x6y13_w2begb[6] , \tile_x6y13_w2begb[5] , \tile_x6y13_w2begb[4] , \tile_x6y13_w2begb[3] , \tile_x6y13_w2begb[2] , \tile_x6y13_w2begb[1] , \tile_x6y13_w2begb[0] }),
.w2mid({ \tile_x6y13_w2beg[7] , \tile_x6y13_w2beg[6] , \tile_x6y13_w2beg[5] , \tile_x6y13_w2beg[4] , \tile_x6y13_w2beg[3] , \tile_x6y13_w2beg[2] , \tile_x6y13_w2beg[1] , \tile_x6y13_w2beg[0] }),
.w6beg({ \tile_x5y13_w6beg[11] , \tile_x5y13_w6beg[10] , \tile_x5y13_w6beg[9] , \tile_x5y13_w6beg[8] , \tile_x5y13_w6beg[7] , \tile_x5y13_w6beg[6] , \tile_x5y13_w6beg[5] , \tile_x5y13_w6beg[4] , \tile_x5y13_w6beg[3] , \tile_x5y13_w6beg[2] , \tile_x5y13_w6beg[1] , \tile_x5y13_w6beg[0] }),
.w6end({ \tile_x6y13_w6beg[11] , \tile_x6y13_w6beg[10] , \tile_x6y13_w6beg[9] , \tile_x6y13_w6beg[8] , \tile_x6y13_w6beg[7] , \tile_x6y13_w6beg[6] , \tile_x6y13_w6beg[5] , \tile_x6y13_w6beg[4] , \tile_x6y13_w6beg[3] , \tile_x6y13_w6beg[2] , \tile_x6y13_w6beg[1] , \tile_x6y13_w6beg[0] }),
.ww4beg({ \tile_x5y13_ww4beg[15] , \tile_x5y13_ww4beg[14] , \tile_x5y13_ww4beg[13] , \tile_x5y13_ww4beg[12] , \tile_x5y13_ww4beg[11] , \tile_x5y13_ww4beg[10] , \tile_x5y13_ww4beg[9] , \tile_x5y13_ww4beg[8] , \tile_x5y13_ww4beg[7] , \tile_x5y13_ww4beg[6] , \tile_x5y13_ww4beg[5] , \tile_x5y13_ww4beg[4] , \tile_x5y13_ww4beg[3] , \tile_x5y13_ww4beg[2] , \tile_x5y13_ww4beg[1] , \tile_x5y13_ww4beg[0] }),
.ww4end({ \tile_x6y13_ww4beg[15] , \tile_x6y13_ww4beg[14] , \tile_x6y13_ww4beg[13] , \tile_x6y13_ww4beg[12] , \tile_x6y13_ww4beg[11] , \tile_x6y13_ww4beg[10] , \tile_x6y13_ww4beg[9] , \tile_x6y13_ww4beg[8] , \tile_x6y13_ww4beg[7] , \tile_x6y13_ww4beg[6] , \tile_x6y13_ww4beg[5] , \tile_x6y13_ww4beg[4] , \tile_x6y13_ww4beg[3] , \tile_x6y13_ww4beg[2] , \tile_x6y13_ww4beg[1] , \tile_x6y13_ww4beg[0] })
);
lut4ab tile_x5y14_lut4ab (
.ci(tile_x5y15_co),
.co(tile_x5y14_co),
.e1beg({ \tile_x5y14_e1beg[3] , \tile_x5y14_e1beg[2] , \tile_x5y14_e1beg[1] , \tile_x5y14_e1beg[0] }),
.e1end({ \tile_x4y14_e1beg[3] , \tile_x4y14_e1beg[2] , \tile_x4y14_e1beg[1] , \tile_x4y14_e1beg[0] }),
.e2beg({ \tile_x5y14_e2beg[7] , \tile_x5y14_e2beg[6] , \tile_x5y14_e2beg[5] , \tile_x5y14_e2beg[4] , \tile_x5y14_e2beg[3] , \tile_x5y14_e2beg[2] , \tile_x5y14_e2beg[1] , \tile_x5y14_e2beg[0] }),
.e2begb({ \tile_x5y14_e2begb[7] , \tile_x5y14_e2begb[6] , \tile_x5y14_e2begb[5] , \tile_x5y14_e2begb[4] , \tile_x5y14_e2begb[3] , \tile_x5y14_e2begb[2] , \tile_x5y14_e2begb[1] , \tile_x5y14_e2begb[0] }),
.e2end({ \tile_x4y14_e2begb[7] , \tile_x4y14_e2begb[6] , \tile_x4y14_e2begb[5] , \tile_x4y14_e2begb[4] , \tile_x4y14_e2begb[3] , \tile_x4y14_e2begb[2] , \tile_x4y14_e2begb[1] , \tile_x4y14_e2begb[0] }),
.e2mid({ \tile_x4y14_e2beg[7] , \tile_x4y14_e2beg[6] , \tile_x4y14_e2beg[5] , \tile_x4y14_e2beg[4] , \tile_x4y14_e2beg[3] , \tile_x4y14_e2beg[2] , \tile_x4y14_e2beg[1] , \tile_x4y14_e2beg[0] }),
.e6beg({ \tile_x5y14_e6beg[11] , \tile_x5y14_e6beg[10] , \tile_x5y14_e6beg[9] , \tile_x5y14_e6beg[8] , \tile_x5y14_e6beg[7] , \tile_x5y14_e6beg[6] , \tile_x5y14_e6beg[5] , \tile_x5y14_e6beg[4] , \tile_x5y14_e6beg[3] , \tile_x5y14_e6beg[2] , \tile_x5y14_e6beg[1] , \tile_x5y14_e6beg[0] }),
.e6end({ \tile_x4y14_e6beg[11] , \tile_x4y14_e6beg[10] , \tile_x4y14_e6beg[9] , \tile_x4y14_e6beg[8] , \tile_x4y14_e6beg[7] , \tile_x4y14_e6beg[6] , \tile_x4y14_e6beg[5] , \tile_x4y14_e6beg[4] , \tile_x4y14_e6beg[3] , \tile_x4y14_e6beg[2] , \tile_x4y14_e6beg[1] , \tile_x4y14_e6beg[0] }),
.ee4beg({ \tile_x5y14_ee4beg[15] , \tile_x5y14_ee4beg[14] , \tile_x5y14_ee4beg[13] , \tile_x5y14_ee4beg[12] , \tile_x5y14_ee4beg[11] , \tile_x5y14_ee4beg[10] , \tile_x5y14_ee4beg[9] , \tile_x5y14_ee4beg[8] , \tile_x5y14_ee4beg[7] , \tile_x5y14_ee4beg[6] , \tile_x5y14_ee4beg[5] , \tile_x5y14_ee4beg[4] , \tile_x5y14_ee4beg[3] , \tile_x5y14_ee4beg[2] , \tile_x5y14_ee4beg[1] , \tile_x5y14_ee4beg[0] }),
.ee4end({ \tile_x4y14_ee4beg[15] , \tile_x4y14_ee4beg[14] , \tile_x4y14_ee4beg[13] , \tile_x4y14_ee4beg[12] , \tile_x4y14_ee4beg[11] , \tile_x4y14_ee4beg[10] , \tile_x4y14_ee4beg[9] , \tile_x4y14_ee4beg[8] , \tile_x4y14_ee4beg[7] , \tile_x4y14_ee4beg[6] , \tile_x4y14_ee4beg[5] , \tile_x4y14_ee4beg[4] , \tile_x4y14_ee4beg[3] , \tile_x4y14_ee4beg[2] , \tile_x4y14_ee4beg[1] , \tile_x4y14_ee4beg[0] }),
.framedata({ \tile_x4y14_framedata_o[31] , \tile_x4y14_framedata_o[30] , \tile_x4y14_framedata_o[29] , \tile_x4y14_framedata_o[28] , \tile_x4y14_framedata_o[27] , \tile_x4y14_framedata_o[26] , \tile_x4y14_framedata_o[25] , \tile_x4y14_framedata_o[24] , \tile_x4y14_framedata_o[23] , \tile_x4y14_framedata_o[22] , \tile_x4y14_framedata_o[21] , \tile_x4y14_framedata_o[20] , \tile_x4y14_framedata_o[19] , \tile_x4y14_framedata_o[18] , \tile_x4y14_framedata_o[17] , \tile_x4y14_framedata_o[16] , \tile_x4y14_framedata_o[15] , \tile_x4y14_framedata_o[14] , \tile_x4y14_framedata_o[13] , \tile_x4y14_framedata_o[12] , \tile_x4y14_framedata_o[11] , \tile_x4y14_framedata_o[10] , \tile_x4y14_framedata_o[9] , \tile_x4y14_framedata_o[8] , \tile_x4y14_framedata_o[7] , \tile_x4y14_framedata_o[6] , \tile_x4y14_framedata_o[5] , \tile_x4y14_framedata_o[4] , \tile_x4y14_framedata_o[3] , \tile_x4y14_framedata_o[2] , \tile_x4y14_framedata_o[1] , \tile_x4y14_framedata_o[0] }),
.framedata_o({ \tile_x5y14_framedata_o[31] , \tile_x5y14_framedata_o[30] , \tile_x5y14_framedata_o[29] , \tile_x5y14_framedata_o[28] , \tile_x5y14_framedata_o[27] , \tile_x5y14_framedata_o[26] , \tile_x5y14_framedata_o[25] , \tile_x5y14_framedata_o[24] , \tile_x5y14_framedata_o[23] , \tile_x5y14_framedata_o[22] , \tile_x5y14_framedata_o[21] , \tile_x5y14_framedata_o[20] , \tile_x5y14_framedata_o[19] , \tile_x5y14_framedata_o[18] , \tile_x5y14_framedata_o[17] , \tile_x5y14_framedata_o[16] , \tile_x5y14_framedata_o[15] , \tile_x5y14_framedata_o[14] , \tile_x5y14_framedata_o[13] , \tile_x5y14_framedata_o[12] , \tile_x5y14_framedata_o[11] , \tile_x5y14_framedata_o[10] , \tile_x5y14_framedata_o[9] , \tile_x5y14_framedata_o[8] , \tile_x5y14_framedata_o[7] , \tile_x5y14_framedata_o[6] , \tile_x5y14_framedata_o[5] , \tile_x5y14_framedata_o[4] , \tile_x5y14_framedata_o[3] , \tile_x5y14_framedata_o[2] , \tile_x5y14_framedata_o[1] , \tile_x5y14_framedata_o[0] }),
.framestrobe({ \tile_x5y15_framestrobe_o[19] , \tile_x5y15_framestrobe_o[18] , \tile_x5y15_framestrobe_o[17] , \tile_x5y15_framestrobe_o[16] , \tile_x5y15_framestrobe_o[15] , \tile_x5y15_framestrobe_o[14] , \tile_x5y15_framestrobe_o[13] , \tile_x5y15_framestrobe_o[12] , \tile_x5y15_framestrobe_o[11] , \tile_x5y15_framestrobe_o[10] , \tile_x5y15_framestrobe_o[9] , \tile_x5y15_framestrobe_o[8] , \tile_x5y15_framestrobe_o[7] , \tile_x5y15_framestrobe_o[6] , \tile_x5y15_framestrobe_o[5] , \tile_x5y15_framestrobe_o[4] , \tile_x5y15_framestrobe_o[3] , \tile_x5y15_framestrobe_o[2] , \tile_x5y15_framestrobe_o[1] , \tile_x5y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y14_framestrobe_o[19] , \tile_x5y14_framestrobe_o[18] , \tile_x5y14_framestrobe_o[17] , \tile_x5y14_framestrobe_o[16] , \tile_x5y14_framestrobe_o[15] , \tile_x5y14_framestrobe_o[14] , \tile_x5y14_framestrobe_o[13] , \tile_x5y14_framestrobe_o[12] , \tile_x5y14_framestrobe_o[11] , \tile_x5y14_framestrobe_o[10] , \tile_x5y14_framestrobe_o[9] , \tile_x5y14_framestrobe_o[8] , \tile_x5y14_framestrobe_o[7] , \tile_x5y14_framestrobe_o[6] , \tile_x5y14_framestrobe_o[5] , \tile_x5y14_framestrobe_o[4] , \tile_x5y14_framestrobe_o[3] , \tile_x5y14_framestrobe_o[2] , \tile_x5y14_framestrobe_o[1] , \tile_x5y14_framestrobe_o[0] }),
.n1beg({ \tile_x5y14_n1beg[3] , \tile_x5y14_n1beg[2] , \tile_x5y14_n1beg[1] , \tile_x5y14_n1beg[0] }),
.n1end({ \tile_x5y15_n1beg[3] , \tile_x5y15_n1beg[2] , \tile_x5y15_n1beg[1] , \tile_x5y15_n1beg[0] }),
.n2beg({ \tile_x5y14_n2beg[7] , \tile_x5y14_n2beg[6] , \tile_x5y14_n2beg[5] , \tile_x5y14_n2beg[4] , \tile_x5y14_n2beg[3] , \tile_x5y14_n2beg[2] , \tile_x5y14_n2beg[1] , \tile_x5y14_n2beg[0] }),
.n2begb({ \tile_x5y14_n2begb[7] , \tile_x5y14_n2begb[6] , \tile_x5y14_n2begb[5] , \tile_x5y14_n2begb[4] , \tile_x5y14_n2begb[3] , \tile_x5y14_n2begb[2] , \tile_x5y14_n2begb[1] , \tile_x5y14_n2begb[0] }),
.n2end({ \tile_x5y15_n2begb[7] , \tile_x5y15_n2begb[6] , \tile_x5y15_n2begb[5] , \tile_x5y15_n2begb[4] , \tile_x5y15_n2begb[3] , \tile_x5y15_n2begb[2] , \tile_x5y15_n2begb[1] , \tile_x5y15_n2begb[0] }),
.n2mid({ \tile_x5y15_n2beg[7] , \tile_x5y15_n2beg[6] , \tile_x5y15_n2beg[5] , \tile_x5y15_n2beg[4] , \tile_x5y15_n2beg[3] , \tile_x5y15_n2beg[2] , \tile_x5y15_n2beg[1] , \tile_x5y15_n2beg[0] }),
.n4beg({ \tile_x5y14_n4beg[15] , \tile_x5y14_n4beg[14] , \tile_x5y14_n4beg[13] , \tile_x5y14_n4beg[12] , \tile_x5y14_n4beg[11] , \tile_x5y14_n4beg[10] , \tile_x5y14_n4beg[9] , \tile_x5y14_n4beg[8] , \tile_x5y14_n4beg[7] , \tile_x5y14_n4beg[6] , \tile_x5y14_n4beg[5] , \tile_x5y14_n4beg[4] , \tile_x5y14_n4beg[3] , \tile_x5y14_n4beg[2] , \tile_x5y14_n4beg[1] , \tile_x5y14_n4beg[0] }),
.n4end({ \tile_x5y15_n4beg[15] , \tile_x5y15_n4beg[14] , \tile_x5y15_n4beg[13] , \tile_x5y15_n4beg[12] , \tile_x5y15_n4beg[11] , \tile_x5y15_n4beg[10] , \tile_x5y15_n4beg[9] , \tile_x5y15_n4beg[8] , \tile_x5y15_n4beg[7] , \tile_x5y15_n4beg[6] , \tile_x5y15_n4beg[5] , \tile_x5y15_n4beg[4] , \tile_x5y15_n4beg[3] , \tile_x5y15_n4beg[2] , \tile_x5y15_n4beg[1] , \tile_x5y15_n4beg[0] }),
.nn4beg({ \tile_x5y14_nn4beg[15] , \tile_x5y14_nn4beg[14] , \tile_x5y14_nn4beg[13] , \tile_x5y14_nn4beg[12] , \tile_x5y14_nn4beg[11] , \tile_x5y14_nn4beg[10] , \tile_x5y14_nn4beg[9] , \tile_x5y14_nn4beg[8] , \tile_x5y14_nn4beg[7] , \tile_x5y14_nn4beg[6] , \tile_x5y14_nn4beg[5] , \tile_x5y14_nn4beg[4] , \tile_x5y14_nn4beg[3] , \tile_x5y14_nn4beg[2] , \tile_x5y14_nn4beg[1] , \tile_x5y14_nn4beg[0] }),
.nn4end({ \tile_x5y15_nn4beg[15] , \tile_x5y15_nn4beg[14] , \tile_x5y15_nn4beg[13] , \tile_x5y15_nn4beg[12] , \tile_x5y15_nn4beg[11] , \tile_x5y15_nn4beg[10] , \tile_x5y15_nn4beg[9] , \tile_x5y15_nn4beg[8] , \tile_x5y15_nn4beg[7] , \tile_x5y15_nn4beg[6] , \tile_x5y15_nn4beg[5] , \tile_x5y15_nn4beg[4] , \tile_x5y15_nn4beg[3] , \tile_x5y15_nn4beg[2] , \tile_x5y15_nn4beg[1] , \tile_x5y15_nn4beg[0] }),
.s1beg({ \tile_x5y14_s1beg[3] , \tile_x5y14_s1beg[2] , \tile_x5y14_s1beg[1] , \tile_x5y14_s1beg[0] }),
.s1end({ \tile_x5y13_s1beg[3] , \tile_x5y13_s1beg[2] , \tile_x5y13_s1beg[1] , \tile_x5y13_s1beg[0] }),
.s2beg({ \tile_x5y14_s2beg[7] , \tile_x5y14_s2beg[6] , \tile_x5y14_s2beg[5] , \tile_x5y14_s2beg[4] , \tile_x5y14_s2beg[3] , \tile_x5y14_s2beg[2] , \tile_x5y14_s2beg[1] , \tile_x5y14_s2beg[0] }),
.s2begb({ \tile_x5y14_s2begb[7] , \tile_x5y14_s2begb[6] , \tile_x5y14_s2begb[5] , \tile_x5y14_s2begb[4] , \tile_x5y14_s2begb[3] , \tile_x5y14_s2begb[2] , \tile_x5y14_s2begb[1] , \tile_x5y14_s2begb[0] }),
.s2end({ \tile_x5y13_s2begb[7] , \tile_x5y13_s2begb[6] , \tile_x5y13_s2begb[5] , \tile_x5y13_s2begb[4] , \tile_x5y13_s2begb[3] , \tile_x5y13_s2begb[2] , \tile_x5y13_s2begb[1] , \tile_x5y13_s2begb[0] }),
.s2mid({ \tile_x5y13_s2beg[7] , \tile_x5y13_s2beg[6] , \tile_x5y13_s2beg[5] , \tile_x5y13_s2beg[4] , \tile_x5y13_s2beg[3] , \tile_x5y13_s2beg[2] , \tile_x5y13_s2beg[1] , \tile_x5y13_s2beg[0] }),
.s4beg({ \tile_x5y14_s4beg[15] , \tile_x5y14_s4beg[14] , \tile_x5y14_s4beg[13] , \tile_x5y14_s4beg[12] , \tile_x5y14_s4beg[11] , \tile_x5y14_s4beg[10] , \tile_x5y14_s4beg[9] , \tile_x5y14_s4beg[8] , \tile_x5y14_s4beg[7] , \tile_x5y14_s4beg[6] , \tile_x5y14_s4beg[5] , \tile_x5y14_s4beg[4] , \tile_x5y14_s4beg[3] , \tile_x5y14_s4beg[2] , \tile_x5y14_s4beg[1] , \tile_x5y14_s4beg[0] }),
.s4end({ \tile_x5y13_s4beg[15] , \tile_x5y13_s4beg[14] , \tile_x5y13_s4beg[13] , \tile_x5y13_s4beg[12] , \tile_x5y13_s4beg[11] , \tile_x5y13_s4beg[10] , \tile_x5y13_s4beg[9] , \tile_x5y13_s4beg[8] , \tile_x5y13_s4beg[7] , \tile_x5y13_s4beg[6] , \tile_x5y13_s4beg[5] , \tile_x5y13_s4beg[4] , \tile_x5y13_s4beg[3] , \tile_x5y13_s4beg[2] , \tile_x5y13_s4beg[1] , \tile_x5y13_s4beg[0] }),
.ss4beg({ \tile_x5y14_ss4beg[15] , \tile_x5y14_ss4beg[14] , \tile_x5y14_ss4beg[13] , \tile_x5y14_ss4beg[12] , \tile_x5y14_ss4beg[11] , \tile_x5y14_ss4beg[10] , \tile_x5y14_ss4beg[9] , \tile_x5y14_ss4beg[8] , \tile_x5y14_ss4beg[7] , \tile_x5y14_ss4beg[6] , \tile_x5y14_ss4beg[5] , \tile_x5y14_ss4beg[4] , \tile_x5y14_ss4beg[3] , \tile_x5y14_ss4beg[2] , \tile_x5y14_ss4beg[1] , \tile_x5y14_ss4beg[0] }),
.ss4end({ \tile_x5y13_ss4beg[15] , \tile_x5y13_ss4beg[14] , \tile_x5y13_ss4beg[13] , \tile_x5y13_ss4beg[12] , \tile_x5y13_ss4beg[11] , \tile_x5y13_ss4beg[10] , \tile_x5y13_ss4beg[9] , \tile_x5y13_ss4beg[8] , \tile_x5y13_ss4beg[7] , \tile_x5y13_ss4beg[6] , \tile_x5y13_ss4beg[5] , \tile_x5y13_ss4beg[4] , \tile_x5y13_ss4beg[3] , \tile_x5y13_ss4beg[2] , \tile_x5y13_ss4beg[1] , \tile_x5y13_ss4beg[0] }),
.userclk(tile_x5y15_userclko),
.userclko(tile_x5y14_userclko),
.w1beg({ \tile_x5y14_w1beg[3] , \tile_x5y14_w1beg[2] , \tile_x5y14_w1beg[1] , \tile_x5y14_w1beg[0] }),
.w1end({ \tile_x6y14_w1beg[3] , \tile_x6y14_w1beg[2] , \tile_x6y14_w1beg[1] , \tile_x6y14_w1beg[0] }),
.w2beg({ \tile_x5y14_w2beg[7] , \tile_x5y14_w2beg[6] , \tile_x5y14_w2beg[5] , \tile_x5y14_w2beg[4] , \tile_x5y14_w2beg[3] , \tile_x5y14_w2beg[2] , \tile_x5y14_w2beg[1] , \tile_x5y14_w2beg[0] }),
.w2begb({ \tile_x5y14_w2begb[7] , \tile_x5y14_w2begb[6] , \tile_x5y14_w2begb[5] , \tile_x5y14_w2begb[4] , \tile_x5y14_w2begb[3] , \tile_x5y14_w2begb[2] , \tile_x5y14_w2begb[1] , \tile_x5y14_w2begb[0] }),
.w2end({ \tile_x6y14_w2begb[7] , \tile_x6y14_w2begb[6] , \tile_x6y14_w2begb[5] , \tile_x6y14_w2begb[4] , \tile_x6y14_w2begb[3] , \tile_x6y14_w2begb[2] , \tile_x6y14_w2begb[1] , \tile_x6y14_w2begb[0] }),
.w2mid({ \tile_x6y14_w2beg[7] , \tile_x6y14_w2beg[6] , \tile_x6y14_w2beg[5] , \tile_x6y14_w2beg[4] , \tile_x6y14_w2beg[3] , \tile_x6y14_w2beg[2] , \tile_x6y14_w2beg[1] , \tile_x6y14_w2beg[0] }),
.w6beg({ \tile_x5y14_w6beg[11] , \tile_x5y14_w6beg[10] , \tile_x5y14_w6beg[9] , \tile_x5y14_w6beg[8] , \tile_x5y14_w6beg[7] , \tile_x5y14_w6beg[6] , \tile_x5y14_w6beg[5] , \tile_x5y14_w6beg[4] , \tile_x5y14_w6beg[3] , \tile_x5y14_w6beg[2] , \tile_x5y14_w6beg[1] , \tile_x5y14_w6beg[0] }),
.w6end({ \tile_x6y14_w6beg[11] , \tile_x6y14_w6beg[10] , \tile_x6y14_w6beg[9] , \tile_x6y14_w6beg[8] , \tile_x6y14_w6beg[7] , \tile_x6y14_w6beg[6] , \tile_x6y14_w6beg[5] , \tile_x6y14_w6beg[4] , \tile_x6y14_w6beg[3] , \tile_x6y14_w6beg[2] , \tile_x6y14_w6beg[1] , \tile_x6y14_w6beg[0] }),
.ww4beg({ \tile_x5y14_ww4beg[15] , \tile_x5y14_ww4beg[14] , \tile_x5y14_ww4beg[13] , \tile_x5y14_ww4beg[12] , \tile_x5y14_ww4beg[11] , \tile_x5y14_ww4beg[10] , \tile_x5y14_ww4beg[9] , \tile_x5y14_ww4beg[8] , \tile_x5y14_ww4beg[7] , \tile_x5y14_ww4beg[6] , \tile_x5y14_ww4beg[5] , \tile_x5y14_ww4beg[4] , \tile_x5y14_ww4beg[3] , \tile_x5y14_ww4beg[2] , \tile_x5y14_ww4beg[1] , \tile_x5y14_ww4beg[0] }),
.ww4end({ \tile_x6y14_ww4beg[15] , \tile_x6y14_ww4beg[14] , \tile_x6y14_ww4beg[13] , \tile_x6y14_ww4beg[12] , \tile_x6y14_ww4beg[11] , \tile_x6y14_ww4beg[10] , \tile_x6y14_ww4beg[9] , \tile_x6y14_ww4beg[8] , \tile_x6y14_ww4beg[7] , \tile_x6y14_ww4beg[6] , \tile_x6y14_ww4beg[5] , \tile_x6y14_ww4beg[4] , \tile_x6y14_ww4beg[3] , \tile_x6y14_ww4beg[2] , \tile_x6y14_ww4beg[1] , \tile_x6y14_ww4beg[0] })
);
s_term_single tile_x5y15_s_term_single (
.co(tile_x5y15_co),
.framestrobe(framestrobe[119:100]),
.framestrobe_o({ \tile_x5y15_framestrobe_o[19] , \tile_x5y15_framestrobe_o[18] , \tile_x5y15_framestrobe_o[17] , \tile_x5y15_framestrobe_o[16] , \tile_x5y15_framestrobe_o[15] , \tile_x5y15_framestrobe_o[14] , \tile_x5y15_framestrobe_o[13] , \tile_x5y15_framestrobe_o[12] , \tile_x5y15_framestrobe_o[11] , \tile_x5y15_framestrobe_o[10] , \tile_x5y15_framestrobe_o[9] , \tile_x5y15_framestrobe_o[8] , \tile_x5y15_framestrobe_o[7] , \tile_x5y15_framestrobe_o[6] , \tile_x5y15_framestrobe_o[5] , \tile_x5y15_framestrobe_o[4] , \tile_x5y15_framestrobe_o[3] , \tile_x5y15_framestrobe_o[2] , \tile_x5y15_framestrobe_o[1] , \tile_x5y15_framestrobe_o[0] }),
.n1beg({ \tile_x5y15_n1beg[3] , \tile_x5y15_n1beg[2] , \tile_x5y15_n1beg[1] , \tile_x5y15_n1beg[0] }),
.n2beg({ \tile_x5y15_n2beg[7] , \tile_x5y15_n2beg[6] , \tile_x5y15_n2beg[5] , \tile_x5y15_n2beg[4] , \tile_x5y15_n2beg[3] , \tile_x5y15_n2beg[2] , \tile_x5y15_n2beg[1] , \tile_x5y15_n2beg[0] }),
.n2begb({ \tile_x5y15_n2begb[7] , \tile_x5y15_n2begb[6] , \tile_x5y15_n2begb[5] , \tile_x5y15_n2begb[4] , \tile_x5y15_n2begb[3] , \tile_x5y15_n2begb[2] , \tile_x5y15_n2begb[1] , \tile_x5y15_n2begb[0] }),
.n4beg({ \tile_x5y15_n4beg[15] , \tile_x5y15_n4beg[14] , \tile_x5y15_n4beg[13] , \tile_x5y15_n4beg[12] , \tile_x5y15_n4beg[11] , \tile_x5y15_n4beg[10] , \tile_x5y15_n4beg[9] , \tile_x5y15_n4beg[8] , \tile_x5y15_n4beg[7] , \tile_x5y15_n4beg[6] , \tile_x5y15_n4beg[5] , \tile_x5y15_n4beg[4] , \tile_x5y15_n4beg[3] , \tile_x5y15_n4beg[2] , \tile_x5y15_n4beg[1] , \tile_x5y15_n4beg[0] }),
.nn4beg({ \tile_x5y15_nn4beg[15] , \tile_x5y15_nn4beg[14] , \tile_x5y15_nn4beg[13] , \tile_x5y15_nn4beg[12] , \tile_x5y15_nn4beg[11] , \tile_x5y15_nn4beg[10] , \tile_x5y15_nn4beg[9] , \tile_x5y15_nn4beg[8] , \tile_x5y15_nn4beg[7] , \tile_x5y15_nn4beg[6] , \tile_x5y15_nn4beg[5] , \tile_x5y15_nn4beg[4] , \tile_x5y15_nn4beg[3] , \tile_x5y15_nn4beg[2] , \tile_x5y15_nn4beg[1] , \tile_x5y15_nn4beg[0] }),
.s1end({ \tile_x5y14_s1beg[3] , \tile_x5y14_s1beg[2] , \tile_x5y14_s1beg[1] , \tile_x5y14_s1beg[0] }),
.s2end({ \tile_x5y14_s2begb[7] , \tile_x5y14_s2begb[6] , \tile_x5y14_s2begb[5] , \tile_x5y14_s2begb[4] , \tile_x5y14_s2begb[3] , \tile_x5y14_s2begb[2] , \tile_x5y14_s2begb[1] , \tile_x5y14_s2begb[0] }),
.s2mid({ \tile_x5y14_s2beg[7] , \tile_x5y14_s2beg[6] , \tile_x5y14_s2beg[5] , \tile_x5y14_s2beg[4] , \tile_x5y14_s2beg[3] , \tile_x5y14_s2beg[2] , \tile_x5y14_s2beg[1] , \tile_x5y14_s2beg[0] }),
.s4end({ \tile_x5y14_s4beg[15] , \tile_x5y14_s4beg[14] , \tile_x5y14_s4beg[13] , \tile_x5y14_s4beg[12] , \tile_x5y14_s4beg[11] , \tile_x5y14_s4beg[10] , \tile_x5y14_s4beg[9] , \tile_x5y14_s4beg[8] , \tile_x5y14_s4beg[7] , \tile_x5y14_s4beg[6] , \tile_x5y14_s4beg[5] , \tile_x5y14_s4beg[4] , \tile_x5y14_s4beg[3] , \tile_x5y14_s4beg[2] , \tile_x5y14_s4beg[1] , \tile_x5y14_s4beg[0] }),
.ss4end({ \tile_x5y14_ss4beg[15] , \tile_x5y14_ss4beg[14] , \tile_x5y14_ss4beg[13] , \tile_x5y14_ss4beg[12] , \tile_x5y14_ss4beg[11] , \tile_x5y14_ss4beg[10] , \tile_x5y14_ss4beg[9] , \tile_x5y14_ss4beg[8] , \tile_x5y14_ss4beg[7] , \tile_x5y14_ss4beg[6] , \tile_x5y14_ss4beg[5] , \tile_x5y14_ss4beg[4] , \tile_x5y14_ss4beg[3] , \tile_x5y14_ss4beg[2] , \tile_x5y14_ss4beg[1] , \tile_x5y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x5y15_userclko)
);
lut4ab tile_x5y1_lut4ab (
.ci(tile_x5y2_co),
.co(tile_x5y1_co),
.e1beg({ \tile_x5y1_e1beg[3] , \tile_x5y1_e1beg[2] , \tile_x5y1_e1beg[1] , \tile_x5y1_e1beg[0] }),
.e1end({ \tile_x4y1_e1beg[3] , \tile_x4y1_e1beg[2] , \tile_x4y1_e1beg[1] , \tile_x4y1_e1beg[0] }),
.e2beg({ \tile_x5y1_e2beg[7] , \tile_x5y1_e2beg[6] , \tile_x5y1_e2beg[5] , \tile_x5y1_e2beg[4] , \tile_x5y1_e2beg[3] , \tile_x5y1_e2beg[2] , \tile_x5y1_e2beg[1] , \tile_x5y1_e2beg[0] }),
.e2begb({ \tile_x5y1_e2begb[7] , \tile_x5y1_e2begb[6] , \tile_x5y1_e2begb[5] , \tile_x5y1_e2begb[4] , \tile_x5y1_e2begb[3] , \tile_x5y1_e2begb[2] , \tile_x5y1_e2begb[1] , \tile_x5y1_e2begb[0] }),
.e2end({ \tile_x4y1_e2begb[7] , \tile_x4y1_e2begb[6] , \tile_x4y1_e2begb[5] , \tile_x4y1_e2begb[4] , \tile_x4y1_e2begb[3] , \tile_x4y1_e2begb[2] , \tile_x4y1_e2begb[1] , \tile_x4y1_e2begb[0] }),
.e2mid({ \tile_x4y1_e2beg[7] , \tile_x4y1_e2beg[6] , \tile_x4y1_e2beg[5] , \tile_x4y1_e2beg[4] , \tile_x4y1_e2beg[3] , \tile_x4y1_e2beg[2] , \tile_x4y1_e2beg[1] , \tile_x4y1_e2beg[0] }),
.e6beg({ \tile_x5y1_e6beg[11] , \tile_x5y1_e6beg[10] , \tile_x5y1_e6beg[9] , \tile_x5y1_e6beg[8] , \tile_x5y1_e6beg[7] , \tile_x5y1_e6beg[6] , \tile_x5y1_e6beg[5] , \tile_x5y1_e6beg[4] , \tile_x5y1_e6beg[3] , \tile_x5y1_e6beg[2] , \tile_x5y1_e6beg[1] , \tile_x5y1_e6beg[0] }),
.e6end({ \tile_x4y1_e6beg[11] , \tile_x4y1_e6beg[10] , \tile_x4y1_e6beg[9] , \tile_x4y1_e6beg[8] , \tile_x4y1_e6beg[7] , \tile_x4y1_e6beg[6] , \tile_x4y1_e6beg[5] , \tile_x4y1_e6beg[4] , \tile_x4y1_e6beg[3] , \tile_x4y1_e6beg[2] , \tile_x4y1_e6beg[1] , \tile_x4y1_e6beg[0] }),
.ee4beg({ \tile_x5y1_ee4beg[15] , \tile_x5y1_ee4beg[14] , \tile_x5y1_ee4beg[13] , \tile_x5y1_ee4beg[12] , \tile_x5y1_ee4beg[11] , \tile_x5y1_ee4beg[10] , \tile_x5y1_ee4beg[9] , \tile_x5y1_ee4beg[8] , \tile_x5y1_ee4beg[7] , \tile_x5y1_ee4beg[6] , \tile_x5y1_ee4beg[5] , \tile_x5y1_ee4beg[4] , \tile_x5y1_ee4beg[3] , \tile_x5y1_ee4beg[2] , \tile_x5y1_ee4beg[1] , \tile_x5y1_ee4beg[0] }),
.ee4end({ \tile_x4y1_ee4beg[15] , \tile_x4y1_ee4beg[14] , \tile_x4y1_ee4beg[13] , \tile_x4y1_ee4beg[12] , \tile_x4y1_ee4beg[11] , \tile_x4y1_ee4beg[10] , \tile_x4y1_ee4beg[9] , \tile_x4y1_ee4beg[8] , \tile_x4y1_ee4beg[7] , \tile_x4y1_ee4beg[6] , \tile_x4y1_ee4beg[5] , \tile_x4y1_ee4beg[4] , \tile_x4y1_ee4beg[3] , \tile_x4y1_ee4beg[2] , \tile_x4y1_ee4beg[1] , \tile_x4y1_ee4beg[0] }),
.framedata({ \tile_x4y1_framedata_o[31] , \tile_x4y1_framedata_o[30] , \tile_x4y1_framedata_o[29] , \tile_x4y1_framedata_o[28] , \tile_x4y1_framedata_o[27] , \tile_x4y1_framedata_o[26] , \tile_x4y1_framedata_o[25] , \tile_x4y1_framedata_o[24] , \tile_x4y1_framedata_o[23] , \tile_x4y1_framedata_o[22] , \tile_x4y1_framedata_o[21] , \tile_x4y1_framedata_o[20] , \tile_x4y1_framedata_o[19] , \tile_x4y1_framedata_o[18] , \tile_x4y1_framedata_o[17] , \tile_x4y1_framedata_o[16] , \tile_x4y1_framedata_o[15] , \tile_x4y1_framedata_o[14] , \tile_x4y1_framedata_o[13] , \tile_x4y1_framedata_o[12] , \tile_x4y1_framedata_o[11] , \tile_x4y1_framedata_o[10] , \tile_x4y1_framedata_o[9] , \tile_x4y1_framedata_o[8] , \tile_x4y1_framedata_o[7] , \tile_x4y1_framedata_o[6] , \tile_x4y1_framedata_o[5] , \tile_x4y1_framedata_o[4] , \tile_x4y1_framedata_o[3] , \tile_x4y1_framedata_o[2] , \tile_x4y1_framedata_o[1] , \tile_x4y1_framedata_o[0] }),
.framedata_o({ \tile_x5y1_framedata_o[31] , \tile_x5y1_framedata_o[30] , \tile_x5y1_framedata_o[29] , \tile_x5y1_framedata_o[28] , \tile_x5y1_framedata_o[27] , \tile_x5y1_framedata_o[26] , \tile_x5y1_framedata_o[25] , \tile_x5y1_framedata_o[24] , \tile_x5y1_framedata_o[23] , \tile_x5y1_framedata_o[22] , \tile_x5y1_framedata_o[21] , \tile_x5y1_framedata_o[20] , \tile_x5y1_framedata_o[19] , \tile_x5y1_framedata_o[18] , \tile_x5y1_framedata_o[17] , \tile_x5y1_framedata_o[16] , \tile_x5y1_framedata_o[15] , \tile_x5y1_framedata_o[14] , \tile_x5y1_framedata_o[13] , \tile_x5y1_framedata_o[12] , \tile_x5y1_framedata_o[11] , \tile_x5y1_framedata_o[10] , \tile_x5y1_framedata_o[9] , \tile_x5y1_framedata_o[8] , \tile_x5y1_framedata_o[7] , \tile_x5y1_framedata_o[6] , \tile_x5y1_framedata_o[5] , \tile_x5y1_framedata_o[4] , \tile_x5y1_framedata_o[3] , \tile_x5y1_framedata_o[2] , \tile_x5y1_framedata_o[1] , \tile_x5y1_framedata_o[0] }),
.framestrobe({ \tile_x5y2_framestrobe_o[19] , \tile_x5y2_framestrobe_o[18] , \tile_x5y2_framestrobe_o[17] , \tile_x5y2_framestrobe_o[16] , \tile_x5y2_framestrobe_o[15] , \tile_x5y2_framestrobe_o[14] , \tile_x5y2_framestrobe_o[13] , \tile_x5y2_framestrobe_o[12] , \tile_x5y2_framestrobe_o[11] , \tile_x5y2_framestrobe_o[10] , \tile_x5y2_framestrobe_o[9] , \tile_x5y2_framestrobe_o[8] , \tile_x5y2_framestrobe_o[7] , \tile_x5y2_framestrobe_o[6] , \tile_x5y2_framestrobe_o[5] , \tile_x5y2_framestrobe_o[4] , \tile_x5y2_framestrobe_o[3] , \tile_x5y2_framestrobe_o[2] , \tile_x5y2_framestrobe_o[1] , \tile_x5y2_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y1_framestrobe_o[19] , \tile_x5y1_framestrobe_o[18] , \tile_x5y1_framestrobe_o[17] , \tile_x5y1_framestrobe_o[16] , \tile_x5y1_framestrobe_o[15] , \tile_x5y1_framestrobe_o[14] , \tile_x5y1_framestrobe_o[13] , \tile_x5y1_framestrobe_o[12] , \tile_x5y1_framestrobe_o[11] , \tile_x5y1_framestrobe_o[10] , \tile_x5y1_framestrobe_o[9] , \tile_x5y1_framestrobe_o[8] , \tile_x5y1_framestrobe_o[7] , \tile_x5y1_framestrobe_o[6] , \tile_x5y1_framestrobe_o[5] , \tile_x5y1_framestrobe_o[4] , \tile_x5y1_framestrobe_o[3] , \tile_x5y1_framestrobe_o[2] , \tile_x5y1_framestrobe_o[1] , \tile_x5y1_framestrobe_o[0] }),
.n1beg({ \tile_x5y1_n1beg[3] , \tile_x5y1_n1beg[2] , \tile_x5y1_n1beg[1] , \tile_x5y1_n1beg[0] }),
.n1end({ \tile_x5y2_n1beg[3] , \tile_x5y2_n1beg[2] , \tile_x5y2_n1beg[1] , \tile_x5y2_n1beg[0] }),
.n2beg({ \tile_x5y1_n2beg[7] , \tile_x5y1_n2beg[6] , \tile_x5y1_n2beg[5] , \tile_x5y1_n2beg[4] , \tile_x5y1_n2beg[3] , \tile_x5y1_n2beg[2] , \tile_x5y1_n2beg[1] , \tile_x5y1_n2beg[0] }),
.n2begb({ \tile_x5y1_n2begb[7] , \tile_x5y1_n2begb[6] , \tile_x5y1_n2begb[5] , \tile_x5y1_n2begb[4] , \tile_x5y1_n2begb[3] , \tile_x5y1_n2begb[2] , \tile_x5y1_n2begb[1] , \tile_x5y1_n2begb[0] }),
.n2end({ \tile_x5y2_n2begb[7] , \tile_x5y2_n2begb[6] , \tile_x5y2_n2begb[5] , \tile_x5y2_n2begb[4] , \tile_x5y2_n2begb[3] , \tile_x5y2_n2begb[2] , \tile_x5y2_n2begb[1] , \tile_x5y2_n2begb[0] }),
.n2mid({ \tile_x5y2_n2beg[7] , \tile_x5y2_n2beg[6] , \tile_x5y2_n2beg[5] , \tile_x5y2_n2beg[4] , \tile_x5y2_n2beg[3] , \tile_x5y2_n2beg[2] , \tile_x5y2_n2beg[1] , \tile_x5y2_n2beg[0] }),
.n4beg({ \tile_x5y1_n4beg[15] , \tile_x5y1_n4beg[14] , \tile_x5y1_n4beg[13] , \tile_x5y1_n4beg[12] , \tile_x5y1_n4beg[11] , \tile_x5y1_n4beg[10] , \tile_x5y1_n4beg[9] , \tile_x5y1_n4beg[8] , \tile_x5y1_n4beg[7] , \tile_x5y1_n4beg[6] , \tile_x5y1_n4beg[5] , \tile_x5y1_n4beg[4] , \tile_x5y1_n4beg[3] , \tile_x5y1_n4beg[2] , \tile_x5y1_n4beg[1] , \tile_x5y1_n4beg[0] }),
.n4end({ \tile_x5y2_n4beg[15] , \tile_x5y2_n4beg[14] , \tile_x5y2_n4beg[13] , \tile_x5y2_n4beg[12] , \tile_x5y2_n4beg[11] , \tile_x5y2_n4beg[10] , \tile_x5y2_n4beg[9] , \tile_x5y2_n4beg[8] , \tile_x5y2_n4beg[7] , \tile_x5y2_n4beg[6] , \tile_x5y2_n4beg[5] , \tile_x5y2_n4beg[4] , \tile_x5y2_n4beg[3] , \tile_x5y2_n4beg[2] , \tile_x5y2_n4beg[1] , \tile_x5y2_n4beg[0] }),
.nn4beg({ \tile_x5y1_nn4beg[15] , \tile_x5y1_nn4beg[14] , \tile_x5y1_nn4beg[13] , \tile_x5y1_nn4beg[12] , \tile_x5y1_nn4beg[11] , \tile_x5y1_nn4beg[10] , \tile_x5y1_nn4beg[9] , \tile_x5y1_nn4beg[8] , \tile_x5y1_nn4beg[7] , \tile_x5y1_nn4beg[6] , \tile_x5y1_nn4beg[5] , \tile_x5y1_nn4beg[4] , \tile_x5y1_nn4beg[3] , \tile_x5y1_nn4beg[2] , \tile_x5y1_nn4beg[1] , \tile_x5y1_nn4beg[0] }),
.nn4end({ \tile_x5y2_nn4beg[15] , \tile_x5y2_nn4beg[14] , \tile_x5y2_nn4beg[13] , \tile_x5y2_nn4beg[12] , \tile_x5y2_nn4beg[11] , \tile_x5y2_nn4beg[10] , \tile_x5y2_nn4beg[9] , \tile_x5y2_nn4beg[8] , \tile_x5y2_nn4beg[7] , \tile_x5y2_nn4beg[6] , \tile_x5y2_nn4beg[5] , \tile_x5y2_nn4beg[4] , \tile_x5y2_nn4beg[3] , \tile_x5y2_nn4beg[2] , \tile_x5y2_nn4beg[1] , \tile_x5y2_nn4beg[0] }),
.s1beg({ \tile_x5y1_s1beg[3] , \tile_x5y1_s1beg[2] , \tile_x5y1_s1beg[1] , \tile_x5y1_s1beg[0] }),
.s1end({ \tile_x5y0_s1beg[3] , \tile_x5y0_s1beg[2] , \tile_x5y0_s1beg[1] , \tile_x5y0_s1beg[0] }),
.s2beg({ \tile_x5y1_s2beg[7] , \tile_x5y1_s2beg[6] , \tile_x5y1_s2beg[5] , \tile_x5y1_s2beg[4] , \tile_x5y1_s2beg[3] , \tile_x5y1_s2beg[2] , \tile_x5y1_s2beg[1] , \tile_x5y1_s2beg[0] }),
.s2begb({ \tile_x5y1_s2begb[7] , \tile_x5y1_s2begb[6] , \tile_x5y1_s2begb[5] , \tile_x5y1_s2begb[4] , \tile_x5y1_s2begb[3] , \tile_x5y1_s2begb[2] , \tile_x5y1_s2begb[1] , \tile_x5y1_s2begb[0] }),
.s2end({ \tile_x5y0_s2begb[7] , \tile_x5y0_s2begb[6] , \tile_x5y0_s2begb[5] , \tile_x5y0_s2begb[4] , \tile_x5y0_s2begb[3] , \tile_x5y0_s2begb[2] , \tile_x5y0_s2begb[1] , \tile_x5y0_s2begb[0] }),
.s2mid({ \tile_x5y0_s2beg[7] , \tile_x5y0_s2beg[6] , \tile_x5y0_s2beg[5] , \tile_x5y0_s2beg[4] , \tile_x5y0_s2beg[3] , \tile_x5y0_s2beg[2] , \tile_x5y0_s2beg[1] , \tile_x5y0_s2beg[0] }),
.s4beg({ \tile_x5y1_s4beg[15] , \tile_x5y1_s4beg[14] , \tile_x5y1_s4beg[13] , \tile_x5y1_s4beg[12] , \tile_x5y1_s4beg[11] , \tile_x5y1_s4beg[10] , \tile_x5y1_s4beg[9] , \tile_x5y1_s4beg[8] , \tile_x5y1_s4beg[7] , \tile_x5y1_s4beg[6] , \tile_x5y1_s4beg[5] , \tile_x5y1_s4beg[4] , \tile_x5y1_s4beg[3] , \tile_x5y1_s4beg[2] , \tile_x5y1_s4beg[1] , \tile_x5y1_s4beg[0] }),
.s4end({ \tile_x5y0_s4beg[15] , \tile_x5y0_s4beg[14] , \tile_x5y0_s4beg[13] , \tile_x5y0_s4beg[12] , \tile_x5y0_s4beg[11] , \tile_x5y0_s4beg[10] , \tile_x5y0_s4beg[9] , \tile_x5y0_s4beg[8] , \tile_x5y0_s4beg[7] , \tile_x5y0_s4beg[6] , \tile_x5y0_s4beg[5] , \tile_x5y0_s4beg[4] , \tile_x5y0_s4beg[3] , \tile_x5y0_s4beg[2] , \tile_x5y0_s4beg[1] , \tile_x5y0_s4beg[0] }),
.ss4beg({ \tile_x5y1_ss4beg[15] , \tile_x5y1_ss4beg[14] , \tile_x5y1_ss4beg[13] , \tile_x5y1_ss4beg[12] , \tile_x5y1_ss4beg[11] , \tile_x5y1_ss4beg[10] , \tile_x5y1_ss4beg[9] , \tile_x5y1_ss4beg[8] , \tile_x5y1_ss4beg[7] , \tile_x5y1_ss4beg[6] , \tile_x5y1_ss4beg[5] , \tile_x5y1_ss4beg[4] , \tile_x5y1_ss4beg[3] , \tile_x5y1_ss4beg[2] , \tile_x5y1_ss4beg[1] , \tile_x5y1_ss4beg[0] }),
.ss4end({ \tile_x5y0_ss4beg[15] , \tile_x5y0_ss4beg[14] , \tile_x5y0_ss4beg[13] , \tile_x5y0_ss4beg[12] , \tile_x5y0_ss4beg[11] , \tile_x5y0_ss4beg[10] , \tile_x5y0_ss4beg[9] , \tile_x5y0_ss4beg[8] , \tile_x5y0_ss4beg[7] , \tile_x5y0_ss4beg[6] , \tile_x5y0_ss4beg[5] , \tile_x5y0_ss4beg[4] , \tile_x5y0_ss4beg[3] , \tile_x5y0_ss4beg[2] , \tile_x5y0_ss4beg[1] , \tile_x5y0_ss4beg[0] }),
.userclk(tile_x5y2_userclko),
.userclko(tile_x5y1_userclko),
.w1beg({ \tile_x5y1_w1beg[3] , \tile_x5y1_w1beg[2] , \tile_x5y1_w1beg[1] , \tile_x5y1_w1beg[0] }),
.w1end({ \tile_x6y1_w1beg[3] , \tile_x6y1_w1beg[2] , \tile_x6y1_w1beg[1] , \tile_x6y1_w1beg[0] }),
.w2beg({ \tile_x5y1_w2beg[7] , \tile_x5y1_w2beg[6] , \tile_x5y1_w2beg[5] , \tile_x5y1_w2beg[4] , \tile_x5y1_w2beg[3] , \tile_x5y1_w2beg[2] , \tile_x5y1_w2beg[1] , \tile_x5y1_w2beg[0] }),
.w2begb({ \tile_x5y1_w2begb[7] , \tile_x5y1_w2begb[6] , \tile_x5y1_w2begb[5] , \tile_x5y1_w2begb[4] , \tile_x5y1_w2begb[3] , \tile_x5y1_w2begb[2] , \tile_x5y1_w2begb[1] , \tile_x5y1_w2begb[0] }),
.w2end({ \tile_x6y1_w2begb[7] , \tile_x6y1_w2begb[6] , \tile_x6y1_w2begb[5] , \tile_x6y1_w2begb[4] , \tile_x6y1_w2begb[3] , \tile_x6y1_w2begb[2] , \tile_x6y1_w2begb[1] , \tile_x6y1_w2begb[0] }),
.w2mid({ \tile_x6y1_w2beg[7] , \tile_x6y1_w2beg[6] , \tile_x6y1_w2beg[5] , \tile_x6y1_w2beg[4] , \tile_x6y1_w2beg[3] , \tile_x6y1_w2beg[2] , \tile_x6y1_w2beg[1] , \tile_x6y1_w2beg[0] }),
.w6beg({ \tile_x5y1_w6beg[11] , \tile_x5y1_w6beg[10] , \tile_x5y1_w6beg[9] , \tile_x5y1_w6beg[8] , \tile_x5y1_w6beg[7] , \tile_x5y1_w6beg[6] , \tile_x5y1_w6beg[5] , \tile_x5y1_w6beg[4] , \tile_x5y1_w6beg[3] , \tile_x5y1_w6beg[2] , \tile_x5y1_w6beg[1] , \tile_x5y1_w6beg[0] }),
.w6end({ \tile_x6y1_w6beg[11] , \tile_x6y1_w6beg[10] , \tile_x6y1_w6beg[9] , \tile_x6y1_w6beg[8] , \tile_x6y1_w6beg[7] , \tile_x6y1_w6beg[6] , \tile_x6y1_w6beg[5] , \tile_x6y1_w6beg[4] , \tile_x6y1_w6beg[3] , \tile_x6y1_w6beg[2] , \tile_x6y1_w6beg[1] , \tile_x6y1_w6beg[0] }),
.ww4beg({ \tile_x5y1_ww4beg[15] , \tile_x5y1_ww4beg[14] , \tile_x5y1_ww4beg[13] , \tile_x5y1_ww4beg[12] , \tile_x5y1_ww4beg[11] , \tile_x5y1_ww4beg[10] , \tile_x5y1_ww4beg[9] , \tile_x5y1_ww4beg[8] , \tile_x5y1_ww4beg[7] , \tile_x5y1_ww4beg[6] , \tile_x5y1_ww4beg[5] , \tile_x5y1_ww4beg[4] , \tile_x5y1_ww4beg[3] , \tile_x5y1_ww4beg[2] , \tile_x5y1_ww4beg[1] , \tile_x5y1_ww4beg[0] }),
.ww4end({ \tile_x6y1_ww4beg[15] , \tile_x6y1_ww4beg[14] , \tile_x6y1_ww4beg[13] , \tile_x6y1_ww4beg[12] , \tile_x6y1_ww4beg[11] , \tile_x6y1_ww4beg[10] , \tile_x6y1_ww4beg[9] , \tile_x6y1_ww4beg[8] , \tile_x6y1_ww4beg[7] , \tile_x6y1_ww4beg[6] , \tile_x6y1_ww4beg[5] , \tile_x6y1_ww4beg[4] , \tile_x6y1_ww4beg[3] , \tile_x6y1_ww4beg[2] , \tile_x6y1_ww4beg[1] , \tile_x6y1_ww4beg[0] })
);
lut4ab tile_x5y2_lut4ab (
.ci(tile_x5y3_co),
.co(tile_x5y2_co),
.e1beg({ \tile_x5y2_e1beg[3] , \tile_x5y2_e1beg[2] , \tile_x5y2_e1beg[1] , \tile_x5y2_e1beg[0] }),
.e1end({ \tile_x4y2_e1beg[3] , \tile_x4y2_e1beg[2] , \tile_x4y2_e1beg[1] , \tile_x4y2_e1beg[0] }),
.e2beg({ \tile_x5y2_e2beg[7] , \tile_x5y2_e2beg[6] , \tile_x5y2_e2beg[5] , \tile_x5y2_e2beg[4] , \tile_x5y2_e2beg[3] , \tile_x5y2_e2beg[2] , \tile_x5y2_e2beg[1] , \tile_x5y2_e2beg[0] }),
.e2begb({ \tile_x5y2_e2begb[7] , \tile_x5y2_e2begb[6] , \tile_x5y2_e2begb[5] , \tile_x5y2_e2begb[4] , \tile_x5y2_e2begb[3] , \tile_x5y2_e2begb[2] , \tile_x5y2_e2begb[1] , \tile_x5y2_e2begb[0] }),
.e2end({ \tile_x4y2_e2begb[7] , \tile_x4y2_e2begb[6] , \tile_x4y2_e2begb[5] , \tile_x4y2_e2begb[4] , \tile_x4y2_e2begb[3] , \tile_x4y2_e2begb[2] , \tile_x4y2_e2begb[1] , \tile_x4y2_e2begb[0] }),
.e2mid({ \tile_x4y2_e2beg[7] , \tile_x4y2_e2beg[6] , \tile_x4y2_e2beg[5] , \tile_x4y2_e2beg[4] , \tile_x4y2_e2beg[3] , \tile_x4y2_e2beg[2] , \tile_x4y2_e2beg[1] , \tile_x4y2_e2beg[0] }),
.e6beg({ \tile_x5y2_e6beg[11] , \tile_x5y2_e6beg[10] , \tile_x5y2_e6beg[9] , \tile_x5y2_e6beg[8] , \tile_x5y2_e6beg[7] , \tile_x5y2_e6beg[6] , \tile_x5y2_e6beg[5] , \tile_x5y2_e6beg[4] , \tile_x5y2_e6beg[3] , \tile_x5y2_e6beg[2] , \tile_x5y2_e6beg[1] , \tile_x5y2_e6beg[0] }),
.e6end({ \tile_x4y2_e6beg[11] , \tile_x4y2_e6beg[10] , \tile_x4y2_e6beg[9] , \tile_x4y2_e6beg[8] , \tile_x4y2_e6beg[7] , \tile_x4y2_e6beg[6] , \tile_x4y2_e6beg[5] , \tile_x4y2_e6beg[4] , \tile_x4y2_e6beg[3] , \tile_x4y2_e6beg[2] , \tile_x4y2_e6beg[1] , \tile_x4y2_e6beg[0] }),
.ee4beg({ \tile_x5y2_ee4beg[15] , \tile_x5y2_ee4beg[14] , \tile_x5y2_ee4beg[13] , \tile_x5y2_ee4beg[12] , \tile_x5y2_ee4beg[11] , \tile_x5y2_ee4beg[10] , \tile_x5y2_ee4beg[9] , \tile_x5y2_ee4beg[8] , \tile_x5y2_ee4beg[7] , \tile_x5y2_ee4beg[6] , \tile_x5y2_ee4beg[5] , \tile_x5y2_ee4beg[4] , \tile_x5y2_ee4beg[3] , \tile_x5y2_ee4beg[2] , \tile_x5y2_ee4beg[1] , \tile_x5y2_ee4beg[0] }),
.ee4end({ \tile_x4y2_ee4beg[15] , \tile_x4y2_ee4beg[14] , \tile_x4y2_ee4beg[13] , \tile_x4y2_ee4beg[12] , \tile_x4y2_ee4beg[11] , \tile_x4y2_ee4beg[10] , \tile_x4y2_ee4beg[9] , \tile_x4y2_ee4beg[8] , \tile_x4y2_ee4beg[7] , \tile_x4y2_ee4beg[6] , \tile_x4y2_ee4beg[5] , \tile_x4y2_ee4beg[4] , \tile_x4y2_ee4beg[3] , \tile_x4y2_ee4beg[2] , \tile_x4y2_ee4beg[1] , \tile_x4y2_ee4beg[0] }),
.framedata({ \tile_x4y2_framedata_o[31] , \tile_x4y2_framedata_o[30] , \tile_x4y2_framedata_o[29] , \tile_x4y2_framedata_o[28] , \tile_x4y2_framedata_o[27] , \tile_x4y2_framedata_o[26] , \tile_x4y2_framedata_o[25] , \tile_x4y2_framedata_o[24] , \tile_x4y2_framedata_o[23] , \tile_x4y2_framedata_o[22] , \tile_x4y2_framedata_o[21] , \tile_x4y2_framedata_o[20] , \tile_x4y2_framedata_o[19] , \tile_x4y2_framedata_o[18] , \tile_x4y2_framedata_o[17] , \tile_x4y2_framedata_o[16] , \tile_x4y2_framedata_o[15] , \tile_x4y2_framedata_o[14] , \tile_x4y2_framedata_o[13] , \tile_x4y2_framedata_o[12] , \tile_x4y2_framedata_o[11] , \tile_x4y2_framedata_o[10] , \tile_x4y2_framedata_o[9] , \tile_x4y2_framedata_o[8] , \tile_x4y2_framedata_o[7] , \tile_x4y2_framedata_o[6] , \tile_x4y2_framedata_o[5] , \tile_x4y2_framedata_o[4] , \tile_x4y2_framedata_o[3] , \tile_x4y2_framedata_o[2] , \tile_x4y2_framedata_o[1] , \tile_x4y2_framedata_o[0] }),
.framedata_o({ \tile_x5y2_framedata_o[31] , \tile_x5y2_framedata_o[30] , \tile_x5y2_framedata_o[29] , \tile_x5y2_framedata_o[28] , \tile_x5y2_framedata_o[27] , \tile_x5y2_framedata_o[26] , \tile_x5y2_framedata_o[25] , \tile_x5y2_framedata_o[24] , \tile_x5y2_framedata_o[23] , \tile_x5y2_framedata_o[22] , \tile_x5y2_framedata_o[21] , \tile_x5y2_framedata_o[20] , \tile_x5y2_framedata_o[19] , \tile_x5y2_framedata_o[18] , \tile_x5y2_framedata_o[17] , \tile_x5y2_framedata_o[16] , \tile_x5y2_framedata_o[15] , \tile_x5y2_framedata_o[14] , \tile_x5y2_framedata_o[13] , \tile_x5y2_framedata_o[12] , \tile_x5y2_framedata_o[11] , \tile_x5y2_framedata_o[10] , \tile_x5y2_framedata_o[9] , \tile_x5y2_framedata_o[8] , \tile_x5y2_framedata_o[7] , \tile_x5y2_framedata_o[6] , \tile_x5y2_framedata_o[5] , \tile_x5y2_framedata_o[4] , \tile_x5y2_framedata_o[3] , \tile_x5y2_framedata_o[2] , \tile_x5y2_framedata_o[1] , \tile_x5y2_framedata_o[0] }),
.framestrobe({ \tile_x5y3_framestrobe_o[19] , \tile_x5y3_framestrobe_o[18] , \tile_x5y3_framestrobe_o[17] , \tile_x5y3_framestrobe_o[16] , \tile_x5y3_framestrobe_o[15] , \tile_x5y3_framestrobe_o[14] , \tile_x5y3_framestrobe_o[13] , \tile_x5y3_framestrobe_o[12] , \tile_x5y3_framestrobe_o[11] , \tile_x5y3_framestrobe_o[10] , \tile_x5y3_framestrobe_o[9] , \tile_x5y3_framestrobe_o[8] , \tile_x5y3_framestrobe_o[7] , \tile_x5y3_framestrobe_o[6] , \tile_x5y3_framestrobe_o[5] , \tile_x5y3_framestrobe_o[4] , \tile_x5y3_framestrobe_o[3] , \tile_x5y3_framestrobe_o[2] , \tile_x5y3_framestrobe_o[1] , \tile_x5y3_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y2_framestrobe_o[19] , \tile_x5y2_framestrobe_o[18] , \tile_x5y2_framestrobe_o[17] , \tile_x5y2_framestrobe_o[16] , \tile_x5y2_framestrobe_o[15] , \tile_x5y2_framestrobe_o[14] , \tile_x5y2_framestrobe_o[13] , \tile_x5y2_framestrobe_o[12] , \tile_x5y2_framestrobe_o[11] , \tile_x5y2_framestrobe_o[10] , \tile_x5y2_framestrobe_o[9] , \tile_x5y2_framestrobe_o[8] , \tile_x5y2_framestrobe_o[7] , \tile_x5y2_framestrobe_o[6] , \tile_x5y2_framestrobe_o[5] , \tile_x5y2_framestrobe_o[4] , \tile_x5y2_framestrobe_o[3] , \tile_x5y2_framestrobe_o[2] , \tile_x5y2_framestrobe_o[1] , \tile_x5y2_framestrobe_o[0] }),
.n1beg({ \tile_x5y2_n1beg[3] , \tile_x5y2_n1beg[2] , \tile_x5y2_n1beg[1] , \tile_x5y2_n1beg[0] }),
.n1end({ \tile_x5y3_n1beg[3] , \tile_x5y3_n1beg[2] , \tile_x5y3_n1beg[1] , \tile_x5y3_n1beg[0] }),
.n2beg({ \tile_x5y2_n2beg[7] , \tile_x5y2_n2beg[6] , \tile_x5y2_n2beg[5] , \tile_x5y2_n2beg[4] , \tile_x5y2_n2beg[3] , \tile_x5y2_n2beg[2] , \tile_x5y2_n2beg[1] , \tile_x5y2_n2beg[0] }),
.n2begb({ \tile_x5y2_n2begb[7] , \tile_x5y2_n2begb[6] , \tile_x5y2_n2begb[5] , \tile_x5y2_n2begb[4] , \tile_x5y2_n2begb[3] , \tile_x5y2_n2begb[2] , \tile_x5y2_n2begb[1] , \tile_x5y2_n2begb[0] }),
.n2end({ \tile_x5y3_n2begb[7] , \tile_x5y3_n2begb[6] , \tile_x5y3_n2begb[5] , \tile_x5y3_n2begb[4] , \tile_x5y3_n2begb[3] , \tile_x5y3_n2begb[2] , \tile_x5y3_n2begb[1] , \tile_x5y3_n2begb[0] }),
.n2mid({ \tile_x5y3_n2beg[7] , \tile_x5y3_n2beg[6] , \tile_x5y3_n2beg[5] , \tile_x5y3_n2beg[4] , \tile_x5y3_n2beg[3] , \tile_x5y3_n2beg[2] , \tile_x5y3_n2beg[1] , \tile_x5y3_n2beg[0] }),
.n4beg({ \tile_x5y2_n4beg[15] , \tile_x5y2_n4beg[14] , \tile_x5y2_n4beg[13] , \tile_x5y2_n4beg[12] , \tile_x5y2_n4beg[11] , \tile_x5y2_n4beg[10] , \tile_x5y2_n4beg[9] , \tile_x5y2_n4beg[8] , \tile_x5y2_n4beg[7] , \tile_x5y2_n4beg[6] , \tile_x5y2_n4beg[5] , \tile_x5y2_n4beg[4] , \tile_x5y2_n4beg[3] , \tile_x5y2_n4beg[2] , \tile_x5y2_n4beg[1] , \tile_x5y2_n4beg[0] }),
.n4end({ \tile_x5y3_n4beg[15] , \tile_x5y3_n4beg[14] , \tile_x5y3_n4beg[13] , \tile_x5y3_n4beg[12] , \tile_x5y3_n4beg[11] , \tile_x5y3_n4beg[10] , \tile_x5y3_n4beg[9] , \tile_x5y3_n4beg[8] , \tile_x5y3_n4beg[7] , \tile_x5y3_n4beg[6] , \tile_x5y3_n4beg[5] , \tile_x5y3_n4beg[4] , \tile_x5y3_n4beg[3] , \tile_x5y3_n4beg[2] , \tile_x5y3_n4beg[1] , \tile_x5y3_n4beg[0] }),
.nn4beg({ \tile_x5y2_nn4beg[15] , \tile_x5y2_nn4beg[14] , \tile_x5y2_nn4beg[13] , \tile_x5y2_nn4beg[12] , \tile_x5y2_nn4beg[11] , \tile_x5y2_nn4beg[10] , \tile_x5y2_nn4beg[9] , \tile_x5y2_nn4beg[8] , \tile_x5y2_nn4beg[7] , \tile_x5y2_nn4beg[6] , \tile_x5y2_nn4beg[5] , \tile_x5y2_nn4beg[4] , \tile_x5y2_nn4beg[3] , \tile_x5y2_nn4beg[2] , \tile_x5y2_nn4beg[1] , \tile_x5y2_nn4beg[0] }),
.nn4end({ \tile_x5y3_nn4beg[15] , \tile_x5y3_nn4beg[14] , \tile_x5y3_nn4beg[13] , \tile_x5y3_nn4beg[12] , \tile_x5y3_nn4beg[11] , \tile_x5y3_nn4beg[10] , \tile_x5y3_nn4beg[9] , \tile_x5y3_nn4beg[8] , \tile_x5y3_nn4beg[7] , \tile_x5y3_nn4beg[6] , \tile_x5y3_nn4beg[5] , \tile_x5y3_nn4beg[4] , \tile_x5y3_nn4beg[3] , \tile_x5y3_nn4beg[2] , \tile_x5y3_nn4beg[1] , \tile_x5y3_nn4beg[0] }),
.s1beg({ \tile_x5y2_s1beg[3] , \tile_x5y2_s1beg[2] , \tile_x5y2_s1beg[1] , \tile_x5y2_s1beg[0] }),
.s1end({ \tile_x5y1_s1beg[3] , \tile_x5y1_s1beg[2] , \tile_x5y1_s1beg[1] , \tile_x5y1_s1beg[0] }),
.s2beg({ \tile_x5y2_s2beg[7] , \tile_x5y2_s2beg[6] , \tile_x5y2_s2beg[5] , \tile_x5y2_s2beg[4] , \tile_x5y2_s2beg[3] , \tile_x5y2_s2beg[2] , \tile_x5y2_s2beg[1] , \tile_x5y2_s2beg[0] }),
.s2begb({ \tile_x5y2_s2begb[7] , \tile_x5y2_s2begb[6] , \tile_x5y2_s2begb[5] , \tile_x5y2_s2begb[4] , \tile_x5y2_s2begb[3] , \tile_x5y2_s2begb[2] , \tile_x5y2_s2begb[1] , \tile_x5y2_s2begb[0] }),
.s2end({ \tile_x5y1_s2begb[7] , \tile_x5y1_s2begb[6] , \tile_x5y1_s2begb[5] , \tile_x5y1_s2begb[4] , \tile_x5y1_s2begb[3] , \tile_x5y1_s2begb[2] , \tile_x5y1_s2begb[1] , \tile_x5y1_s2begb[0] }),
.s2mid({ \tile_x5y1_s2beg[7] , \tile_x5y1_s2beg[6] , \tile_x5y1_s2beg[5] , \tile_x5y1_s2beg[4] , \tile_x5y1_s2beg[3] , \tile_x5y1_s2beg[2] , \tile_x5y1_s2beg[1] , \tile_x5y1_s2beg[0] }),
.s4beg({ \tile_x5y2_s4beg[15] , \tile_x5y2_s4beg[14] , \tile_x5y2_s4beg[13] , \tile_x5y2_s4beg[12] , \tile_x5y2_s4beg[11] , \tile_x5y2_s4beg[10] , \tile_x5y2_s4beg[9] , \tile_x5y2_s4beg[8] , \tile_x5y2_s4beg[7] , \tile_x5y2_s4beg[6] , \tile_x5y2_s4beg[5] , \tile_x5y2_s4beg[4] , \tile_x5y2_s4beg[3] , \tile_x5y2_s4beg[2] , \tile_x5y2_s4beg[1] , \tile_x5y2_s4beg[0] }),
.s4end({ \tile_x5y1_s4beg[15] , \tile_x5y1_s4beg[14] , \tile_x5y1_s4beg[13] , \tile_x5y1_s4beg[12] , \tile_x5y1_s4beg[11] , \tile_x5y1_s4beg[10] , \tile_x5y1_s4beg[9] , \tile_x5y1_s4beg[8] , \tile_x5y1_s4beg[7] , \tile_x5y1_s4beg[6] , \tile_x5y1_s4beg[5] , \tile_x5y1_s4beg[4] , \tile_x5y1_s4beg[3] , \tile_x5y1_s4beg[2] , \tile_x5y1_s4beg[1] , \tile_x5y1_s4beg[0] }),
.ss4beg({ \tile_x5y2_ss4beg[15] , \tile_x5y2_ss4beg[14] , \tile_x5y2_ss4beg[13] , \tile_x5y2_ss4beg[12] , \tile_x5y2_ss4beg[11] , \tile_x5y2_ss4beg[10] , \tile_x5y2_ss4beg[9] , \tile_x5y2_ss4beg[8] , \tile_x5y2_ss4beg[7] , \tile_x5y2_ss4beg[6] , \tile_x5y2_ss4beg[5] , \tile_x5y2_ss4beg[4] , \tile_x5y2_ss4beg[3] , \tile_x5y2_ss4beg[2] , \tile_x5y2_ss4beg[1] , \tile_x5y2_ss4beg[0] }),
.ss4end({ \tile_x5y1_ss4beg[15] , \tile_x5y1_ss4beg[14] , \tile_x5y1_ss4beg[13] , \tile_x5y1_ss4beg[12] , \tile_x5y1_ss4beg[11] , \tile_x5y1_ss4beg[10] , \tile_x5y1_ss4beg[9] , \tile_x5y1_ss4beg[8] , \tile_x5y1_ss4beg[7] , \tile_x5y1_ss4beg[6] , \tile_x5y1_ss4beg[5] , \tile_x5y1_ss4beg[4] , \tile_x5y1_ss4beg[3] , \tile_x5y1_ss4beg[2] , \tile_x5y1_ss4beg[1] , \tile_x5y1_ss4beg[0] }),
.userclk(tile_x5y3_userclko),
.userclko(tile_x5y2_userclko),
.w1beg({ \tile_x5y2_w1beg[3] , \tile_x5y2_w1beg[2] , \tile_x5y2_w1beg[1] , \tile_x5y2_w1beg[0] }),
.w1end({ \tile_x6y2_w1beg[3] , \tile_x6y2_w1beg[2] , \tile_x6y2_w1beg[1] , \tile_x6y2_w1beg[0] }),
.w2beg({ \tile_x5y2_w2beg[7] , \tile_x5y2_w2beg[6] , \tile_x5y2_w2beg[5] , \tile_x5y2_w2beg[4] , \tile_x5y2_w2beg[3] , \tile_x5y2_w2beg[2] , \tile_x5y2_w2beg[1] , \tile_x5y2_w2beg[0] }),
.w2begb({ \tile_x5y2_w2begb[7] , \tile_x5y2_w2begb[6] , \tile_x5y2_w2begb[5] , \tile_x5y2_w2begb[4] , \tile_x5y2_w2begb[3] , \tile_x5y2_w2begb[2] , \tile_x5y2_w2begb[1] , \tile_x5y2_w2begb[0] }),
.w2end({ \tile_x6y2_w2begb[7] , \tile_x6y2_w2begb[6] , \tile_x6y2_w2begb[5] , \tile_x6y2_w2begb[4] , \tile_x6y2_w2begb[3] , \tile_x6y2_w2begb[2] , \tile_x6y2_w2begb[1] , \tile_x6y2_w2begb[0] }),
.w2mid({ \tile_x6y2_w2beg[7] , \tile_x6y2_w2beg[6] , \tile_x6y2_w2beg[5] , \tile_x6y2_w2beg[4] , \tile_x6y2_w2beg[3] , \tile_x6y2_w2beg[2] , \tile_x6y2_w2beg[1] , \tile_x6y2_w2beg[0] }),
.w6beg({ \tile_x5y2_w6beg[11] , \tile_x5y2_w6beg[10] , \tile_x5y2_w6beg[9] , \tile_x5y2_w6beg[8] , \tile_x5y2_w6beg[7] , \tile_x5y2_w6beg[6] , \tile_x5y2_w6beg[5] , \tile_x5y2_w6beg[4] , \tile_x5y2_w6beg[3] , \tile_x5y2_w6beg[2] , \tile_x5y2_w6beg[1] , \tile_x5y2_w6beg[0] }),
.w6end({ \tile_x6y2_w6beg[11] , \tile_x6y2_w6beg[10] , \tile_x6y2_w6beg[9] , \tile_x6y2_w6beg[8] , \tile_x6y2_w6beg[7] , \tile_x6y2_w6beg[6] , \tile_x6y2_w6beg[5] , \tile_x6y2_w6beg[4] , \tile_x6y2_w6beg[3] , \tile_x6y2_w6beg[2] , \tile_x6y2_w6beg[1] , \tile_x6y2_w6beg[0] }),
.ww4beg({ \tile_x5y2_ww4beg[15] , \tile_x5y2_ww4beg[14] , \tile_x5y2_ww4beg[13] , \tile_x5y2_ww4beg[12] , \tile_x5y2_ww4beg[11] , \tile_x5y2_ww4beg[10] , \tile_x5y2_ww4beg[9] , \tile_x5y2_ww4beg[8] , \tile_x5y2_ww4beg[7] , \tile_x5y2_ww4beg[6] , \tile_x5y2_ww4beg[5] , \tile_x5y2_ww4beg[4] , \tile_x5y2_ww4beg[3] , \tile_x5y2_ww4beg[2] , \tile_x5y2_ww4beg[1] , \tile_x5y2_ww4beg[0] }),
.ww4end({ \tile_x6y2_ww4beg[15] , \tile_x6y2_ww4beg[14] , \tile_x6y2_ww4beg[13] , \tile_x6y2_ww4beg[12] , \tile_x6y2_ww4beg[11] , \tile_x6y2_ww4beg[10] , \tile_x6y2_ww4beg[9] , \tile_x6y2_ww4beg[8] , \tile_x6y2_ww4beg[7] , \tile_x6y2_ww4beg[6] , \tile_x6y2_ww4beg[5] , \tile_x6y2_ww4beg[4] , \tile_x6y2_ww4beg[3] , \tile_x6y2_ww4beg[2] , \tile_x6y2_ww4beg[1] , \tile_x6y2_ww4beg[0] })
);
lut4ab tile_x5y3_lut4ab (
.ci(tile_x5y4_co),
.co(tile_x5y3_co),
.e1beg({ \tile_x5y3_e1beg[3] , \tile_x5y3_e1beg[2] , \tile_x5y3_e1beg[1] , \tile_x5y3_e1beg[0] }),
.e1end({ \tile_x4y3_e1beg[3] , \tile_x4y3_e1beg[2] , \tile_x4y3_e1beg[1] , \tile_x4y3_e1beg[0] }),
.e2beg({ \tile_x5y3_e2beg[7] , \tile_x5y3_e2beg[6] , \tile_x5y3_e2beg[5] , \tile_x5y3_e2beg[4] , \tile_x5y3_e2beg[3] , \tile_x5y3_e2beg[2] , \tile_x5y3_e2beg[1] , \tile_x5y3_e2beg[0] }),
.e2begb({ \tile_x5y3_e2begb[7] , \tile_x5y3_e2begb[6] , \tile_x5y3_e2begb[5] , \tile_x5y3_e2begb[4] , \tile_x5y3_e2begb[3] , \tile_x5y3_e2begb[2] , \tile_x5y3_e2begb[1] , \tile_x5y3_e2begb[0] }),
.e2end({ \tile_x4y3_e2begb[7] , \tile_x4y3_e2begb[6] , \tile_x4y3_e2begb[5] , \tile_x4y3_e2begb[4] , \tile_x4y3_e2begb[3] , \tile_x4y3_e2begb[2] , \tile_x4y3_e2begb[1] , \tile_x4y3_e2begb[0] }),
.e2mid({ \tile_x4y3_e2beg[7] , \tile_x4y3_e2beg[6] , \tile_x4y3_e2beg[5] , \tile_x4y3_e2beg[4] , \tile_x4y3_e2beg[3] , \tile_x4y3_e2beg[2] , \tile_x4y3_e2beg[1] , \tile_x4y3_e2beg[0] }),
.e6beg({ \tile_x5y3_e6beg[11] , \tile_x5y3_e6beg[10] , \tile_x5y3_e6beg[9] , \tile_x5y3_e6beg[8] , \tile_x5y3_e6beg[7] , \tile_x5y3_e6beg[6] , \tile_x5y3_e6beg[5] , \tile_x5y3_e6beg[4] , \tile_x5y3_e6beg[3] , \tile_x5y3_e6beg[2] , \tile_x5y3_e6beg[1] , \tile_x5y3_e6beg[0] }),
.e6end({ \tile_x4y3_e6beg[11] , \tile_x4y3_e6beg[10] , \tile_x4y3_e6beg[9] , \tile_x4y3_e6beg[8] , \tile_x4y3_e6beg[7] , \tile_x4y3_e6beg[6] , \tile_x4y3_e6beg[5] , \tile_x4y3_e6beg[4] , \tile_x4y3_e6beg[3] , \tile_x4y3_e6beg[2] , \tile_x4y3_e6beg[1] , \tile_x4y3_e6beg[0] }),
.ee4beg({ \tile_x5y3_ee4beg[15] , \tile_x5y3_ee4beg[14] , \tile_x5y3_ee4beg[13] , \tile_x5y3_ee4beg[12] , \tile_x5y3_ee4beg[11] , \tile_x5y3_ee4beg[10] , \tile_x5y3_ee4beg[9] , \tile_x5y3_ee4beg[8] , \tile_x5y3_ee4beg[7] , \tile_x5y3_ee4beg[6] , \tile_x5y3_ee4beg[5] , \tile_x5y3_ee4beg[4] , \tile_x5y3_ee4beg[3] , \tile_x5y3_ee4beg[2] , \tile_x5y3_ee4beg[1] , \tile_x5y3_ee4beg[0] }),
.ee4end({ \tile_x4y3_ee4beg[15] , \tile_x4y3_ee4beg[14] , \tile_x4y3_ee4beg[13] , \tile_x4y3_ee4beg[12] , \tile_x4y3_ee4beg[11] , \tile_x4y3_ee4beg[10] , \tile_x4y3_ee4beg[9] , \tile_x4y3_ee4beg[8] , \tile_x4y3_ee4beg[7] , \tile_x4y3_ee4beg[6] , \tile_x4y3_ee4beg[5] , \tile_x4y3_ee4beg[4] , \tile_x4y3_ee4beg[3] , \tile_x4y3_ee4beg[2] , \tile_x4y3_ee4beg[1] , \tile_x4y3_ee4beg[0] }),
.framedata({ \tile_x4y3_framedata_o[31] , \tile_x4y3_framedata_o[30] , \tile_x4y3_framedata_o[29] , \tile_x4y3_framedata_o[28] , \tile_x4y3_framedata_o[27] , \tile_x4y3_framedata_o[26] , \tile_x4y3_framedata_o[25] , \tile_x4y3_framedata_o[24] , \tile_x4y3_framedata_o[23] , \tile_x4y3_framedata_o[22] , \tile_x4y3_framedata_o[21] , \tile_x4y3_framedata_o[20] , \tile_x4y3_framedata_o[19] , \tile_x4y3_framedata_o[18] , \tile_x4y3_framedata_o[17] , \tile_x4y3_framedata_o[16] , \tile_x4y3_framedata_o[15] , \tile_x4y3_framedata_o[14] , \tile_x4y3_framedata_o[13] , \tile_x4y3_framedata_o[12] , \tile_x4y3_framedata_o[11] , \tile_x4y3_framedata_o[10] , \tile_x4y3_framedata_o[9] , \tile_x4y3_framedata_o[8] , \tile_x4y3_framedata_o[7] , \tile_x4y3_framedata_o[6] , \tile_x4y3_framedata_o[5] , \tile_x4y3_framedata_o[4] , \tile_x4y3_framedata_o[3] , \tile_x4y3_framedata_o[2] , \tile_x4y3_framedata_o[1] , \tile_x4y3_framedata_o[0] }),
.framedata_o({ \tile_x5y3_framedata_o[31] , \tile_x5y3_framedata_o[30] , \tile_x5y3_framedata_o[29] , \tile_x5y3_framedata_o[28] , \tile_x5y3_framedata_o[27] , \tile_x5y3_framedata_o[26] , \tile_x5y3_framedata_o[25] , \tile_x5y3_framedata_o[24] , \tile_x5y3_framedata_o[23] , \tile_x5y3_framedata_o[22] , \tile_x5y3_framedata_o[21] , \tile_x5y3_framedata_o[20] , \tile_x5y3_framedata_o[19] , \tile_x5y3_framedata_o[18] , \tile_x5y3_framedata_o[17] , \tile_x5y3_framedata_o[16] , \tile_x5y3_framedata_o[15] , \tile_x5y3_framedata_o[14] , \tile_x5y3_framedata_o[13] , \tile_x5y3_framedata_o[12] , \tile_x5y3_framedata_o[11] , \tile_x5y3_framedata_o[10] , \tile_x5y3_framedata_o[9] , \tile_x5y3_framedata_o[8] , \tile_x5y3_framedata_o[7] , \tile_x5y3_framedata_o[6] , \tile_x5y3_framedata_o[5] , \tile_x5y3_framedata_o[4] , \tile_x5y3_framedata_o[3] , \tile_x5y3_framedata_o[2] , \tile_x5y3_framedata_o[1] , \tile_x5y3_framedata_o[0] }),
.framestrobe({ \tile_x5y4_framestrobe_o[19] , \tile_x5y4_framestrobe_o[18] , \tile_x5y4_framestrobe_o[17] , \tile_x5y4_framestrobe_o[16] , \tile_x5y4_framestrobe_o[15] , \tile_x5y4_framestrobe_o[14] , \tile_x5y4_framestrobe_o[13] , \tile_x5y4_framestrobe_o[12] , \tile_x5y4_framestrobe_o[11] , \tile_x5y4_framestrobe_o[10] , \tile_x5y4_framestrobe_o[9] , \tile_x5y4_framestrobe_o[8] , \tile_x5y4_framestrobe_o[7] , \tile_x5y4_framestrobe_o[6] , \tile_x5y4_framestrobe_o[5] , \tile_x5y4_framestrobe_o[4] , \tile_x5y4_framestrobe_o[3] , \tile_x5y4_framestrobe_o[2] , \tile_x5y4_framestrobe_o[1] , \tile_x5y4_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y3_framestrobe_o[19] , \tile_x5y3_framestrobe_o[18] , \tile_x5y3_framestrobe_o[17] , \tile_x5y3_framestrobe_o[16] , \tile_x5y3_framestrobe_o[15] , \tile_x5y3_framestrobe_o[14] , \tile_x5y3_framestrobe_o[13] , \tile_x5y3_framestrobe_o[12] , \tile_x5y3_framestrobe_o[11] , \tile_x5y3_framestrobe_o[10] , \tile_x5y3_framestrobe_o[9] , \tile_x5y3_framestrobe_o[8] , \tile_x5y3_framestrobe_o[7] , \tile_x5y3_framestrobe_o[6] , \tile_x5y3_framestrobe_o[5] , \tile_x5y3_framestrobe_o[4] , \tile_x5y3_framestrobe_o[3] , \tile_x5y3_framestrobe_o[2] , \tile_x5y3_framestrobe_o[1] , \tile_x5y3_framestrobe_o[0] }),
.n1beg({ \tile_x5y3_n1beg[3] , \tile_x5y3_n1beg[2] , \tile_x5y3_n1beg[1] , \tile_x5y3_n1beg[0] }),
.n1end({ \tile_x5y4_n1beg[3] , \tile_x5y4_n1beg[2] , \tile_x5y4_n1beg[1] , \tile_x5y4_n1beg[0] }),
.n2beg({ \tile_x5y3_n2beg[7] , \tile_x5y3_n2beg[6] , \tile_x5y3_n2beg[5] , \tile_x5y3_n2beg[4] , \tile_x5y3_n2beg[3] , \tile_x5y3_n2beg[2] , \tile_x5y3_n2beg[1] , \tile_x5y3_n2beg[0] }),
.n2begb({ \tile_x5y3_n2begb[7] , \tile_x5y3_n2begb[6] , \tile_x5y3_n2begb[5] , \tile_x5y3_n2begb[4] , \tile_x5y3_n2begb[3] , \tile_x5y3_n2begb[2] , \tile_x5y3_n2begb[1] , \tile_x5y3_n2begb[0] }),
.n2end({ \tile_x5y4_n2begb[7] , \tile_x5y4_n2begb[6] , \tile_x5y4_n2begb[5] , \tile_x5y4_n2begb[4] , \tile_x5y4_n2begb[3] , \tile_x5y4_n2begb[2] , \tile_x5y4_n2begb[1] , \tile_x5y4_n2begb[0] }),
.n2mid({ \tile_x5y4_n2beg[7] , \tile_x5y4_n2beg[6] , \tile_x5y4_n2beg[5] , \tile_x5y4_n2beg[4] , \tile_x5y4_n2beg[3] , \tile_x5y4_n2beg[2] , \tile_x5y4_n2beg[1] , \tile_x5y4_n2beg[0] }),
.n4beg({ \tile_x5y3_n4beg[15] , \tile_x5y3_n4beg[14] , \tile_x5y3_n4beg[13] , \tile_x5y3_n4beg[12] , \tile_x5y3_n4beg[11] , \tile_x5y3_n4beg[10] , \tile_x5y3_n4beg[9] , \tile_x5y3_n4beg[8] , \tile_x5y3_n4beg[7] , \tile_x5y3_n4beg[6] , \tile_x5y3_n4beg[5] , \tile_x5y3_n4beg[4] , \tile_x5y3_n4beg[3] , \tile_x5y3_n4beg[2] , \tile_x5y3_n4beg[1] , \tile_x5y3_n4beg[0] }),
.n4end({ \tile_x5y4_n4beg[15] , \tile_x5y4_n4beg[14] , \tile_x5y4_n4beg[13] , \tile_x5y4_n4beg[12] , \tile_x5y4_n4beg[11] , \tile_x5y4_n4beg[10] , \tile_x5y4_n4beg[9] , \tile_x5y4_n4beg[8] , \tile_x5y4_n4beg[7] , \tile_x5y4_n4beg[6] , \tile_x5y4_n4beg[5] , \tile_x5y4_n4beg[4] , \tile_x5y4_n4beg[3] , \tile_x5y4_n4beg[2] , \tile_x5y4_n4beg[1] , \tile_x5y4_n4beg[0] }),
.nn4beg({ \tile_x5y3_nn4beg[15] , \tile_x5y3_nn4beg[14] , \tile_x5y3_nn4beg[13] , \tile_x5y3_nn4beg[12] , \tile_x5y3_nn4beg[11] , \tile_x5y3_nn4beg[10] , \tile_x5y3_nn4beg[9] , \tile_x5y3_nn4beg[8] , \tile_x5y3_nn4beg[7] , \tile_x5y3_nn4beg[6] , \tile_x5y3_nn4beg[5] , \tile_x5y3_nn4beg[4] , \tile_x5y3_nn4beg[3] , \tile_x5y3_nn4beg[2] , \tile_x5y3_nn4beg[1] , \tile_x5y3_nn4beg[0] }),
.nn4end({ \tile_x5y4_nn4beg[15] , \tile_x5y4_nn4beg[14] , \tile_x5y4_nn4beg[13] , \tile_x5y4_nn4beg[12] , \tile_x5y4_nn4beg[11] , \tile_x5y4_nn4beg[10] , \tile_x5y4_nn4beg[9] , \tile_x5y4_nn4beg[8] , \tile_x5y4_nn4beg[7] , \tile_x5y4_nn4beg[6] , \tile_x5y4_nn4beg[5] , \tile_x5y4_nn4beg[4] , \tile_x5y4_nn4beg[3] , \tile_x5y4_nn4beg[2] , \tile_x5y4_nn4beg[1] , \tile_x5y4_nn4beg[0] }),
.s1beg({ \tile_x5y3_s1beg[3] , \tile_x5y3_s1beg[2] , \tile_x5y3_s1beg[1] , \tile_x5y3_s1beg[0] }),
.s1end({ \tile_x5y2_s1beg[3] , \tile_x5y2_s1beg[2] , \tile_x5y2_s1beg[1] , \tile_x5y2_s1beg[0] }),
.s2beg({ \tile_x5y3_s2beg[7] , \tile_x5y3_s2beg[6] , \tile_x5y3_s2beg[5] , \tile_x5y3_s2beg[4] , \tile_x5y3_s2beg[3] , \tile_x5y3_s2beg[2] , \tile_x5y3_s2beg[1] , \tile_x5y3_s2beg[0] }),
.s2begb({ \tile_x5y3_s2begb[7] , \tile_x5y3_s2begb[6] , \tile_x5y3_s2begb[5] , \tile_x5y3_s2begb[4] , \tile_x5y3_s2begb[3] , \tile_x5y3_s2begb[2] , \tile_x5y3_s2begb[1] , \tile_x5y3_s2begb[0] }),
.s2end({ \tile_x5y2_s2begb[7] , \tile_x5y2_s2begb[6] , \tile_x5y2_s2begb[5] , \tile_x5y2_s2begb[4] , \tile_x5y2_s2begb[3] , \tile_x5y2_s2begb[2] , \tile_x5y2_s2begb[1] , \tile_x5y2_s2begb[0] }),
.s2mid({ \tile_x5y2_s2beg[7] , \tile_x5y2_s2beg[6] , \tile_x5y2_s2beg[5] , \tile_x5y2_s2beg[4] , \tile_x5y2_s2beg[3] , \tile_x5y2_s2beg[2] , \tile_x5y2_s2beg[1] , \tile_x5y2_s2beg[0] }),
.s4beg({ \tile_x5y3_s4beg[15] , \tile_x5y3_s4beg[14] , \tile_x5y3_s4beg[13] , \tile_x5y3_s4beg[12] , \tile_x5y3_s4beg[11] , \tile_x5y3_s4beg[10] , \tile_x5y3_s4beg[9] , \tile_x5y3_s4beg[8] , \tile_x5y3_s4beg[7] , \tile_x5y3_s4beg[6] , \tile_x5y3_s4beg[5] , \tile_x5y3_s4beg[4] , \tile_x5y3_s4beg[3] , \tile_x5y3_s4beg[2] , \tile_x5y3_s4beg[1] , \tile_x5y3_s4beg[0] }),
.s4end({ \tile_x5y2_s4beg[15] , \tile_x5y2_s4beg[14] , \tile_x5y2_s4beg[13] , \tile_x5y2_s4beg[12] , \tile_x5y2_s4beg[11] , \tile_x5y2_s4beg[10] , \tile_x5y2_s4beg[9] , \tile_x5y2_s4beg[8] , \tile_x5y2_s4beg[7] , \tile_x5y2_s4beg[6] , \tile_x5y2_s4beg[5] , \tile_x5y2_s4beg[4] , \tile_x5y2_s4beg[3] , \tile_x5y2_s4beg[2] , \tile_x5y2_s4beg[1] , \tile_x5y2_s4beg[0] }),
.ss4beg({ \tile_x5y3_ss4beg[15] , \tile_x5y3_ss4beg[14] , \tile_x5y3_ss4beg[13] , \tile_x5y3_ss4beg[12] , \tile_x5y3_ss4beg[11] , \tile_x5y3_ss4beg[10] , \tile_x5y3_ss4beg[9] , \tile_x5y3_ss4beg[8] , \tile_x5y3_ss4beg[7] , \tile_x5y3_ss4beg[6] , \tile_x5y3_ss4beg[5] , \tile_x5y3_ss4beg[4] , \tile_x5y3_ss4beg[3] , \tile_x5y3_ss4beg[2] , \tile_x5y3_ss4beg[1] , \tile_x5y3_ss4beg[0] }),
.ss4end({ \tile_x5y2_ss4beg[15] , \tile_x5y2_ss4beg[14] , \tile_x5y2_ss4beg[13] , \tile_x5y2_ss4beg[12] , \tile_x5y2_ss4beg[11] , \tile_x5y2_ss4beg[10] , \tile_x5y2_ss4beg[9] , \tile_x5y2_ss4beg[8] , \tile_x5y2_ss4beg[7] , \tile_x5y2_ss4beg[6] , \tile_x5y2_ss4beg[5] , \tile_x5y2_ss4beg[4] , \tile_x5y2_ss4beg[3] , \tile_x5y2_ss4beg[2] , \tile_x5y2_ss4beg[1] , \tile_x5y2_ss4beg[0] }),
.userclk(tile_x5y4_userclko),
.userclko(tile_x5y3_userclko),
.w1beg({ \tile_x5y3_w1beg[3] , \tile_x5y3_w1beg[2] , \tile_x5y3_w1beg[1] , \tile_x5y3_w1beg[0] }),
.w1end({ \tile_x6y3_w1beg[3] , \tile_x6y3_w1beg[2] , \tile_x6y3_w1beg[1] , \tile_x6y3_w1beg[0] }),
.w2beg({ \tile_x5y3_w2beg[7] , \tile_x5y3_w2beg[6] , \tile_x5y3_w2beg[5] , \tile_x5y3_w2beg[4] , \tile_x5y3_w2beg[3] , \tile_x5y3_w2beg[2] , \tile_x5y3_w2beg[1] , \tile_x5y3_w2beg[0] }),
.w2begb({ \tile_x5y3_w2begb[7] , \tile_x5y3_w2begb[6] , \tile_x5y3_w2begb[5] , \tile_x5y3_w2begb[4] , \tile_x5y3_w2begb[3] , \tile_x5y3_w2begb[2] , \tile_x5y3_w2begb[1] , \tile_x5y3_w2begb[0] }),
.w2end({ \tile_x6y3_w2begb[7] , \tile_x6y3_w2begb[6] , \tile_x6y3_w2begb[5] , \tile_x6y3_w2begb[4] , \tile_x6y3_w2begb[3] , \tile_x6y3_w2begb[2] , \tile_x6y3_w2begb[1] , \tile_x6y3_w2begb[0] }),
.w2mid({ \tile_x6y3_w2beg[7] , \tile_x6y3_w2beg[6] , \tile_x6y3_w2beg[5] , \tile_x6y3_w2beg[4] , \tile_x6y3_w2beg[3] , \tile_x6y3_w2beg[2] , \tile_x6y3_w2beg[1] , \tile_x6y3_w2beg[0] }),
.w6beg({ \tile_x5y3_w6beg[11] , \tile_x5y3_w6beg[10] , \tile_x5y3_w6beg[9] , \tile_x5y3_w6beg[8] , \tile_x5y3_w6beg[7] , \tile_x5y3_w6beg[6] , \tile_x5y3_w6beg[5] , \tile_x5y3_w6beg[4] , \tile_x5y3_w6beg[3] , \tile_x5y3_w6beg[2] , \tile_x5y3_w6beg[1] , \tile_x5y3_w6beg[0] }),
.w6end({ \tile_x6y3_w6beg[11] , \tile_x6y3_w6beg[10] , \tile_x6y3_w6beg[9] , \tile_x6y3_w6beg[8] , \tile_x6y3_w6beg[7] , \tile_x6y3_w6beg[6] , \tile_x6y3_w6beg[5] , \tile_x6y3_w6beg[4] , \tile_x6y3_w6beg[3] , \tile_x6y3_w6beg[2] , \tile_x6y3_w6beg[1] , \tile_x6y3_w6beg[0] }),
.ww4beg({ \tile_x5y3_ww4beg[15] , \tile_x5y3_ww4beg[14] , \tile_x5y3_ww4beg[13] , \tile_x5y3_ww4beg[12] , \tile_x5y3_ww4beg[11] , \tile_x5y3_ww4beg[10] , \tile_x5y3_ww4beg[9] , \tile_x5y3_ww4beg[8] , \tile_x5y3_ww4beg[7] , \tile_x5y3_ww4beg[6] , \tile_x5y3_ww4beg[5] , \tile_x5y3_ww4beg[4] , \tile_x5y3_ww4beg[3] , \tile_x5y3_ww4beg[2] , \tile_x5y3_ww4beg[1] , \tile_x5y3_ww4beg[0] }),
.ww4end({ \tile_x6y3_ww4beg[15] , \tile_x6y3_ww4beg[14] , \tile_x6y3_ww4beg[13] , \tile_x6y3_ww4beg[12] , \tile_x6y3_ww4beg[11] , \tile_x6y3_ww4beg[10] , \tile_x6y3_ww4beg[9] , \tile_x6y3_ww4beg[8] , \tile_x6y3_ww4beg[7] , \tile_x6y3_ww4beg[6] , \tile_x6y3_ww4beg[5] , \tile_x6y3_ww4beg[4] , \tile_x6y3_ww4beg[3] , \tile_x6y3_ww4beg[2] , \tile_x6y3_ww4beg[1] , \tile_x6y3_ww4beg[0] })
);
lut4ab tile_x5y4_lut4ab (
.ci(tile_x5y5_co),
.co(tile_x5y4_co),
.e1beg({ \tile_x5y4_e1beg[3] , \tile_x5y4_e1beg[2] , \tile_x5y4_e1beg[1] , \tile_x5y4_e1beg[0] }),
.e1end({ \tile_x4y4_e1beg[3] , \tile_x4y4_e1beg[2] , \tile_x4y4_e1beg[1] , \tile_x4y4_e1beg[0] }),
.e2beg({ \tile_x5y4_e2beg[7] , \tile_x5y4_e2beg[6] , \tile_x5y4_e2beg[5] , \tile_x5y4_e2beg[4] , \tile_x5y4_e2beg[3] , \tile_x5y4_e2beg[2] , \tile_x5y4_e2beg[1] , \tile_x5y4_e2beg[0] }),
.e2begb({ \tile_x5y4_e2begb[7] , \tile_x5y4_e2begb[6] , \tile_x5y4_e2begb[5] , \tile_x5y4_e2begb[4] , \tile_x5y4_e2begb[3] , \tile_x5y4_e2begb[2] , \tile_x5y4_e2begb[1] , \tile_x5y4_e2begb[0] }),
.e2end({ \tile_x4y4_e2begb[7] , \tile_x4y4_e2begb[6] , \tile_x4y4_e2begb[5] , \tile_x4y4_e2begb[4] , \tile_x4y4_e2begb[3] , \tile_x4y4_e2begb[2] , \tile_x4y4_e2begb[1] , \tile_x4y4_e2begb[0] }),
.e2mid({ \tile_x4y4_e2beg[7] , \tile_x4y4_e2beg[6] , \tile_x4y4_e2beg[5] , \tile_x4y4_e2beg[4] , \tile_x4y4_e2beg[3] , \tile_x4y4_e2beg[2] , \tile_x4y4_e2beg[1] , \tile_x4y4_e2beg[0] }),
.e6beg({ \tile_x5y4_e6beg[11] , \tile_x5y4_e6beg[10] , \tile_x5y4_e6beg[9] , \tile_x5y4_e6beg[8] , \tile_x5y4_e6beg[7] , \tile_x5y4_e6beg[6] , \tile_x5y4_e6beg[5] , \tile_x5y4_e6beg[4] , \tile_x5y4_e6beg[3] , \tile_x5y4_e6beg[2] , \tile_x5y4_e6beg[1] , \tile_x5y4_e6beg[0] }),
.e6end({ \tile_x4y4_e6beg[11] , \tile_x4y4_e6beg[10] , \tile_x4y4_e6beg[9] , \tile_x4y4_e6beg[8] , \tile_x4y4_e6beg[7] , \tile_x4y4_e6beg[6] , \tile_x4y4_e6beg[5] , \tile_x4y4_e6beg[4] , \tile_x4y4_e6beg[3] , \tile_x4y4_e6beg[2] , \tile_x4y4_e6beg[1] , \tile_x4y4_e6beg[0] }),
.ee4beg({ \tile_x5y4_ee4beg[15] , \tile_x5y4_ee4beg[14] , \tile_x5y4_ee4beg[13] , \tile_x5y4_ee4beg[12] , \tile_x5y4_ee4beg[11] , \tile_x5y4_ee4beg[10] , \tile_x5y4_ee4beg[9] , \tile_x5y4_ee4beg[8] , \tile_x5y4_ee4beg[7] , \tile_x5y4_ee4beg[6] , \tile_x5y4_ee4beg[5] , \tile_x5y4_ee4beg[4] , \tile_x5y4_ee4beg[3] , \tile_x5y4_ee4beg[2] , \tile_x5y4_ee4beg[1] , \tile_x5y4_ee4beg[0] }),
.ee4end({ \tile_x4y4_ee4beg[15] , \tile_x4y4_ee4beg[14] , \tile_x4y4_ee4beg[13] , \tile_x4y4_ee4beg[12] , \tile_x4y4_ee4beg[11] , \tile_x4y4_ee4beg[10] , \tile_x4y4_ee4beg[9] , \tile_x4y4_ee4beg[8] , \tile_x4y4_ee4beg[7] , \tile_x4y4_ee4beg[6] , \tile_x4y4_ee4beg[5] , \tile_x4y4_ee4beg[4] , \tile_x4y4_ee4beg[3] , \tile_x4y4_ee4beg[2] , \tile_x4y4_ee4beg[1] , \tile_x4y4_ee4beg[0] }),
.framedata({ \tile_x4y4_framedata_o[31] , \tile_x4y4_framedata_o[30] , \tile_x4y4_framedata_o[29] , \tile_x4y4_framedata_o[28] , \tile_x4y4_framedata_o[27] , \tile_x4y4_framedata_o[26] , \tile_x4y4_framedata_o[25] , \tile_x4y4_framedata_o[24] , \tile_x4y4_framedata_o[23] , \tile_x4y4_framedata_o[22] , \tile_x4y4_framedata_o[21] , \tile_x4y4_framedata_o[20] , \tile_x4y4_framedata_o[19] , \tile_x4y4_framedata_o[18] , \tile_x4y4_framedata_o[17] , \tile_x4y4_framedata_o[16] , \tile_x4y4_framedata_o[15] , \tile_x4y4_framedata_o[14] , \tile_x4y4_framedata_o[13] , \tile_x4y4_framedata_o[12] , \tile_x4y4_framedata_o[11] , \tile_x4y4_framedata_o[10] , \tile_x4y4_framedata_o[9] , \tile_x4y4_framedata_o[8] , \tile_x4y4_framedata_o[7] , \tile_x4y4_framedata_o[6] , \tile_x4y4_framedata_o[5] , \tile_x4y4_framedata_o[4] , \tile_x4y4_framedata_o[3] , \tile_x4y4_framedata_o[2] , \tile_x4y4_framedata_o[1] , \tile_x4y4_framedata_o[0] }),
.framedata_o({ \tile_x5y4_framedata_o[31] , \tile_x5y4_framedata_o[30] , \tile_x5y4_framedata_o[29] , \tile_x5y4_framedata_o[28] , \tile_x5y4_framedata_o[27] , \tile_x5y4_framedata_o[26] , \tile_x5y4_framedata_o[25] , \tile_x5y4_framedata_o[24] , \tile_x5y4_framedata_o[23] , \tile_x5y4_framedata_o[22] , \tile_x5y4_framedata_o[21] , \tile_x5y4_framedata_o[20] , \tile_x5y4_framedata_o[19] , \tile_x5y4_framedata_o[18] , \tile_x5y4_framedata_o[17] , \tile_x5y4_framedata_o[16] , \tile_x5y4_framedata_o[15] , \tile_x5y4_framedata_o[14] , \tile_x5y4_framedata_o[13] , \tile_x5y4_framedata_o[12] , \tile_x5y4_framedata_o[11] , \tile_x5y4_framedata_o[10] , \tile_x5y4_framedata_o[9] , \tile_x5y4_framedata_o[8] , \tile_x5y4_framedata_o[7] , \tile_x5y4_framedata_o[6] , \tile_x5y4_framedata_o[5] , \tile_x5y4_framedata_o[4] , \tile_x5y4_framedata_o[3] , \tile_x5y4_framedata_o[2] , \tile_x5y4_framedata_o[1] , \tile_x5y4_framedata_o[0] }),
.framestrobe({ \tile_x5y5_framestrobe_o[19] , \tile_x5y5_framestrobe_o[18] , \tile_x5y5_framestrobe_o[17] , \tile_x5y5_framestrobe_o[16] , \tile_x5y5_framestrobe_o[15] , \tile_x5y5_framestrobe_o[14] , \tile_x5y5_framestrobe_o[13] , \tile_x5y5_framestrobe_o[12] , \tile_x5y5_framestrobe_o[11] , \tile_x5y5_framestrobe_o[10] , \tile_x5y5_framestrobe_o[9] , \tile_x5y5_framestrobe_o[8] , \tile_x5y5_framestrobe_o[7] , \tile_x5y5_framestrobe_o[6] , \tile_x5y5_framestrobe_o[5] , \tile_x5y5_framestrobe_o[4] , \tile_x5y5_framestrobe_o[3] , \tile_x5y5_framestrobe_o[2] , \tile_x5y5_framestrobe_o[1] , \tile_x5y5_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y4_framestrobe_o[19] , \tile_x5y4_framestrobe_o[18] , \tile_x5y4_framestrobe_o[17] , \tile_x5y4_framestrobe_o[16] , \tile_x5y4_framestrobe_o[15] , \tile_x5y4_framestrobe_o[14] , \tile_x5y4_framestrobe_o[13] , \tile_x5y4_framestrobe_o[12] , \tile_x5y4_framestrobe_o[11] , \tile_x5y4_framestrobe_o[10] , \tile_x5y4_framestrobe_o[9] , \tile_x5y4_framestrobe_o[8] , \tile_x5y4_framestrobe_o[7] , \tile_x5y4_framestrobe_o[6] , \tile_x5y4_framestrobe_o[5] , \tile_x5y4_framestrobe_o[4] , \tile_x5y4_framestrobe_o[3] , \tile_x5y4_framestrobe_o[2] , \tile_x5y4_framestrobe_o[1] , \tile_x5y4_framestrobe_o[0] }),
.n1beg({ \tile_x5y4_n1beg[3] , \tile_x5y4_n1beg[2] , \tile_x5y4_n1beg[1] , \tile_x5y4_n1beg[0] }),
.n1end({ \tile_x5y5_n1beg[3] , \tile_x5y5_n1beg[2] , \tile_x5y5_n1beg[1] , \tile_x5y5_n1beg[0] }),
.n2beg({ \tile_x5y4_n2beg[7] , \tile_x5y4_n2beg[6] , \tile_x5y4_n2beg[5] , \tile_x5y4_n2beg[4] , \tile_x5y4_n2beg[3] , \tile_x5y4_n2beg[2] , \tile_x5y4_n2beg[1] , \tile_x5y4_n2beg[0] }),
.n2begb({ \tile_x5y4_n2begb[7] , \tile_x5y4_n2begb[6] , \tile_x5y4_n2begb[5] , \tile_x5y4_n2begb[4] , \tile_x5y4_n2begb[3] , \tile_x5y4_n2begb[2] , \tile_x5y4_n2begb[1] , \tile_x5y4_n2begb[0] }),
.n2end({ \tile_x5y5_n2begb[7] , \tile_x5y5_n2begb[6] , \tile_x5y5_n2begb[5] , \tile_x5y5_n2begb[4] , \tile_x5y5_n2begb[3] , \tile_x5y5_n2begb[2] , \tile_x5y5_n2begb[1] , \tile_x5y5_n2begb[0] }),
.n2mid({ \tile_x5y5_n2beg[7] , \tile_x5y5_n2beg[6] , \tile_x5y5_n2beg[5] , \tile_x5y5_n2beg[4] , \tile_x5y5_n2beg[3] , \tile_x5y5_n2beg[2] , \tile_x5y5_n2beg[1] , \tile_x5y5_n2beg[0] }),
.n4beg({ \tile_x5y4_n4beg[15] , \tile_x5y4_n4beg[14] , \tile_x5y4_n4beg[13] , \tile_x5y4_n4beg[12] , \tile_x5y4_n4beg[11] , \tile_x5y4_n4beg[10] , \tile_x5y4_n4beg[9] , \tile_x5y4_n4beg[8] , \tile_x5y4_n4beg[7] , \tile_x5y4_n4beg[6] , \tile_x5y4_n4beg[5] , \tile_x5y4_n4beg[4] , \tile_x5y4_n4beg[3] , \tile_x5y4_n4beg[2] , \tile_x5y4_n4beg[1] , \tile_x5y4_n4beg[0] }),
.n4end({ \tile_x5y5_n4beg[15] , \tile_x5y5_n4beg[14] , \tile_x5y5_n4beg[13] , \tile_x5y5_n4beg[12] , \tile_x5y5_n4beg[11] , \tile_x5y5_n4beg[10] , \tile_x5y5_n4beg[9] , \tile_x5y5_n4beg[8] , \tile_x5y5_n4beg[7] , \tile_x5y5_n4beg[6] , \tile_x5y5_n4beg[5] , \tile_x5y5_n4beg[4] , \tile_x5y5_n4beg[3] , \tile_x5y5_n4beg[2] , \tile_x5y5_n4beg[1] , \tile_x5y5_n4beg[0] }),
.nn4beg({ \tile_x5y4_nn4beg[15] , \tile_x5y4_nn4beg[14] , \tile_x5y4_nn4beg[13] , \tile_x5y4_nn4beg[12] , \tile_x5y4_nn4beg[11] , \tile_x5y4_nn4beg[10] , \tile_x5y4_nn4beg[9] , \tile_x5y4_nn4beg[8] , \tile_x5y4_nn4beg[7] , \tile_x5y4_nn4beg[6] , \tile_x5y4_nn4beg[5] , \tile_x5y4_nn4beg[4] , \tile_x5y4_nn4beg[3] , \tile_x5y4_nn4beg[2] , \tile_x5y4_nn4beg[1] , \tile_x5y4_nn4beg[0] }),
.nn4end({ \tile_x5y5_nn4beg[15] , \tile_x5y5_nn4beg[14] , \tile_x5y5_nn4beg[13] , \tile_x5y5_nn4beg[12] , \tile_x5y5_nn4beg[11] , \tile_x5y5_nn4beg[10] , \tile_x5y5_nn4beg[9] , \tile_x5y5_nn4beg[8] , \tile_x5y5_nn4beg[7] , \tile_x5y5_nn4beg[6] , \tile_x5y5_nn4beg[5] , \tile_x5y5_nn4beg[4] , \tile_x5y5_nn4beg[3] , \tile_x5y5_nn4beg[2] , \tile_x5y5_nn4beg[1] , \tile_x5y5_nn4beg[0] }),
.s1beg({ \tile_x5y4_s1beg[3] , \tile_x5y4_s1beg[2] , \tile_x5y4_s1beg[1] , \tile_x5y4_s1beg[0] }),
.s1end({ \tile_x5y3_s1beg[3] , \tile_x5y3_s1beg[2] , \tile_x5y3_s1beg[1] , \tile_x5y3_s1beg[0] }),
.s2beg({ \tile_x5y4_s2beg[7] , \tile_x5y4_s2beg[6] , \tile_x5y4_s2beg[5] , \tile_x5y4_s2beg[4] , \tile_x5y4_s2beg[3] , \tile_x5y4_s2beg[2] , \tile_x5y4_s2beg[1] , \tile_x5y4_s2beg[0] }),
.s2begb({ \tile_x5y4_s2begb[7] , \tile_x5y4_s2begb[6] , \tile_x5y4_s2begb[5] , \tile_x5y4_s2begb[4] , \tile_x5y4_s2begb[3] , \tile_x5y4_s2begb[2] , \tile_x5y4_s2begb[1] , \tile_x5y4_s2begb[0] }),
.s2end({ \tile_x5y3_s2begb[7] , \tile_x5y3_s2begb[6] , \tile_x5y3_s2begb[5] , \tile_x5y3_s2begb[4] , \tile_x5y3_s2begb[3] , \tile_x5y3_s2begb[2] , \tile_x5y3_s2begb[1] , \tile_x5y3_s2begb[0] }),
.s2mid({ \tile_x5y3_s2beg[7] , \tile_x5y3_s2beg[6] , \tile_x5y3_s2beg[5] , \tile_x5y3_s2beg[4] , \tile_x5y3_s2beg[3] , \tile_x5y3_s2beg[2] , \tile_x5y3_s2beg[1] , \tile_x5y3_s2beg[0] }),
.s4beg({ \tile_x5y4_s4beg[15] , \tile_x5y4_s4beg[14] , \tile_x5y4_s4beg[13] , \tile_x5y4_s4beg[12] , \tile_x5y4_s4beg[11] , \tile_x5y4_s4beg[10] , \tile_x5y4_s4beg[9] , \tile_x5y4_s4beg[8] , \tile_x5y4_s4beg[7] , \tile_x5y4_s4beg[6] , \tile_x5y4_s4beg[5] , \tile_x5y4_s4beg[4] , \tile_x5y4_s4beg[3] , \tile_x5y4_s4beg[2] , \tile_x5y4_s4beg[1] , \tile_x5y4_s4beg[0] }),
.s4end({ \tile_x5y3_s4beg[15] , \tile_x5y3_s4beg[14] , \tile_x5y3_s4beg[13] , \tile_x5y3_s4beg[12] , \tile_x5y3_s4beg[11] , \tile_x5y3_s4beg[10] , \tile_x5y3_s4beg[9] , \tile_x5y3_s4beg[8] , \tile_x5y3_s4beg[7] , \tile_x5y3_s4beg[6] , \tile_x5y3_s4beg[5] , \tile_x5y3_s4beg[4] , \tile_x5y3_s4beg[3] , \tile_x5y3_s4beg[2] , \tile_x5y3_s4beg[1] , \tile_x5y3_s4beg[0] }),
.ss4beg({ \tile_x5y4_ss4beg[15] , \tile_x5y4_ss4beg[14] , \tile_x5y4_ss4beg[13] , \tile_x5y4_ss4beg[12] , \tile_x5y4_ss4beg[11] , \tile_x5y4_ss4beg[10] , \tile_x5y4_ss4beg[9] , \tile_x5y4_ss4beg[8] , \tile_x5y4_ss4beg[7] , \tile_x5y4_ss4beg[6] , \tile_x5y4_ss4beg[5] , \tile_x5y4_ss4beg[4] , \tile_x5y4_ss4beg[3] , \tile_x5y4_ss4beg[2] , \tile_x5y4_ss4beg[1] , \tile_x5y4_ss4beg[0] }),
.ss4end({ \tile_x5y3_ss4beg[15] , \tile_x5y3_ss4beg[14] , \tile_x5y3_ss4beg[13] , \tile_x5y3_ss4beg[12] , \tile_x5y3_ss4beg[11] , \tile_x5y3_ss4beg[10] , \tile_x5y3_ss4beg[9] , \tile_x5y3_ss4beg[8] , \tile_x5y3_ss4beg[7] , \tile_x5y3_ss4beg[6] , \tile_x5y3_ss4beg[5] , \tile_x5y3_ss4beg[4] , \tile_x5y3_ss4beg[3] , \tile_x5y3_ss4beg[2] , \tile_x5y3_ss4beg[1] , \tile_x5y3_ss4beg[0] }),
.userclk(tile_x5y5_userclko),
.userclko(tile_x5y4_userclko),
.w1beg({ \tile_x5y4_w1beg[3] , \tile_x5y4_w1beg[2] , \tile_x5y4_w1beg[1] , \tile_x5y4_w1beg[0] }),
.w1end({ \tile_x6y4_w1beg[3] , \tile_x6y4_w1beg[2] , \tile_x6y4_w1beg[1] , \tile_x6y4_w1beg[0] }),
.w2beg({ \tile_x5y4_w2beg[7] , \tile_x5y4_w2beg[6] , \tile_x5y4_w2beg[5] , \tile_x5y4_w2beg[4] , \tile_x5y4_w2beg[3] , \tile_x5y4_w2beg[2] , \tile_x5y4_w2beg[1] , \tile_x5y4_w2beg[0] }),
.w2begb({ \tile_x5y4_w2begb[7] , \tile_x5y4_w2begb[6] , \tile_x5y4_w2begb[5] , \tile_x5y4_w2begb[4] , \tile_x5y4_w2begb[3] , \tile_x5y4_w2begb[2] , \tile_x5y4_w2begb[1] , \tile_x5y4_w2begb[0] }),
.w2end({ \tile_x6y4_w2begb[7] , \tile_x6y4_w2begb[6] , \tile_x6y4_w2begb[5] , \tile_x6y4_w2begb[4] , \tile_x6y4_w2begb[3] , \tile_x6y4_w2begb[2] , \tile_x6y4_w2begb[1] , \tile_x6y4_w2begb[0] }),
.w2mid({ \tile_x6y4_w2beg[7] , \tile_x6y4_w2beg[6] , \tile_x6y4_w2beg[5] , \tile_x6y4_w2beg[4] , \tile_x6y4_w2beg[3] , \tile_x6y4_w2beg[2] , \tile_x6y4_w2beg[1] , \tile_x6y4_w2beg[0] }),
.w6beg({ \tile_x5y4_w6beg[11] , \tile_x5y4_w6beg[10] , \tile_x5y4_w6beg[9] , \tile_x5y4_w6beg[8] , \tile_x5y4_w6beg[7] , \tile_x5y4_w6beg[6] , \tile_x5y4_w6beg[5] , \tile_x5y4_w6beg[4] , \tile_x5y4_w6beg[3] , \tile_x5y4_w6beg[2] , \tile_x5y4_w6beg[1] , \tile_x5y4_w6beg[0] }),
.w6end({ \tile_x6y4_w6beg[11] , \tile_x6y4_w6beg[10] , \tile_x6y4_w6beg[9] , \tile_x6y4_w6beg[8] , \tile_x6y4_w6beg[7] , \tile_x6y4_w6beg[6] , \tile_x6y4_w6beg[5] , \tile_x6y4_w6beg[4] , \tile_x6y4_w6beg[3] , \tile_x6y4_w6beg[2] , \tile_x6y4_w6beg[1] , \tile_x6y4_w6beg[0] }),
.ww4beg({ \tile_x5y4_ww4beg[15] , \tile_x5y4_ww4beg[14] , \tile_x5y4_ww4beg[13] , \tile_x5y4_ww4beg[12] , \tile_x5y4_ww4beg[11] , \tile_x5y4_ww4beg[10] , \tile_x5y4_ww4beg[9] , \tile_x5y4_ww4beg[8] , \tile_x5y4_ww4beg[7] , \tile_x5y4_ww4beg[6] , \tile_x5y4_ww4beg[5] , \tile_x5y4_ww4beg[4] , \tile_x5y4_ww4beg[3] , \tile_x5y4_ww4beg[2] , \tile_x5y4_ww4beg[1] , \tile_x5y4_ww4beg[0] }),
.ww4end({ \tile_x6y4_ww4beg[15] , \tile_x6y4_ww4beg[14] , \tile_x6y4_ww4beg[13] , \tile_x6y4_ww4beg[12] , \tile_x6y4_ww4beg[11] , \tile_x6y4_ww4beg[10] , \tile_x6y4_ww4beg[9] , \tile_x6y4_ww4beg[8] , \tile_x6y4_ww4beg[7] , \tile_x6y4_ww4beg[6] , \tile_x6y4_ww4beg[5] , \tile_x6y4_ww4beg[4] , \tile_x6y4_ww4beg[3] , \tile_x6y4_ww4beg[2] , \tile_x6y4_ww4beg[1] , \tile_x6y4_ww4beg[0] })
);
lut4ab tile_x5y5_lut4ab (
.ci(tile_x5y6_co),
.co(tile_x5y5_co),
.e1beg({ \tile_x5y5_e1beg[3] , \tile_x5y5_e1beg[2] , \tile_x5y5_e1beg[1] , \tile_x5y5_e1beg[0] }),
.e1end({ \tile_x4y5_e1beg[3] , \tile_x4y5_e1beg[2] , \tile_x4y5_e1beg[1] , \tile_x4y5_e1beg[0] }),
.e2beg({ \tile_x5y5_e2beg[7] , \tile_x5y5_e2beg[6] , \tile_x5y5_e2beg[5] , \tile_x5y5_e2beg[4] , \tile_x5y5_e2beg[3] , \tile_x5y5_e2beg[2] , \tile_x5y5_e2beg[1] , \tile_x5y5_e2beg[0] }),
.e2begb({ \tile_x5y5_e2begb[7] , \tile_x5y5_e2begb[6] , \tile_x5y5_e2begb[5] , \tile_x5y5_e2begb[4] , \tile_x5y5_e2begb[3] , \tile_x5y5_e2begb[2] , \tile_x5y5_e2begb[1] , \tile_x5y5_e2begb[0] }),
.e2end({ \tile_x4y5_e2begb[7] , \tile_x4y5_e2begb[6] , \tile_x4y5_e2begb[5] , \tile_x4y5_e2begb[4] , \tile_x4y5_e2begb[3] , \tile_x4y5_e2begb[2] , \tile_x4y5_e2begb[1] , \tile_x4y5_e2begb[0] }),
.e2mid({ \tile_x4y5_e2beg[7] , \tile_x4y5_e2beg[6] , \tile_x4y5_e2beg[5] , \tile_x4y5_e2beg[4] , \tile_x4y5_e2beg[3] , \tile_x4y5_e2beg[2] , \tile_x4y5_e2beg[1] , \tile_x4y5_e2beg[0] }),
.e6beg({ \tile_x5y5_e6beg[11] , \tile_x5y5_e6beg[10] , \tile_x5y5_e6beg[9] , \tile_x5y5_e6beg[8] , \tile_x5y5_e6beg[7] , \tile_x5y5_e6beg[6] , \tile_x5y5_e6beg[5] , \tile_x5y5_e6beg[4] , \tile_x5y5_e6beg[3] , \tile_x5y5_e6beg[2] , \tile_x5y5_e6beg[1] , \tile_x5y5_e6beg[0] }),
.e6end({ \tile_x4y5_e6beg[11] , \tile_x4y5_e6beg[10] , \tile_x4y5_e6beg[9] , \tile_x4y5_e6beg[8] , \tile_x4y5_e6beg[7] , \tile_x4y5_e6beg[6] , \tile_x4y5_e6beg[5] , \tile_x4y5_e6beg[4] , \tile_x4y5_e6beg[3] , \tile_x4y5_e6beg[2] , \tile_x4y5_e6beg[1] , \tile_x4y5_e6beg[0] }),
.ee4beg({ \tile_x5y5_ee4beg[15] , \tile_x5y5_ee4beg[14] , \tile_x5y5_ee4beg[13] , \tile_x5y5_ee4beg[12] , \tile_x5y5_ee4beg[11] , \tile_x5y5_ee4beg[10] , \tile_x5y5_ee4beg[9] , \tile_x5y5_ee4beg[8] , \tile_x5y5_ee4beg[7] , \tile_x5y5_ee4beg[6] , \tile_x5y5_ee4beg[5] , \tile_x5y5_ee4beg[4] , \tile_x5y5_ee4beg[3] , \tile_x5y5_ee4beg[2] , \tile_x5y5_ee4beg[1] , \tile_x5y5_ee4beg[0] }),
.ee4end({ \tile_x4y5_ee4beg[15] , \tile_x4y5_ee4beg[14] , \tile_x4y5_ee4beg[13] , \tile_x4y5_ee4beg[12] , \tile_x4y5_ee4beg[11] , \tile_x4y5_ee4beg[10] , \tile_x4y5_ee4beg[9] , \tile_x4y5_ee4beg[8] , \tile_x4y5_ee4beg[7] , \tile_x4y5_ee4beg[6] , \tile_x4y5_ee4beg[5] , \tile_x4y5_ee4beg[4] , \tile_x4y5_ee4beg[3] , \tile_x4y5_ee4beg[2] , \tile_x4y5_ee4beg[1] , \tile_x4y5_ee4beg[0] }),
.framedata({ \tile_x4y5_framedata_o[31] , \tile_x4y5_framedata_o[30] , \tile_x4y5_framedata_o[29] , \tile_x4y5_framedata_o[28] , \tile_x4y5_framedata_o[27] , \tile_x4y5_framedata_o[26] , \tile_x4y5_framedata_o[25] , \tile_x4y5_framedata_o[24] , \tile_x4y5_framedata_o[23] , \tile_x4y5_framedata_o[22] , \tile_x4y5_framedata_o[21] , \tile_x4y5_framedata_o[20] , \tile_x4y5_framedata_o[19] , \tile_x4y5_framedata_o[18] , \tile_x4y5_framedata_o[17] , \tile_x4y5_framedata_o[16] , \tile_x4y5_framedata_o[15] , \tile_x4y5_framedata_o[14] , \tile_x4y5_framedata_o[13] , \tile_x4y5_framedata_o[12] , \tile_x4y5_framedata_o[11] , \tile_x4y5_framedata_o[10] , \tile_x4y5_framedata_o[9] , \tile_x4y5_framedata_o[8] , \tile_x4y5_framedata_o[7] , \tile_x4y5_framedata_o[6] , \tile_x4y5_framedata_o[5] , \tile_x4y5_framedata_o[4] , \tile_x4y5_framedata_o[3] , \tile_x4y5_framedata_o[2] , \tile_x4y5_framedata_o[1] , \tile_x4y5_framedata_o[0] }),
.framedata_o({ \tile_x5y5_framedata_o[31] , \tile_x5y5_framedata_o[30] , \tile_x5y5_framedata_o[29] , \tile_x5y5_framedata_o[28] , \tile_x5y5_framedata_o[27] , \tile_x5y5_framedata_o[26] , \tile_x5y5_framedata_o[25] , \tile_x5y5_framedata_o[24] , \tile_x5y5_framedata_o[23] , \tile_x5y5_framedata_o[22] , \tile_x5y5_framedata_o[21] , \tile_x5y5_framedata_o[20] , \tile_x5y5_framedata_o[19] , \tile_x5y5_framedata_o[18] , \tile_x5y5_framedata_o[17] , \tile_x5y5_framedata_o[16] , \tile_x5y5_framedata_o[15] , \tile_x5y5_framedata_o[14] , \tile_x5y5_framedata_o[13] , \tile_x5y5_framedata_o[12] , \tile_x5y5_framedata_o[11] , \tile_x5y5_framedata_o[10] , \tile_x5y5_framedata_o[9] , \tile_x5y5_framedata_o[8] , \tile_x5y5_framedata_o[7] , \tile_x5y5_framedata_o[6] , \tile_x5y5_framedata_o[5] , \tile_x5y5_framedata_o[4] , \tile_x5y5_framedata_o[3] , \tile_x5y5_framedata_o[2] , \tile_x5y5_framedata_o[1] , \tile_x5y5_framedata_o[0] }),
.framestrobe({ \tile_x5y6_framestrobe_o[19] , \tile_x5y6_framestrobe_o[18] , \tile_x5y6_framestrobe_o[17] , \tile_x5y6_framestrobe_o[16] , \tile_x5y6_framestrobe_o[15] , \tile_x5y6_framestrobe_o[14] , \tile_x5y6_framestrobe_o[13] , \tile_x5y6_framestrobe_o[12] , \tile_x5y6_framestrobe_o[11] , \tile_x5y6_framestrobe_o[10] , \tile_x5y6_framestrobe_o[9] , \tile_x5y6_framestrobe_o[8] , \tile_x5y6_framestrobe_o[7] , \tile_x5y6_framestrobe_o[6] , \tile_x5y6_framestrobe_o[5] , \tile_x5y6_framestrobe_o[4] , \tile_x5y6_framestrobe_o[3] , \tile_x5y6_framestrobe_o[2] , \tile_x5y6_framestrobe_o[1] , \tile_x5y6_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y5_framestrobe_o[19] , \tile_x5y5_framestrobe_o[18] , \tile_x5y5_framestrobe_o[17] , \tile_x5y5_framestrobe_o[16] , \tile_x5y5_framestrobe_o[15] , \tile_x5y5_framestrobe_o[14] , \tile_x5y5_framestrobe_o[13] , \tile_x5y5_framestrobe_o[12] , \tile_x5y5_framestrobe_o[11] , \tile_x5y5_framestrobe_o[10] , \tile_x5y5_framestrobe_o[9] , \tile_x5y5_framestrobe_o[8] , \tile_x5y5_framestrobe_o[7] , \tile_x5y5_framestrobe_o[6] , \tile_x5y5_framestrobe_o[5] , \tile_x5y5_framestrobe_o[4] , \tile_x5y5_framestrobe_o[3] , \tile_x5y5_framestrobe_o[2] , \tile_x5y5_framestrobe_o[1] , \tile_x5y5_framestrobe_o[0] }),
.n1beg({ \tile_x5y5_n1beg[3] , \tile_x5y5_n1beg[2] , \tile_x5y5_n1beg[1] , \tile_x5y5_n1beg[0] }),
.n1end({ \tile_x5y6_n1beg[3] , \tile_x5y6_n1beg[2] , \tile_x5y6_n1beg[1] , \tile_x5y6_n1beg[0] }),
.n2beg({ \tile_x5y5_n2beg[7] , \tile_x5y5_n2beg[6] , \tile_x5y5_n2beg[5] , \tile_x5y5_n2beg[4] , \tile_x5y5_n2beg[3] , \tile_x5y5_n2beg[2] , \tile_x5y5_n2beg[1] , \tile_x5y5_n2beg[0] }),
.n2begb({ \tile_x5y5_n2begb[7] , \tile_x5y5_n2begb[6] , \tile_x5y5_n2begb[5] , \tile_x5y5_n2begb[4] , \tile_x5y5_n2begb[3] , \tile_x5y5_n2begb[2] , \tile_x5y5_n2begb[1] , \tile_x5y5_n2begb[0] }),
.n2end({ \tile_x5y6_n2begb[7] , \tile_x5y6_n2begb[6] , \tile_x5y6_n2begb[5] , \tile_x5y6_n2begb[4] , \tile_x5y6_n2begb[3] , \tile_x5y6_n2begb[2] , \tile_x5y6_n2begb[1] , \tile_x5y6_n2begb[0] }),
.n2mid({ \tile_x5y6_n2beg[7] , \tile_x5y6_n2beg[6] , \tile_x5y6_n2beg[5] , \tile_x5y6_n2beg[4] , \tile_x5y6_n2beg[3] , \tile_x5y6_n2beg[2] , \tile_x5y6_n2beg[1] , \tile_x5y6_n2beg[0] }),
.n4beg({ \tile_x5y5_n4beg[15] , \tile_x5y5_n4beg[14] , \tile_x5y5_n4beg[13] , \tile_x5y5_n4beg[12] , \tile_x5y5_n4beg[11] , \tile_x5y5_n4beg[10] , \tile_x5y5_n4beg[9] , \tile_x5y5_n4beg[8] , \tile_x5y5_n4beg[7] , \tile_x5y5_n4beg[6] , \tile_x5y5_n4beg[5] , \tile_x5y5_n4beg[4] , \tile_x5y5_n4beg[3] , \tile_x5y5_n4beg[2] , \tile_x5y5_n4beg[1] , \tile_x5y5_n4beg[0] }),
.n4end({ \tile_x5y6_n4beg[15] , \tile_x5y6_n4beg[14] , \tile_x5y6_n4beg[13] , \tile_x5y6_n4beg[12] , \tile_x5y6_n4beg[11] , \tile_x5y6_n4beg[10] , \tile_x5y6_n4beg[9] , \tile_x5y6_n4beg[8] , \tile_x5y6_n4beg[7] , \tile_x5y6_n4beg[6] , \tile_x5y6_n4beg[5] , \tile_x5y6_n4beg[4] , \tile_x5y6_n4beg[3] , \tile_x5y6_n4beg[2] , \tile_x5y6_n4beg[1] , \tile_x5y6_n4beg[0] }),
.nn4beg({ \tile_x5y5_nn4beg[15] , \tile_x5y5_nn4beg[14] , \tile_x5y5_nn4beg[13] , \tile_x5y5_nn4beg[12] , \tile_x5y5_nn4beg[11] , \tile_x5y5_nn4beg[10] , \tile_x5y5_nn4beg[9] , \tile_x5y5_nn4beg[8] , \tile_x5y5_nn4beg[7] , \tile_x5y5_nn4beg[6] , \tile_x5y5_nn4beg[5] , \tile_x5y5_nn4beg[4] , \tile_x5y5_nn4beg[3] , \tile_x5y5_nn4beg[2] , \tile_x5y5_nn4beg[1] , \tile_x5y5_nn4beg[0] }),
.nn4end({ \tile_x5y6_nn4beg[15] , \tile_x5y6_nn4beg[14] , \tile_x5y6_nn4beg[13] , \tile_x5y6_nn4beg[12] , \tile_x5y6_nn4beg[11] , \tile_x5y6_nn4beg[10] , \tile_x5y6_nn4beg[9] , \tile_x5y6_nn4beg[8] , \tile_x5y6_nn4beg[7] , \tile_x5y6_nn4beg[6] , \tile_x5y6_nn4beg[5] , \tile_x5y6_nn4beg[4] , \tile_x5y6_nn4beg[3] , \tile_x5y6_nn4beg[2] , \tile_x5y6_nn4beg[1] , \tile_x5y6_nn4beg[0] }),
.s1beg({ \tile_x5y5_s1beg[3] , \tile_x5y5_s1beg[2] , \tile_x5y5_s1beg[1] , \tile_x5y5_s1beg[0] }),
.s1end({ \tile_x5y4_s1beg[3] , \tile_x5y4_s1beg[2] , \tile_x5y4_s1beg[1] , \tile_x5y4_s1beg[0] }),
.s2beg({ \tile_x5y5_s2beg[7] , \tile_x5y5_s2beg[6] , \tile_x5y5_s2beg[5] , \tile_x5y5_s2beg[4] , \tile_x5y5_s2beg[3] , \tile_x5y5_s2beg[2] , \tile_x5y5_s2beg[1] , \tile_x5y5_s2beg[0] }),
.s2begb({ \tile_x5y5_s2begb[7] , \tile_x5y5_s2begb[6] , \tile_x5y5_s2begb[5] , \tile_x5y5_s2begb[4] , \tile_x5y5_s2begb[3] , \tile_x5y5_s2begb[2] , \tile_x5y5_s2begb[1] , \tile_x5y5_s2begb[0] }),
.s2end({ \tile_x5y4_s2begb[7] , \tile_x5y4_s2begb[6] , \tile_x5y4_s2begb[5] , \tile_x5y4_s2begb[4] , \tile_x5y4_s2begb[3] , \tile_x5y4_s2begb[2] , \tile_x5y4_s2begb[1] , \tile_x5y4_s2begb[0] }),
.s2mid({ \tile_x5y4_s2beg[7] , \tile_x5y4_s2beg[6] , \tile_x5y4_s2beg[5] , \tile_x5y4_s2beg[4] , \tile_x5y4_s2beg[3] , \tile_x5y4_s2beg[2] , \tile_x5y4_s2beg[1] , \tile_x5y4_s2beg[0] }),
.s4beg({ \tile_x5y5_s4beg[15] , \tile_x5y5_s4beg[14] , \tile_x5y5_s4beg[13] , \tile_x5y5_s4beg[12] , \tile_x5y5_s4beg[11] , \tile_x5y5_s4beg[10] , \tile_x5y5_s4beg[9] , \tile_x5y5_s4beg[8] , \tile_x5y5_s4beg[7] , \tile_x5y5_s4beg[6] , \tile_x5y5_s4beg[5] , \tile_x5y5_s4beg[4] , \tile_x5y5_s4beg[3] , \tile_x5y5_s4beg[2] , \tile_x5y5_s4beg[1] , \tile_x5y5_s4beg[0] }),
.s4end({ \tile_x5y4_s4beg[15] , \tile_x5y4_s4beg[14] , \tile_x5y4_s4beg[13] , \tile_x5y4_s4beg[12] , \tile_x5y4_s4beg[11] , \tile_x5y4_s4beg[10] , \tile_x5y4_s4beg[9] , \tile_x5y4_s4beg[8] , \tile_x5y4_s4beg[7] , \tile_x5y4_s4beg[6] , \tile_x5y4_s4beg[5] , \tile_x5y4_s4beg[4] , \tile_x5y4_s4beg[3] , \tile_x5y4_s4beg[2] , \tile_x5y4_s4beg[1] , \tile_x5y4_s4beg[0] }),
.ss4beg({ \tile_x5y5_ss4beg[15] , \tile_x5y5_ss4beg[14] , \tile_x5y5_ss4beg[13] , \tile_x5y5_ss4beg[12] , \tile_x5y5_ss4beg[11] , \tile_x5y5_ss4beg[10] , \tile_x5y5_ss4beg[9] , \tile_x5y5_ss4beg[8] , \tile_x5y5_ss4beg[7] , \tile_x5y5_ss4beg[6] , \tile_x5y5_ss4beg[5] , \tile_x5y5_ss4beg[4] , \tile_x5y5_ss4beg[3] , \tile_x5y5_ss4beg[2] , \tile_x5y5_ss4beg[1] , \tile_x5y5_ss4beg[0] }),
.ss4end({ \tile_x5y4_ss4beg[15] , \tile_x5y4_ss4beg[14] , \tile_x5y4_ss4beg[13] , \tile_x5y4_ss4beg[12] , \tile_x5y4_ss4beg[11] , \tile_x5y4_ss4beg[10] , \tile_x5y4_ss4beg[9] , \tile_x5y4_ss4beg[8] , \tile_x5y4_ss4beg[7] , \tile_x5y4_ss4beg[6] , \tile_x5y4_ss4beg[5] , \tile_x5y4_ss4beg[4] , \tile_x5y4_ss4beg[3] , \tile_x5y4_ss4beg[2] , \tile_x5y4_ss4beg[1] , \tile_x5y4_ss4beg[0] }),
.userclk(tile_x5y6_userclko),
.userclko(tile_x5y5_userclko),
.w1beg({ \tile_x5y5_w1beg[3] , \tile_x5y5_w1beg[2] , \tile_x5y5_w1beg[1] , \tile_x5y5_w1beg[0] }),
.w1end({ \tile_x6y5_w1beg[3] , \tile_x6y5_w1beg[2] , \tile_x6y5_w1beg[1] , \tile_x6y5_w1beg[0] }),
.w2beg({ \tile_x5y5_w2beg[7] , \tile_x5y5_w2beg[6] , \tile_x5y5_w2beg[5] , \tile_x5y5_w2beg[4] , \tile_x5y5_w2beg[3] , \tile_x5y5_w2beg[2] , \tile_x5y5_w2beg[1] , \tile_x5y5_w2beg[0] }),
.w2begb({ \tile_x5y5_w2begb[7] , \tile_x5y5_w2begb[6] , \tile_x5y5_w2begb[5] , \tile_x5y5_w2begb[4] , \tile_x5y5_w2begb[3] , \tile_x5y5_w2begb[2] , \tile_x5y5_w2begb[1] , \tile_x5y5_w2begb[0] }),
.w2end({ \tile_x6y5_w2begb[7] , \tile_x6y5_w2begb[6] , \tile_x6y5_w2begb[5] , \tile_x6y5_w2begb[4] , \tile_x6y5_w2begb[3] , \tile_x6y5_w2begb[2] , \tile_x6y5_w2begb[1] , \tile_x6y5_w2begb[0] }),
.w2mid({ \tile_x6y5_w2beg[7] , \tile_x6y5_w2beg[6] , \tile_x6y5_w2beg[5] , \tile_x6y5_w2beg[4] , \tile_x6y5_w2beg[3] , \tile_x6y5_w2beg[2] , \tile_x6y5_w2beg[1] , \tile_x6y5_w2beg[0] }),
.w6beg({ \tile_x5y5_w6beg[11] , \tile_x5y5_w6beg[10] , \tile_x5y5_w6beg[9] , \tile_x5y5_w6beg[8] , \tile_x5y5_w6beg[7] , \tile_x5y5_w6beg[6] , \tile_x5y5_w6beg[5] , \tile_x5y5_w6beg[4] , \tile_x5y5_w6beg[3] , \tile_x5y5_w6beg[2] , \tile_x5y5_w6beg[1] , \tile_x5y5_w6beg[0] }),
.w6end({ \tile_x6y5_w6beg[11] , \tile_x6y5_w6beg[10] , \tile_x6y5_w6beg[9] , \tile_x6y5_w6beg[8] , \tile_x6y5_w6beg[7] , \tile_x6y5_w6beg[6] , \tile_x6y5_w6beg[5] , \tile_x6y5_w6beg[4] , \tile_x6y5_w6beg[3] , \tile_x6y5_w6beg[2] , \tile_x6y5_w6beg[1] , \tile_x6y5_w6beg[0] }),
.ww4beg({ \tile_x5y5_ww4beg[15] , \tile_x5y5_ww4beg[14] , \tile_x5y5_ww4beg[13] , \tile_x5y5_ww4beg[12] , \tile_x5y5_ww4beg[11] , \tile_x5y5_ww4beg[10] , \tile_x5y5_ww4beg[9] , \tile_x5y5_ww4beg[8] , \tile_x5y5_ww4beg[7] , \tile_x5y5_ww4beg[6] , \tile_x5y5_ww4beg[5] , \tile_x5y5_ww4beg[4] , \tile_x5y5_ww4beg[3] , \tile_x5y5_ww4beg[2] , \tile_x5y5_ww4beg[1] , \tile_x5y5_ww4beg[0] }),
.ww4end({ \tile_x6y5_ww4beg[15] , \tile_x6y5_ww4beg[14] , \tile_x6y5_ww4beg[13] , \tile_x6y5_ww4beg[12] , \tile_x6y5_ww4beg[11] , \tile_x6y5_ww4beg[10] , \tile_x6y5_ww4beg[9] , \tile_x6y5_ww4beg[8] , \tile_x6y5_ww4beg[7] , \tile_x6y5_ww4beg[6] , \tile_x6y5_ww4beg[5] , \tile_x6y5_ww4beg[4] , \tile_x6y5_ww4beg[3] , \tile_x6y5_ww4beg[2] , \tile_x6y5_ww4beg[1] , \tile_x6y5_ww4beg[0] })
);
lut4ab tile_x5y6_lut4ab (
.ci(tile_x5y7_co),
.co(tile_x5y6_co),
.e1beg({ \tile_x5y6_e1beg[3] , \tile_x5y6_e1beg[2] , \tile_x5y6_e1beg[1] , \tile_x5y6_e1beg[0] }),
.e1end({ \tile_x4y6_e1beg[3] , \tile_x4y6_e1beg[2] , \tile_x4y6_e1beg[1] , \tile_x4y6_e1beg[0] }),
.e2beg({ \tile_x5y6_e2beg[7] , \tile_x5y6_e2beg[6] , \tile_x5y6_e2beg[5] , \tile_x5y6_e2beg[4] , \tile_x5y6_e2beg[3] , \tile_x5y6_e2beg[2] , \tile_x5y6_e2beg[1] , \tile_x5y6_e2beg[0] }),
.e2begb({ \tile_x5y6_e2begb[7] , \tile_x5y6_e2begb[6] , \tile_x5y6_e2begb[5] , \tile_x5y6_e2begb[4] , \tile_x5y6_e2begb[3] , \tile_x5y6_e2begb[2] , \tile_x5y6_e2begb[1] , \tile_x5y6_e2begb[0] }),
.e2end({ \tile_x4y6_e2begb[7] , \tile_x4y6_e2begb[6] , \tile_x4y6_e2begb[5] , \tile_x4y6_e2begb[4] , \tile_x4y6_e2begb[3] , \tile_x4y6_e2begb[2] , \tile_x4y6_e2begb[1] , \tile_x4y6_e2begb[0] }),
.e2mid({ \tile_x4y6_e2beg[7] , \tile_x4y6_e2beg[6] , \tile_x4y6_e2beg[5] , \tile_x4y6_e2beg[4] , \tile_x4y6_e2beg[3] , \tile_x4y6_e2beg[2] , \tile_x4y6_e2beg[1] , \tile_x4y6_e2beg[0] }),
.e6beg({ \tile_x5y6_e6beg[11] , \tile_x5y6_e6beg[10] , \tile_x5y6_e6beg[9] , \tile_x5y6_e6beg[8] , \tile_x5y6_e6beg[7] , \tile_x5y6_e6beg[6] , \tile_x5y6_e6beg[5] , \tile_x5y6_e6beg[4] , \tile_x5y6_e6beg[3] , \tile_x5y6_e6beg[2] , \tile_x5y6_e6beg[1] , \tile_x5y6_e6beg[0] }),
.e6end({ \tile_x4y6_e6beg[11] , \tile_x4y6_e6beg[10] , \tile_x4y6_e6beg[9] , \tile_x4y6_e6beg[8] , \tile_x4y6_e6beg[7] , \tile_x4y6_e6beg[6] , \tile_x4y6_e6beg[5] , \tile_x4y6_e6beg[4] , \tile_x4y6_e6beg[3] , \tile_x4y6_e6beg[2] , \tile_x4y6_e6beg[1] , \tile_x4y6_e6beg[0] }),
.ee4beg({ \tile_x5y6_ee4beg[15] , \tile_x5y6_ee4beg[14] , \tile_x5y6_ee4beg[13] , \tile_x5y6_ee4beg[12] , \tile_x5y6_ee4beg[11] , \tile_x5y6_ee4beg[10] , \tile_x5y6_ee4beg[9] , \tile_x5y6_ee4beg[8] , \tile_x5y6_ee4beg[7] , \tile_x5y6_ee4beg[6] , \tile_x5y6_ee4beg[5] , \tile_x5y6_ee4beg[4] , \tile_x5y6_ee4beg[3] , \tile_x5y6_ee4beg[2] , \tile_x5y6_ee4beg[1] , \tile_x5y6_ee4beg[0] }),
.ee4end({ \tile_x4y6_ee4beg[15] , \tile_x4y6_ee4beg[14] , \tile_x4y6_ee4beg[13] , \tile_x4y6_ee4beg[12] , \tile_x4y6_ee4beg[11] , \tile_x4y6_ee4beg[10] , \tile_x4y6_ee4beg[9] , \tile_x4y6_ee4beg[8] , \tile_x4y6_ee4beg[7] , \tile_x4y6_ee4beg[6] , \tile_x4y6_ee4beg[5] , \tile_x4y6_ee4beg[4] , \tile_x4y6_ee4beg[3] , \tile_x4y6_ee4beg[2] , \tile_x4y6_ee4beg[1] , \tile_x4y6_ee4beg[0] }),
.framedata({ \tile_x4y6_framedata_o[31] , \tile_x4y6_framedata_o[30] , \tile_x4y6_framedata_o[29] , \tile_x4y6_framedata_o[28] , \tile_x4y6_framedata_o[27] , \tile_x4y6_framedata_o[26] , \tile_x4y6_framedata_o[25] , \tile_x4y6_framedata_o[24] , \tile_x4y6_framedata_o[23] , \tile_x4y6_framedata_o[22] , \tile_x4y6_framedata_o[21] , \tile_x4y6_framedata_o[20] , \tile_x4y6_framedata_o[19] , \tile_x4y6_framedata_o[18] , \tile_x4y6_framedata_o[17] , \tile_x4y6_framedata_o[16] , \tile_x4y6_framedata_o[15] , \tile_x4y6_framedata_o[14] , \tile_x4y6_framedata_o[13] , \tile_x4y6_framedata_o[12] , \tile_x4y6_framedata_o[11] , \tile_x4y6_framedata_o[10] , \tile_x4y6_framedata_o[9] , \tile_x4y6_framedata_o[8] , \tile_x4y6_framedata_o[7] , \tile_x4y6_framedata_o[6] , \tile_x4y6_framedata_o[5] , \tile_x4y6_framedata_o[4] , \tile_x4y6_framedata_o[3] , \tile_x4y6_framedata_o[2] , \tile_x4y6_framedata_o[1] , \tile_x4y6_framedata_o[0] }),
.framedata_o({ \tile_x5y6_framedata_o[31] , \tile_x5y6_framedata_o[30] , \tile_x5y6_framedata_o[29] , \tile_x5y6_framedata_o[28] , \tile_x5y6_framedata_o[27] , \tile_x5y6_framedata_o[26] , \tile_x5y6_framedata_o[25] , \tile_x5y6_framedata_o[24] , \tile_x5y6_framedata_o[23] , \tile_x5y6_framedata_o[22] , \tile_x5y6_framedata_o[21] , \tile_x5y6_framedata_o[20] , \tile_x5y6_framedata_o[19] , \tile_x5y6_framedata_o[18] , \tile_x5y6_framedata_o[17] , \tile_x5y6_framedata_o[16] , \tile_x5y6_framedata_o[15] , \tile_x5y6_framedata_o[14] , \tile_x5y6_framedata_o[13] , \tile_x5y6_framedata_o[12] , \tile_x5y6_framedata_o[11] , \tile_x5y6_framedata_o[10] , \tile_x5y6_framedata_o[9] , \tile_x5y6_framedata_o[8] , \tile_x5y6_framedata_o[7] , \tile_x5y6_framedata_o[6] , \tile_x5y6_framedata_o[5] , \tile_x5y6_framedata_o[4] , \tile_x5y6_framedata_o[3] , \tile_x5y6_framedata_o[2] , \tile_x5y6_framedata_o[1] , \tile_x5y6_framedata_o[0] }),
.framestrobe({ \tile_x5y7_framestrobe_o[19] , \tile_x5y7_framestrobe_o[18] , \tile_x5y7_framestrobe_o[17] , \tile_x5y7_framestrobe_o[16] , \tile_x5y7_framestrobe_o[15] , \tile_x5y7_framestrobe_o[14] , \tile_x5y7_framestrobe_o[13] , \tile_x5y7_framestrobe_o[12] , \tile_x5y7_framestrobe_o[11] , \tile_x5y7_framestrobe_o[10] , \tile_x5y7_framestrobe_o[9] , \tile_x5y7_framestrobe_o[8] , \tile_x5y7_framestrobe_o[7] , \tile_x5y7_framestrobe_o[6] , \tile_x5y7_framestrobe_o[5] , \tile_x5y7_framestrobe_o[4] , \tile_x5y7_framestrobe_o[3] , \tile_x5y7_framestrobe_o[2] , \tile_x5y7_framestrobe_o[1] , \tile_x5y7_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y6_framestrobe_o[19] , \tile_x5y6_framestrobe_o[18] , \tile_x5y6_framestrobe_o[17] , \tile_x5y6_framestrobe_o[16] , \tile_x5y6_framestrobe_o[15] , \tile_x5y6_framestrobe_o[14] , \tile_x5y6_framestrobe_o[13] , \tile_x5y6_framestrobe_o[12] , \tile_x5y6_framestrobe_o[11] , \tile_x5y6_framestrobe_o[10] , \tile_x5y6_framestrobe_o[9] , \tile_x5y6_framestrobe_o[8] , \tile_x5y6_framestrobe_o[7] , \tile_x5y6_framestrobe_o[6] , \tile_x5y6_framestrobe_o[5] , \tile_x5y6_framestrobe_o[4] , \tile_x5y6_framestrobe_o[3] , \tile_x5y6_framestrobe_o[2] , \tile_x5y6_framestrobe_o[1] , \tile_x5y6_framestrobe_o[0] }),
.n1beg({ \tile_x5y6_n1beg[3] , \tile_x5y6_n1beg[2] , \tile_x5y6_n1beg[1] , \tile_x5y6_n1beg[0] }),
.n1end({ \tile_x5y7_n1beg[3] , \tile_x5y7_n1beg[2] , \tile_x5y7_n1beg[1] , \tile_x5y7_n1beg[0] }),
.n2beg({ \tile_x5y6_n2beg[7] , \tile_x5y6_n2beg[6] , \tile_x5y6_n2beg[5] , \tile_x5y6_n2beg[4] , \tile_x5y6_n2beg[3] , \tile_x5y6_n2beg[2] , \tile_x5y6_n2beg[1] , \tile_x5y6_n2beg[0] }),
.n2begb({ \tile_x5y6_n2begb[7] , \tile_x5y6_n2begb[6] , \tile_x5y6_n2begb[5] , \tile_x5y6_n2begb[4] , \tile_x5y6_n2begb[3] , \tile_x5y6_n2begb[2] , \tile_x5y6_n2begb[1] , \tile_x5y6_n2begb[0] }),
.n2end({ \tile_x5y7_n2begb[7] , \tile_x5y7_n2begb[6] , \tile_x5y7_n2begb[5] , \tile_x5y7_n2begb[4] , \tile_x5y7_n2begb[3] , \tile_x5y7_n2begb[2] , \tile_x5y7_n2begb[1] , \tile_x5y7_n2begb[0] }),
.n2mid({ \tile_x5y7_n2beg[7] , \tile_x5y7_n2beg[6] , \tile_x5y7_n2beg[5] , \tile_x5y7_n2beg[4] , \tile_x5y7_n2beg[3] , \tile_x5y7_n2beg[2] , \tile_x5y7_n2beg[1] , \tile_x5y7_n2beg[0] }),
.n4beg({ \tile_x5y6_n4beg[15] , \tile_x5y6_n4beg[14] , \tile_x5y6_n4beg[13] , \tile_x5y6_n4beg[12] , \tile_x5y6_n4beg[11] , \tile_x5y6_n4beg[10] , \tile_x5y6_n4beg[9] , \tile_x5y6_n4beg[8] , \tile_x5y6_n4beg[7] , \tile_x5y6_n4beg[6] , \tile_x5y6_n4beg[5] , \tile_x5y6_n4beg[4] , \tile_x5y6_n4beg[3] , \tile_x5y6_n4beg[2] , \tile_x5y6_n4beg[1] , \tile_x5y6_n4beg[0] }),
.n4end({ \tile_x5y7_n4beg[15] , \tile_x5y7_n4beg[14] , \tile_x5y7_n4beg[13] , \tile_x5y7_n4beg[12] , \tile_x5y7_n4beg[11] , \tile_x5y7_n4beg[10] , \tile_x5y7_n4beg[9] , \tile_x5y7_n4beg[8] , \tile_x5y7_n4beg[7] , \tile_x5y7_n4beg[6] , \tile_x5y7_n4beg[5] , \tile_x5y7_n4beg[4] , \tile_x5y7_n4beg[3] , \tile_x5y7_n4beg[2] , \tile_x5y7_n4beg[1] , \tile_x5y7_n4beg[0] }),
.nn4beg({ \tile_x5y6_nn4beg[15] , \tile_x5y6_nn4beg[14] , \tile_x5y6_nn4beg[13] , \tile_x5y6_nn4beg[12] , \tile_x5y6_nn4beg[11] , \tile_x5y6_nn4beg[10] , \tile_x5y6_nn4beg[9] , \tile_x5y6_nn4beg[8] , \tile_x5y6_nn4beg[7] , \tile_x5y6_nn4beg[6] , \tile_x5y6_nn4beg[5] , \tile_x5y6_nn4beg[4] , \tile_x5y6_nn4beg[3] , \tile_x5y6_nn4beg[2] , \tile_x5y6_nn4beg[1] , \tile_x5y6_nn4beg[0] }),
.nn4end({ \tile_x5y7_nn4beg[15] , \tile_x5y7_nn4beg[14] , \tile_x5y7_nn4beg[13] , \tile_x5y7_nn4beg[12] , \tile_x5y7_nn4beg[11] , \tile_x5y7_nn4beg[10] , \tile_x5y7_nn4beg[9] , \tile_x5y7_nn4beg[8] , \tile_x5y7_nn4beg[7] , \tile_x5y7_nn4beg[6] , \tile_x5y7_nn4beg[5] , \tile_x5y7_nn4beg[4] , \tile_x5y7_nn4beg[3] , \tile_x5y7_nn4beg[2] , \tile_x5y7_nn4beg[1] , \tile_x5y7_nn4beg[0] }),
.s1beg({ \tile_x5y6_s1beg[3] , \tile_x5y6_s1beg[2] , \tile_x5y6_s1beg[1] , \tile_x5y6_s1beg[0] }),
.s1end({ \tile_x5y5_s1beg[3] , \tile_x5y5_s1beg[2] , \tile_x5y5_s1beg[1] , \tile_x5y5_s1beg[0] }),
.s2beg({ \tile_x5y6_s2beg[7] , \tile_x5y6_s2beg[6] , \tile_x5y6_s2beg[5] , \tile_x5y6_s2beg[4] , \tile_x5y6_s2beg[3] , \tile_x5y6_s2beg[2] , \tile_x5y6_s2beg[1] , \tile_x5y6_s2beg[0] }),
.s2begb({ \tile_x5y6_s2begb[7] , \tile_x5y6_s2begb[6] , \tile_x5y6_s2begb[5] , \tile_x5y6_s2begb[4] , \tile_x5y6_s2begb[3] , \tile_x5y6_s2begb[2] , \tile_x5y6_s2begb[1] , \tile_x5y6_s2begb[0] }),
.s2end({ \tile_x5y5_s2begb[7] , \tile_x5y5_s2begb[6] , \tile_x5y5_s2begb[5] , \tile_x5y5_s2begb[4] , \tile_x5y5_s2begb[3] , \tile_x5y5_s2begb[2] , \tile_x5y5_s2begb[1] , \tile_x5y5_s2begb[0] }),
.s2mid({ \tile_x5y5_s2beg[7] , \tile_x5y5_s2beg[6] , \tile_x5y5_s2beg[5] , \tile_x5y5_s2beg[4] , \tile_x5y5_s2beg[3] , \tile_x5y5_s2beg[2] , \tile_x5y5_s2beg[1] , \tile_x5y5_s2beg[0] }),
.s4beg({ \tile_x5y6_s4beg[15] , \tile_x5y6_s4beg[14] , \tile_x5y6_s4beg[13] , \tile_x5y6_s4beg[12] , \tile_x5y6_s4beg[11] , \tile_x5y6_s4beg[10] , \tile_x5y6_s4beg[9] , \tile_x5y6_s4beg[8] , \tile_x5y6_s4beg[7] , \tile_x5y6_s4beg[6] , \tile_x5y6_s4beg[5] , \tile_x5y6_s4beg[4] , \tile_x5y6_s4beg[3] , \tile_x5y6_s4beg[2] , \tile_x5y6_s4beg[1] , \tile_x5y6_s4beg[0] }),
.s4end({ \tile_x5y5_s4beg[15] , \tile_x5y5_s4beg[14] , \tile_x5y5_s4beg[13] , \tile_x5y5_s4beg[12] , \tile_x5y5_s4beg[11] , \tile_x5y5_s4beg[10] , \tile_x5y5_s4beg[9] , \tile_x5y5_s4beg[8] , \tile_x5y5_s4beg[7] , \tile_x5y5_s4beg[6] , \tile_x5y5_s4beg[5] , \tile_x5y5_s4beg[4] , \tile_x5y5_s4beg[3] , \tile_x5y5_s4beg[2] , \tile_x5y5_s4beg[1] , \tile_x5y5_s4beg[0] }),
.ss4beg({ \tile_x5y6_ss4beg[15] , \tile_x5y6_ss4beg[14] , \tile_x5y6_ss4beg[13] , \tile_x5y6_ss4beg[12] , \tile_x5y6_ss4beg[11] , \tile_x5y6_ss4beg[10] , \tile_x5y6_ss4beg[9] , \tile_x5y6_ss4beg[8] , \tile_x5y6_ss4beg[7] , \tile_x5y6_ss4beg[6] , \tile_x5y6_ss4beg[5] , \tile_x5y6_ss4beg[4] , \tile_x5y6_ss4beg[3] , \tile_x5y6_ss4beg[2] , \tile_x5y6_ss4beg[1] , \tile_x5y6_ss4beg[0] }),
.ss4end({ \tile_x5y5_ss4beg[15] , \tile_x5y5_ss4beg[14] , \tile_x5y5_ss4beg[13] , \tile_x5y5_ss4beg[12] , \tile_x5y5_ss4beg[11] , \tile_x5y5_ss4beg[10] , \tile_x5y5_ss4beg[9] , \tile_x5y5_ss4beg[8] , \tile_x5y5_ss4beg[7] , \tile_x5y5_ss4beg[6] , \tile_x5y5_ss4beg[5] , \tile_x5y5_ss4beg[4] , \tile_x5y5_ss4beg[3] , \tile_x5y5_ss4beg[2] , \tile_x5y5_ss4beg[1] , \tile_x5y5_ss4beg[0] }),
.userclk(tile_x5y7_userclko),
.userclko(tile_x5y6_userclko),
.w1beg({ \tile_x5y6_w1beg[3] , \tile_x5y6_w1beg[2] , \tile_x5y6_w1beg[1] , \tile_x5y6_w1beg[0] }),
.w1end({ \tile_x6y6_w1beg[3] , \tile_x6y6_w1beg[2] , \tile_x6y6_w1beg[1] , \tile_x6y6_w1beg[0] }),
.w2beg({ \tile_x5y6_w2beg[7] , \tile_x5y6_w2beg[6] , \tile_x5y6_w2beg[5] , \tile_x5y6_w2beg[4] , \tile_x5y6_w2beg[3] , \tile_x5y6_w2beg[2] , \tile_x5y6_w2beg[1] , \tile_x5y6_w2beg[0] }),
.w2begb({ \tile_x5y6_w2begb[7] , \tile_x5y6_w2begb[6] , \tile_x5y6_w2begb[5] , \tile_x5y6_w2begb[4] , \tile_x5y6_w2begb[3] , \tile_x5y6_w2begb[2] , \tile_x5y6_w2begb[1] , \tile_x5y6_w2begb[0] }),
.w2end({ \tile_x6y6_w2begb[7] , \tile_x6y6_w2begb[6] , \tile_x6y6_w2begb[5] , \tile_x6y6_w2begb[4] , \tile_x6y6_w2begb[3] , \tile_x6y6_w2begb[2] , \tile_x6y6_w2begb[1] , \tile_x6y6_w2begb[0] }),
.w2mid({ \tile_x6y6_w2beg[7] , \tile_x6y6_w2beg[6] , \tile_x6y6_w2beg[5] , \tile_x6y6_w2beg[4] , \tile_x6y6_w2beg[3] , \tile_x6y6_w2beg[2] , \tile_x6y6_w2beg[1] , \tile_x6y6_w2beg[0] }),
.w6beg({ \tile_x5y6_w6beg[11] , \tile_x5y6_w6beg[10] , \tile_x5y6_w6beg[9] , \tile_x5y6_w6beg[8] , \tile_x5y6_w6beg[7] , \tile_x5y6_w6beg[6] , \tile_x5y6_w6beg[5] , \tile_x5y6_w6beg[4] , \tile_x5y6_w6beg[3] , \tile_x5y6_w6beg[2] , \tile_x5y6_w6beg[1] , \tile_x5y6_w6beg[0] }),
.w6end({ \tile_x6y6_w6beg[11] , \tile_x6y6_w6beg[10] , \tile_x6y6_w6beg[9] , \tile_x6y6_w6beg[8] , \tile_x6y6_w6beg[7] , \tile_x6y6_w6beg[6] , \tile_x6y6_w6beg[5] , \tile_x6y6_w6beg[4] , \tile_x6y6_w6beg[3] , \tile_x6y6_w6beg[2] , \tile_x6y6_w6beg[1] , \tile_x6y6_w6beg[0] }),
.ww4beg({ \tile_x5y6_ww4beg[15] , \tile_x5y6_ww4beg[14] , \tile_x5y6_ww4beg[13] , \tile_x5y6_ww4beg[12] , \tile_x5y6_ww4beg[11] , \tile_x5y6_ww4beg[10] , \tile_x5y6_ww4beg[9] , \tile_x5y6_ww4beg[8] , \tile_x5y6_ww4beg[7] , \tile_x5y6_ww4beg[6] , \tile_x5y6_ww4beg[5] , \tile_x5y6_ww4beg[4] , \tile_x5y6_ww4beg[3] , \tile_x5y6_ww4beg[2] , \tile_x5y6_ww4beg[1] , \tile_x5y6_ww4beg[0] }),
.ww4end({ \tile_x6y6_ww4beg[15] , \tile_x6y6_ww4beg[14] , \tile_x6y6_ww4beg[13] , \tile_x6y6_ww4beg[12] , \tile_x6y6_ww4beg[11] , \tile_x6y6_ww4beg[10] , \tile_x6y6_ww4beg[9] , \tile_x6y6_ww4beg[8] , \tile_x6y6_ww4beg[7] , \tile_x6y6_ww4beg[6] , \tile_x6y6_ww4beg[5] , \tile_x6y6_ww4beg[4] , \tile_x6y6_ww4beg[3] , \tile_x6y6_ww4beg[2] , \tile_x6y6_ww4beg[1] , \tile_x6y6_ww4beg[0] })
);
lut4ab tile_x5y7_lut4ab (
.ci(tile_x5y8_co),
.co(tile_x5y7_co),
.e1beg({ \tile_x5y7_e1beg[3] , \tile_x5y7_e1beg[2] , \tile_x5y7_e1beg[1] , \tile_x5y7_e1beg[0] }),
.e1end({ \tile_x4y7_e1beg[3] , \tile_x4y7_e1beg[2] , \tile_x4y7_e1beg[1] , \tile_x4y7_e1beg[0] }),
.e2beg({ \tile_x5y7_e2beg[7] , \tile_x5y7_e2beg[6] , \tile_x5y7_e2beg[5] , \tile_x5y7_e2beg[4] , \tile_x5y7_e2beg[3] , \tile_x5y7_e2beg[2] , \tile_x5y7_e2beg[1] , \tile_x5y7_e2beg[0] }),
.e2begb({ \tile_x5y7_e2begb[7] , \tile_x5y7_e2begb[6] , \tile_x5y7_e2begb[5] , \tile_x5y7_e2begb[4] , \tile_x5y7_e2begb[3] , \tile_x5y7_e2begb[2] , \tile_x5y7_e2begb[1] , \tile_x5y7_e2begb[0] }),
.e2end({ \tile_x4y7_e2begb[7] , \tile_x4y7_e2begb[6] , \tile_x4y7_e2begb[5] , \tile_x4y7_e2begb[4] , \tile_x4y7_e2begb[3] , \tile_x4y7_e2begb[2] , \tile_x4y7_e2begb[1] , \tile_x4y7_e2begb[0] }),
.e2mid({ \tile_x4y7_e2beg[7] , \tile_x4y7_e2beg[6] , \tile_x4y7_e2beg[5] , \tile_x4y7_e2beg[4] , \tile_x4y7_e2beg[3] , \tile_x4y7_e2beg[2] , \tile_x4y7_e2beg[1] , \tile_x4y7_e2beg[0] }),
.e6beg({ \tile_x5y7_e6beg[11] , \tile_x5y7_e6beg[10] , \tile_x5y7_e6beg[9] , \tile_x5y7_e6beg[8] , \tile_x5y7_e6beg[7] , \tile_x5y7_e6beg[6] , \tile_x5y7_e6beg[5] , \tile_x5y7_e6beg[4] , \tile_x5y7_e6beg[3] , \tile_x5y7_e6beg[2] , \tile_x5y7_e6beg[1] , \tile_x5y7_e6beg[0] }),
.e6end({ \tile_x4y7_e6beg[11] , \tile_x4y7_e6beg[10] , \tile_x4y7_e6beg[9] , \tile_x4y7_e6beg[8] , \tile_x4y7_e6beg[7] , \tile_x4y7_e6beg[6] , \tile_x4y7_e6beg[5] , \tile_x4y7_e6beg[4] , \tile_x4y7_e6beg[3] , \tile_x4y7_e6beg[2] , \tile_x4y7_e6beg[1] , \tile_x4y7_e6beg[0] }),
.ee4beg({ \tile_x5y7_ee4beg[15] , \tile_x5y7_ee4beg[14] , \tile_x5y7_ee4beg[13] , \tile_x5y7_ee4beg[12] , \tile_x5y7_ee4beg[11] , \tile_x5y7_ee4beg[10] , \tile_x5y7_ee4beg[9] , \tile_x5y7_ee4beg[8] , \tile_x5y7_ee4beg[7] , \tile_x5y7_ee4beg[6] , \tile_x5y7_ee4beg[5] , \tile_x5y7_ee4beg[4] , \tile_x5y7_ee4beg[3] , \tile_x5y7_ee4beg[2] , \tile_x5y7_ee4beg[1] , \tile_x5y7_ee4beg[0] }),
.ee4end({ \tile_x4y7_ee4beg[15] , \tile_x4y7_ee4beg[14] , \tile_x4y7_ee4beg[13] , \tile_x4y7_ee4beg[12] , \tile_x4y7_ee4beg[11] , \tile_x4y7_ee4beg[10] , \tile_x4y7_ee4beg[9] , \tile_x4y7_ee4beg[8] , \tile_x4y7_ee4beg[7] , \tile_x4y7_ee4beg[6] , \tile_x4y7_ee4beg[5] , \tile_x4y7_ee4beg[4] , \tile_x4y7_ee4beg[3] , \tile_x4y7_ee4beg[2] , \tile_x4y7_ee4beg[1] , \tile_x4y7_ee4beg[0] }),
.framedata({ \tile_x4y7_framedata_o[31] , \tile_x4y7_framedata_o[30] , \tile_x4y7_framedata_o[29] , \tile_x4y7_framedata_o[28] , \tile_x4y7_framedata_o[27] , \tile_x4y7_framedata_o[26] , \tile_x4y7_framedata_o[25] , \tile_x4y7_framedata_o[24] , \tile_x4y7_framedata_o[23] , \tile_x4y7_framedata_o[22] , \tile_x4y7_framedata_o[21] , \tile_x4y7_framedata_o[20] , \tile_x4y7_framedata_o[19] , \tile_x4y7_framedata_o[18] , \tile_x4y7_framedata_o[17] , \tile_x4y7_framedata_o[16] , \tile_x4y7_framedata_o[15] , \tile_x4y7_framedata_o[14] , \tile_x4y7_framedata_o[13] , \tile_x4y7_framedata_o[12] , \tile_x4y7_framedata_o[11] , \tile_x4y7_framedata_o[10] , \tile_x4y7_framedata_o[9] , \tile_x4y7_framedata_o[8] , \tile_x4y7_framedata_o[7] , \tile_x4y7_framedata_o[6] , \tile_x4y7_framedata_o[5] , \tile_x4y7_framedata_o[4] , \tile_x4y7_framedata_o[3] , \tile_x4y7_framedata_o[2] , \tile_x4y7_framedata_o[1] , \tile_x4y7_framedata_o[0] }),
.framedata_o({ \tile_x5y7_framedata_o[31] , \tile_x5y7_framedata_o[30] , \tile_x5y7_framedata_o[29] , \tile_x5y7_framedata_o[28] , \tile_x5y7_framedata_o[27] , \tile_x5y7_framedata_o[26] , \tile_x5y7_framedata_o[25] , \tile_x5y7_framedata_o[24] , \tile_x5y7_framedata_o[23] , \tile_x5y7_framedata_o[22] , \tile_x5y7_framedata_o[21] , \tile_x5y7_framedata_o[20] , \tile_x5y7_framedata_o[19] , \tile_x5y7_framedata_o[18] , \tile_x5y7_framedata_o[17] , \tile_x5y7_framedata_o[16] , \tile_x5y7_framedata_o[15] , \tile_x5y7_framedata_o[14] , \tile_x5y7_framedata_o[13] , \tile_x5y7_framedata_o[12] , \tile_x5y7_framedata_o[11] , \tile_x5y7_framedata_o[10] , \tile_x5y7_framedata_o[9] , \tile_x5y7_framedata_o[8] , \tile_x5y7_framedata_o[7] , \tile_x5y7_framedata_o[6] , \tile_x5y7_framedata_o[5] , \tile_x5y7_framedata_o[4] , \tile_x5y7_framedata_o[3] , \tile_x5y7_framedata_o[2] , \tile_x5y7_framedata_o[1] , \tile_x5y7_framedata_o[0] }),
.framestrobe({ \tile_x5y8_framestrobe_o[19] , \tile_x5y8_framestrobe_o[18] , \tile_x5y8_framestrobe_o[17] , \tile_x5y8_framestrobe_o[16] , \tile_x5y8_framestrobe_o[15] , \tile_x5y8_framestrobe_o[14] , \tile_x5y8_framestrobe_o[13] , \tile_x5y8_framestrobe_o[12] , \tile_x5y8_framestrobe_o[11] , \tile_x5y8_framestrobe_o[10] , \tile_x5y8_framestrobe_o[9] , \tile_x5y8_framestrobe_o[8] , \tile_x5y8_framestrobe_o[7] , \tile_x5y8_framestrobe_o[6] , \tile_x5y8_framestrobe_o[5] , \tile_x5y8_framestrobe_o[4] , \tile_x5y8_framestrobe_o[3] , \tile_x5y8_framestrobe_o[2] , \tile_x5y8_framestrobe_o[1] , \tile_x5y8_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y7_framestrobe_o[19] , \tile_x5y7_framestrobe_o[18] , \tile_x5y7_framestrobe_o[17] , \tile_x5y7_framestrobe_o[16] , \tile_x5y7_framestrobe_o[15] , \tile_x5y7_framestrobe_o[14] , \tile_x5y7_framestrobe_o[13] , \tile_x5y7_framestrobe_o[12] , \tile_x5y7_framestrobe_o[11] , \tile_x5y7_framestrobe_o[10] , \tile_x5y7_framestrobe_o[9] , \tile_x5y7_framestrobe_o[8] , \tile_x5y7_framestrobe_o[7] , \tile_x5y7_framestrobe_o[6] , \tile_x5y7_framestrobe_o[5] , \tile_x5y7_framestrobe_o[4] , \tile_x5y7_framestrobe_o[3] , \tile_x5y7_framestrobe_o[2] , \tile_x5y7_framestrobe_o[1] , \tile_x5y7_framestrobe_o[0] }),
.n1beg({ \tile_x5y7_n1beg[3] , \tile_x5y7_n1beg[2] , \tile_x5y7_n1beg[1] , \tile_x5y7_n1beg[0] }),
.n1end({ \tile_x5y8_n1beg[3] , \tile_x5y8_n1beg[2] , \tile_x5y8_n1beg[1] , \tile_x5y8_n1beg[0] }),
.n2beg({ \tile_x5y7_n2beg[7] , \tile_x5y7_n2beg[6] , \tile_x5y7_n2beg[5] , \tile_x5y7_n2beg[4] , \tile_x5y7_n2beg[3] , \tile_x5y7_n2beg[2] , \tile_x5y7_n2beg[1] , \tile_x5y7_n2beg[0] }),
.n2begb({ \tile_x5y7_n2begb[7] , \tile_x5y7_n2begb[6] , \tile_x5y7_n2begb[5] , \tile_x5y7_n2begb[4] , \tile_x5y7_n2begb[3] , \tile_x5y7_n2begb[2] , \tile_x5y7_n2begb[1] , \tile_x5y7_n2begb[0] }),
.n2end({ \tile_x5y8_n2begb[7] , \tile_x5y8_n2begb[6] , \tile_x5y8_n2begb[5] , \tile_x5y8_n2begb[4] , \tile_x5y8_n2begb[3] , \tile_x5y8_n2begb[2] , \tile_x5y8_n2begb[1] , \tile_x5y8_n2begb[0] }),
.n2mid({ \tile_x5y8_n2beg[7] , \tile_x5y8_n2beg[6] , \tile_x5y8_n2beg[5] , \tile_x5y8_n2beg[4] , \tile_x5y8_n2beg[3] , \tile_x5y8_n2beg[2] , \tile_x5y8_n2beg[1] , \tile_x5y8_n2beg[0] }),
.n4beg({ \tile_x5y7_n4beg[15] , \tile_x5y7_n4beg[14] , \tile_x5y7_n4beg[13] , \tile_x5y7_n4beg[12] , \tile_x5y7_n4beg[11] , \tile_x5y7_n4beg[10] , \tile_x5y7_n4beg[9] , \tile_x5y7_n4beg[8] , \tile_x5y7_n4beg[7] , \tile_x5y7_n4beg[6] , \tile_x5y7_n4beg[5] , \tile_x5y7_n4beg[4] , \tile_x5y7_n4beg[3] , \tile_x5y7_n4beg[2] , \tile_x5y7_n4beg[1] , \tile_x5y7_n4beg[0] }),
.n4end({ \tile_x5y8_n4beg[15] , \tile_x5y8_n4beg[14] , \tile_x5y8_n4beg[13] , \tile_x5y8_n4beg[12] , \tile_x5y8_n4beg[11] , \tile_x5y8_n4beg[10] , \tile_x5y8_n4beg[9] , \tile_x5y8_n4beg[8] , \tile_x5y8_n4beg[7] , \tile_x5y8_n4beg[6] , \tile_x5y8_n4beg[5] , \tile_x5y8_n4beg[4] , \tile_x5y8_n4beg[3] , \tile_x5y8_n4beg[2] , \tile_x5y8_n4beg[1] , \tile_x5y8_n4beg[0] }),
.nn4beg({ \tile_x5y7_nn4beg[15] , \tile_x5y7_nn4beg[14] , \tile_x5y7_nn4beg[13] , \tile_x5y7_nn4beg[12] , \tile_x5y7_nn4beg[11] , \tile_x5y7_nn4beg[10] , \tile_x5y7_nn4beg[9] , \tile_x5y7_nn4beg[8] , \tile_x5y7_nn4beg[7] , \tile_x5y7_nn4beg[6] , \tile_x5y7_nn4beg[5] , \tile_x5y7_nn4beg[4] , \tile_x5y7_nn4beg[3] , \tile_x5y7_nn4beg[2] , \tile_x5y7_nn4beg[1] , \tile_x5y7_nn4beg[0] }),
.nn4end({ \tile_x5y8_nn4beg[15] , \tile_x5y8_nn4beg[14] , \tile_x5y8_nn4beg[13] , \tile_x5y8_nn4beg[12] , \tile_x5y8_nn4beg[11] , \tile_x5y8_nn4beg[10] , \tile_x5y8_nn4beg[9] , \tile_x5y8_nn4beg[8] , \tile_x5y8_nn4beg[7] , \tile_x5y8_nn4beg[6] , \tile_x5y8_nn4beg[5] , \tile_x5y8_nn4beg[4] , \tile_x5y8_nn4beg[3] , \tile_x5y8_nn4beg[2] , \tile_x5y8_nn4beg[1] , \tile_x5y8_nn4beg[0] }),
.s1beg({ \tile_x5y7_s1beg[3] , \tile_x5y7_s1beg[2] , \tile_x5y7_s1beg[1] , \tile_x5y7_s1beg[0] }),
.s1end({ \tile_x5y6_s1beg[3] , \tile_x5y6_s1beg[2] , \tile_x5y6_s1beg[1] , \tile_x5y6_s1beg[0] }),
.s2beg({ \tile_x5y7_s2beg[7] , \tile_x5y7_s2beg[6] , \tile_x5y7_s2beg[5] , \tile_x5y7_s2beg[4] , \tile_x5y7_s2beg[3] , \tile_x5y7_s2beg[2] , \tile_x5y7_s2beg[1] , \tile_x5y7_s2beg[0] }),
.s2begb({ \tile_x5y7_s2begb[7] , \tile_x5y7_s2begb[6] , \tile_x5y7_s2begb[5] , \tile_x5y7_s2begb[4] , \tile_x5y7_s2begb[3] , \tile_x5y7_s2begb[2] , \tile_x5y7_s2begb[1] , \tile_x5y7_s2begb[0] }),
.s2end({ \tile_x5y6_s2begb[7] , \tile_x5y6_s2begb[6] , \tile_x5y6_s2begb[5] , \tile_x5y6_s2begb[4] , \tile_x5y6_s2begb[3] , \tile_x5y6_s2begb[2] , \tile_x5y6_s2begb[1] , \tile_x5y6_s2begb[0] }),
.s2mid({ \tile_x5y6_s2beg[7] , \tile_x5y6_s2beg[6] , \tile_x5y6_s2beg[5] , \tile_x5y6_s2beg[4] , \tile_x5y6_s2beg[3] , \tile_x5y6_s2beg[2] , \tile_x5y6_s2beg[1] , \tile_x5y6_s2beg[0] }),
.s4beg({ \tile_x5y7_s4beg[15] , \tile_x5y7_s4beg[14] , \tile_x5y7_s4beg[13] , \tile_x5y7_s4beg[12] , \tile_x5y7_s4beg[11] , \tile_x5y7_s4beg[10] , \tile_x5y7_s4beg[9] , \tile_x5y7_s4beg[8] , \tile_x5y7_s4beg[7] , \tile_x5y7_s4beg[6] , \tile_x5y7_s4beg[5] , \tile_x5y7_s4beg[4] , \tile_x5y7_s4beg[3] , \tile_x5y7_s4beg[2] , \tile_x5y7_s4beg[1] , \tile_x5y7_s4beg[0] }),
.s4end({ \tile_x5y6_s4beg[15] , \tile_x5y6_s4beg[14] , \tile_x5y6_s4beg[13] , \tile_x5y6_s4beg[12] , \tile_x5y6_s4beg[11] , \tile_x5y6_s4beg[10] , \tile_x5y6_s4beg[9] , \tile_x5y6_s4beg[8] , \tile_x5y6_s4beg[7] , \tile_x5y6_s4beg[6] , \tile_x5y6_s4beg[5] , \tile_x5y6_s4beg[4] , \tile_x5y6_s4beg[3] , \tile_x5y6_s4beg[2] , \tile_x5y6_s4beg[1] , \tile_x5y6_s4beg[0] }),
.ss4beg({ \tile_x5y7_ss4beg[15] , \tile_x5y7_ss4beg[14] , \tile_x5y7_ss4beg[13] , \tile_x5y7_ss4beg[12] , \tile_x5y7_ss4beg[11] , \tile_x5y7_ss4beg[10] , \tile_x5y7_ss4beg[9] , \tile_x5y7_ss4beg[8] , \tile_x5y7_ss4beg[7] , \tile_x5y7_ss4beg[6] , \tile_x5y7_ss4beg[5] , \tile_x5y7_ss4beg[4] , \tile_x5y7_ss4beg[3] , \tile_x5y7_ss4beg[2] , \tile_x5y7_ss4beg[1] , \tile_x5y7_ss4beg[0] }),
.ss4end({ \tile_x5y6_ss4beg[15] , \tile_x5y6_ss4beg[14] , \tile_x5y6_ss4beg[13] , \tile_x5y6_ss4beg[12] , \tile_x5y6_ss4beg[11] , \tile_x5y6_ss4beg[10] , \tile_x5y6_ss4beg[9] , \tile_x5y6_ss4beg[8] , \tile_x5y6_ss4beg[7] , \tile_x5y6_ss4beg[6] , \tile_x5y6_ss4beg[5] , \tile_x5y6_ss4beg[4] , \tile_x5y6_ss4beg[3] , \tile_x5y6_ss4beg[2] , \tile_x5y6_ss4beg[1] , \tile_x5y6_ss4beg[0] }),
.userclk(tile_x5y8_userclko),
.userclko(tile_x5y7_userclko),
.w1beg({ \tile_x5y7_w1beg[3] , \tile_x5y7_w1beg[2] , \tile_x5y7_w1beg[1] , \tile_x5y7_w1beg[0] }),
.w1end({ \tile_x6y7_w1beg[3] , \tile_x6y7_w1beg[2] , \tile_x6y7_w1beg[1] , \tile_x6y7_w1beg[0] }),
.w2beg({ \tile_x5y7_w2beg[7] , \tile_x5y7_w2beg[6] , \tile_x5y7_w2beg[5] , \tile_x5y7_w2beg[4] , \tile_x5y7_w2beg[3] , \tile_x5y7_w2beg[2] , \tile_x5y7_w2beg[1] , \tile_x5y7_w2beg[0] }),
.w2begb({ \tile_x5y7_w2begb[7] , \tile_x5y7_w2begb[6] , \tile_x5y7_w2begb[5] , \tile_x5y7_w2begb[4] , \tile_x5y7_w2begb[3] , \tile_x5y7_w2begb[2] , \tile_x5y7_w2begb[1] , \tile_x5y7_w2begb[0] }),
.w2end({ \tile_x6y7_w2begb[7] , \tile_x6y7_w2begb[6] , \tile_x6y7_w2begb[5] , \tile_x6y7_w2begb[4] , \tile_x6y7_w2begb[3] , \tile_x6y7_w2begb[2] , \tile_x6y7_w2begb[1] , \tile_x6y7_w2begb[0] }),
.w2mid({ \tile_x6y7_w2beg[7] , \tile_x6y7_w2beg[6] , \tile_x6y7_w2beg[5] , \tile_x6y7_w2beg[4] , \tile_x6y7_w2beg[3] , \tile_x6y7_w2beg[2] , \tile_x6y7_w2beg[1] , \tile_x6y7_w2beg[0] }),
.w6beg({ \tile_x5y7_w6beg[11] , \tile_x5y7_w6beg[10] , \tile_x5y7_w6beg[9] , \tile_x5y7_w6beg[8] , \tile_x5y7_w6beg[7] , \tile_x5y7_w6beg[6] , \tile_x5y7_w6beg[5] , \tile_x5y7_w6beg[4] , \tile_x5y7_w6beg[3] , \tile_x5y7_w6beg[2] , \tile_x5y7_w6beg[1] , \tile_x5y7_w6beg[0] }),
.w6end({ \tile_x6y7_w6beg[11] , \tile_x6y7_w6beg[10] , \tile_x6y7_w6beg[9] , \tile_x6y7_w6beg[8] , \tile_x6y7_w6beg[7] , \tile_x6y7_w6beg[6] , \tile_x6y7_w6beg[5] , \tile_x6y7_w6beg[4] , \tile_x6y7_w6beg[3] , \tile_x6y7_w6beg[2] , \tile_x6y7_w6beg[1] , \tile_x6y7_w6beg[0] }),
.ww4beg({ \tile_x5y7_ww4beg[15] , \tile_x5y7_ww4beg[14] , \tile_x5y7_ww4beg[13] , \tile_x5y7_ww4beg[12] , \tile_x5y7_ww4beg[11] , \tile_x5y7_ww4beg[10] , \tile_x5y7_ww4beg[9] , \tile_x5y7_ww4beg[8] , \tile_x5y7_ww4beg[7] , \tile_x5y7_ww4beg[6] , \tile_x5y7_ww4beg[5] , \tile_x5y7_ww4beg[4] , \tile_x5y7_ww4beg[3] , \tile_x5y7_ww4beg[2] , \tile_x5y7_ww4beg[1] , \tile_x5y7_ww4beg[0] }),
.ww4end({ \tile_x6y7_ww4beg[15] , \tile_x6y7_ww4beg[14] , \tile_x6y7_ww4beg[13] , \tile_x6y7_ww4beg[12] , \tile_x6y7_ww4beg[11] , \tile_x6y7_ww4beg[10] , \tile_x6y7_ww4beg[9] , \tile_x6y7_ww4beg[8] , \tile_x6y7_ww4beg[7] , \tile_x6y7_ww4beg[6] , \tile_x6y7_ww4beg[5] , \tile_x6y7_ww4beg[4] , \tile_x6y7_ww4beg[3] , \tile_x6y7_ww4beg[2] , \tile_x6y7_ww4beg[1] , \tile_x6y7_ww4beg[0] })
);
lut4ab tile_x5y8_lut4ab (
.ci(tile_x5y9_co),
.co(tile_x5y8_co),
.e1beg({ \tile_x5y8_e1beg[3] , \tile_x5y8_e1beg[2] , \tile_x5y8_e1beg[1] , \tile_x5y8_e1beg[0] }),
.e1end({ \tile_x4y8_e1beg[3] , \tile_x4y8_e1beg[2] , \tile_x4y8_e1beg[1] , \tile_x4y8_e1beg[0] }),
.e2beg({ \tile_x5y8_e2beg[7] , \tile_x5y8_e2beg[6] , \tile_x5y8_e2beg[5] , \tile_x5y8_e2beg[4] , \tile_x5y8_e2beg[3] , \tile_x5y8_e2beg[2] , \tile_x5y8_e2beg[1] , \tile_x5y8_e2beg[0] }),
.e2begb({ \tile_x5y8_e2begb[7] , \tile_x5y8_e2begb[6] , \tile_x5y8_e2begb[5] , \tile_x5y8_e2begb[4] , \tile_x5y8_e2begb[3] , \tile_x5y8_e2begb[2] , \tile_x5y8_e2begb[1] , \tile_x5y8_e2begb[0] }),
.e2end({ \tile_x4y8_e2begb[7] , \tile_x4y8_e2begb[6] , \tile_x4y8_e2begb[5] , \tile_x4y8_e2begb[4] , \tile_x4y8_e2begb[3] , \tile_x4y8_e2begb[2] , \tile_x4y8_e2begb[1] , \tile_x4y8_e2begb[0] }),
.e2mid({ \tile_x4y8_e2beg[7] , \tile_x4y8_e2beg[6] , \tile_x4y8_e2beg[5] , \tile_x4y8_e2beg[4] , \tile_x4y8_e2beg[3] , \tile_x4y8_e2beg[2] , \tile_x4y8_e2beg[1] , \tile_x4y8_e2beg[0] }),
.e6beg({ \tile_x5y8_e6beg[11] , \tile_x5y8_e6beg[10] , \tile_x5y8_e6beg[9] , \tile_x5y8_e6beg[8] , \tile_x5y8_e6beg[7] , \tile_x5y8_e6beg[6] , \tile_x5y8_e6beg[5] , \tile_x5y8_e6beg[4] , \tile_x5y8_e6beg[3] , \tile_x5y8_e6beg[2] , \tile_x5y8_e6beg[1] , \tile_x5y8_e6beg[0] }),
.e6end({ \tile_x4y8_e6beg[11] , \tile_x4y8_e6beg[10] , \tile_x4y8_e6beg[9] , \tile_x4y8_e6beg[8] , \tile_x4y8_e6beg[7] , \tile_x4y8_e6beg[6] , \tile_x4y8_e6beg[5] , \tile_x4y8_e6beg[4] , \tile_x4y8_e6beg[3] , \tile_x4y8_e6beg[2] , \tile_x4y8_e6beg[1] , \tile_x4y8_e6beg[0] }),
.ee4beg({ \tile_x5y8_ee4beg[15] , \tile_x5y8_ee4beg[14] , \tile_x5y8_ee4beg[13] , \tile_x5y8_ee4beg[12] , \tile_x5y8_ee4beg[11] , \tile_x5y8_ee4beg[10] , \tile_x5y8_ee4beg[9] , \tile_x5y8_ee4beg[8] , \tile_x5y8_ee4beg[7] , \tile_x5y8_ee4beg[6] , \tile_x5y8_ee4beg[5] , \tile_x5y8_ee4beg[4] , \tile_x5y8_ee4beg[3] , \tile_x5y8_ee4beg[2] , \tile_x5y8_ee4beg[1] , \tile_x5y8_ee4beg[0] }),
.ee4end({ \tile_x4y8_ee4beg[15] , \tile_x4y8_ee4beg[14] , \tile_x4y8_ee4beg[13] , \tile_x4y8_ee4beg[12] , \tile_x4y8_ee4beg[11] , \tile_x4y8_ee4beg[10] , \tile_x4y8_ee4beg[9] , \tile_x4y8_ee4beg[8] , \tile_x4y8_ee4beg[7] , \tile_x4y8_ee4beg[6] , \tile_x4y8_ee4beg[5] , \tile_x4y8_ee4beg[4] , \tile_x4y8_ee4beg[3] , \tile_x4y8_ee4beg[2] , \tile_x4y8_ee4beg[1] , \tile_x4y8_ee4beg[0] }),
.framedata({ \tile_x4y8_framedata_o[31] , \tile_x4y8_framedata_o[30] , \tile_x4y8_framedata_o[29] , \tile_x4y8_framedata_o[28] , \tile_x4y8_framedata_o[27] , \tile_x4y8_framedata_o[26] , \tile_x4y8_framedata_o[25] , \tile_x4y8_framedata_o[24] , \tile_x4y8_framedata_o[23] , \tile_x4y8_framedata_o[22] , \tile_x4y8_framedata_o[21] , \tile_x4y8_framedata_o[20] , \tile_x4y8_framedata_o[19] , \tile_x4y8_framedata_o[18] , \tile_x4y8_framedata_o[17] , \tile_x4y8_framedata_o[16] , \tile_x4y8_framedata_o[15] , \tile_x4y8_framedata_o[14] , \tile_x4y8_framedata_o[13] , \tile_x4y8_framedata_o[12] , \tile_x4y8_framedata_o[11] , \tile_x4y8_framedata_o[10] , \tile_x4y8_framedata_o[9] , \tile_x4y8_framedata_o[8] , \tile_x4y8_framedata_o[7] , \tile_x4y8_framedata_o[6] , \tile_x4y8_framedata_o[5] , \tile_x4y8_framedata_o[4] , \tile_x4y8_framedata_o[3] , \tile_x4y8_framedata_o[2] , \tile_x4y8_framedata_o[1] , \tile_x4y8_framedata_o[0] }),
.framedata_o({ \tile_x5y8_framedata_o[31] , \tile_x5y8_framedata_o[30] , \tile_x5y8_framedata_o[29] , \tile_x5y8_framedata_o[28] , \tile_x5y8_framedata_o[27] , \tile_x5y8_framedata_o[26] , \tile_x5y8_framedata_o[25] , \tile_x5y8_framedata_o[24] , \tile_x5y8_framedata_o[23] , \tile_x5y8_framedata_o[22] , \tile_x5y8_framedata_o[21] , \tile_x5y8_framedata_o[20] , \tile_x5y8_framedata_o[19] , \tile_x5y8_framedata_o[18] , \tile_x5y8_framedata_o[17] , \tile_x5y8_framedata_o[16] , \tile_x5y8_framedata_o[15] , \tile_x5y8_framedata_o[14] , \tile_x5y8_framedata_o[13] , \tile_x5y8_framedata_o[12] , \tile_x5y8_framedata_o[11] , \tile_x5y8_framedata_o[10] , \tile_x5y8_framedata_o[9] , \tile_x5y8_framedata_o[8] , \tile_x5y8_framedata_o[7] , \tile_x5y8_framedata_o[6] , \tile_x5y8_framedata_o[5] , \tile_x5y8_framedata_o[4] , \tile_x5y8_framedata_o[3] , \tile_x5y8_framedata_o[2] , \tile_x5y8_framedata_o[1] , \tile_x5y8_framedata_o[0] }),
.framestrobe({ \tile_x5y9_framestrobe_o[19] , \tile_x5y9_framestrobe_o[18] , \tile_x5y9_framestrobe_o[17] , \tile_x5y9_framestrobe_o[16] , \tile_x5y9_framestrobe_o[15] , \tile_x5y9_framestrobe_o[14] , \tile_x5y9_framestrobe_o[13] , \tile_x5y9_framestrobe_o[12] , \tile_x5y9_framestrobe_o[11] , \tile_x5y9_framestrobe_o[10] , \tile_x5y9_framestrobe_o[9] , \tile_x5y9_framestrobe_o[8] , \tile_x5y9_framestrobe_o[7] , \tile_x5y9_framestrobe_o[6] , \tile_x5y9_framestrobe_o[5] , \tile_x5y9_framestrobe_o[4] , \tile_x5y9_framestrobe_o[3] , \tile_x5y9_framestrobe_o[2] , \tile_x5y9_framestrobe_o[1] , \tile_x5y9_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y8_framestrobe_o[19] , \tile_x5y8_framestrobe_o[18] , \tile_x5y8_framestrobe_o[17] , \tile_x5y8_framestrobe_o[16] , \tile_x5y8_framestrobe_o[15] , \tile_x5y8_framestrobe_o[14] , \tile_x5y8_framestrobe_o[13] , \tile_x5y8_framestrobe_o[12] , \tile_x5y8_framestrobe_o[11] , \tile_x5y8_framestrobe_o[10] , \tile_x5y8_framestrobe_o[9] , \tile_x5y8_framestrobe_o[8] , \tile_x5y8_framestrobe_o[7] , \tile_x5y8_framestrobe_o[6] , \tile_x5y8_framestrobe_o[5] , \tile_x5y8_framestrobe_o[4] , \tile_x5y8_framestrobe_o[3] , \tile_x5y8_framestrobe_o[2] , \tile_x5y8_framestrobe_o[1] , \tile_x5y8_framestrobe_o[0] }),
.n1beg({ \tile_x5y8_n1beg[3] , \tile_x5y8_n1beg[2] , \tile_x5y8_n1beg[1] , \tile_x5y8_n1beg[0] }),
.n1end({ \tile_x5y9_n1beg[3] , \tile_x5y9_n1beg[2] , \tile_x5y9_n1beg[1] , \tile_x5y9_n1beg[0] }),
.n2beg({ \tile_x5y8_n2beg[7] , \tile_x5y8_n2beg[6] , \tile_x5y8_n2beg[5] , \tile_x5y8_n2beg[4] , \tile_x5y8_n2beg[3] , \tile_x5y8_n2beg[2] , \tile_x5y8_n2beg[1] , \tile_x5y8_n2beg[0] }),
.n2begb({ \tile_x5y8_n2begb[7] , \tile_x5y8_n2begb[6] , \tile_x5y8_n2begb[5] , \tile_x5y8_n2begb[4] , \tile_x5y8_n2begb[3] , \tile_x5y8_n2begb[2] , \tile_x5y8_n2begb[1] , \tile_x5y8_n2begb[0] }),
.n2end({ \tile_x5y9_n2begb[7] , \tile_x5y9_n2begb[6] , \tile_x5y9_n2begb[5] , \tile_x5y9_n2begb[4] , \tile_x5y9_n2begb[3] , \tile_x5y9_n2begb[2] , \tile_x5y9_n2begb[1] , \tile_x5y9_n2begb[0] }),
.n2mid({ \tile_x5y9_n2beg[7] , \tile_x5y9_n2beg[6] , \tile_x5y9_n2beg[5] , \tile_x5y9_n2beg[4] , \tile_x5y9_n2beg[3] , \tile_x5y9_n2beg[2] , \tile_x5y9_n2beg[1] , \tile_x5y9_n2beg[0] }),
.n4beg({ \tile_x5y8_n4beg[15] , \tile_x5y8_n4beg[14] , \tile_x5y8_n4beg[13] , \tile_x5y8_n4beg[12] , \tile_x5y8_n4beg[11] , \tile_x5y8_n4beg[10] , \tile_x5y8_n4beg[9] , \tile_x5y8_n4beg[8] , \tile_x5y8_n4beg[7] , \tile_x5y8_n4beg[6] , \tile_x5y8_n4beg[5] , \tile_x5y8_n4beg[4] , \tile_x5y8_n4beg[3] , \tile_x5y8_n4beg[2] , \tile_x5y8_n4beg[1] , \tile_x5y8_n4beg[0] }),
.n4end({ \tile_x5y9_n4beg[15] , \tile_x5y9_n4beg[14] , \tile_x5y9_n4beg[13] , \tile_x5y9_n4beg[12] , \tile_x5y9_n4beg[11] , \tile_x5y9_n4beg[10] , \tile_x5y9_n4beg[9] , \tile_x5y9_n4beg[8] , \tile_x5y9_n4beg[7] , \tile_x5y9_n4beg[6] , \tile_x5y9_n4beg[5] , \tile_x5y9_n4beg[4] , \tile_x5y9_n4beg[3] , \tile_x5y9_n4beg[2] , \tile_x5y9_n4beg[1] , \tile_x5y9_n4beg[0] }),
.nn4beg({ \tile_x5y8_nn4beg[15] , \tile_x5y8_nn4beg[14] , \tile_x5y8_nn4beg[13] , \tile_x5y8_nn4beg[12] , \tile_x5y8_nn4beg[11] , \tile_x5y8_nn4beg[10] , \tile_x5y8_nn4beg[9] , \tile_x5y8_nn4beg[8] , \tile_x5y8_nn4beg[7] , \tile_x5y8_nn4beg[6] , \tile_x5y8_nn4beg[5] , \tile_x5y8_nn4beg[4] , \tile_x5y8_nn4beg[3] , \tile_x5y8_nn4beg[2] , \tile_x5y8_nn4beg[1] , \tile_x5y8_nn4beg[0] }),
.nn4end({ \tile_x5y9_nn4beg[15] , \tile_x5y9_nn4beg[14] , \tile_x5y9_nn4beg[13] , \tile_x5y9_nn4beg[12] , \tile_x5y9_nn4beg[11] , \tile_x5y9_nn4beg[10] , \tile_x5y9_nn4beg[9] , \tile_x5y9_nn4beg[8] , \tile_x5y9_nn4beg[7] , \tile_x5y9_nn4beg[6] , \tile_x5y9_nn4beg[5] , \tile_x5y9_nn4beg[4] , \tile_x5y9_nn4beg[3] , \tile_x5y9_nn4beg[2] , \tile_x5y9_nn4beg[1] , \tile_x5y9_nn4beg[0] }),
.s1beg({ \tile_x5y8_s1beg[3] , \tile_x5y8_s1beg[2] , \tile_x5y8_s1beg[1] , \tile_x5y8_s1beg[0] }),
.s1end({ \tile_x5y7_s1beg[3] , \tile_x5y7_s1beg[2] , \tile_x5y7_s1beg[1] , \tile_x5y7_s1beg[0] }),
.s2beg({ \tile_x5y8_s2beg[7] , \tile_x5y8_s2beg[6] , \tile_x5y8_s2beg[5] , \tile_x5y8_s2beg[4] , \tile_x5y8_s2beg[3] , \tile_x5y8_s2beg[2] , \tile_x5y8_s2beg[1] , \tile_x5y8_s2beg[0] }),
.s2begb({ \tile_x5y8_s2begb[7] , \tile_x5y8_s2begb[6] , \tile_x5y8_s2begb[5] , \tile_x5y8_s2begb[4] , \tile_x5y8_s2begb[3] , \tile_x5y8_s2begb[2] , \tile_x5y8_s2begb[1] , \tile_x5y8_s2begb[0] }),
.s2end({ \tile_x5y7_s2begb[7] , \tile_x5y7_s2begb[6] , \tile_x5y7_s2begb[5] , \tile_x5y7_s2begb[4] , \tile_x5y7_s2begb[3] , \tile_x5y7_s2begb[2] , \tile_x5y7_s2begb[1] , \tile_x5y7_s2begb[0] }),
.s2mid({ \tile_x5y7_s2beg[7] , \tile_x5y7_s2beg[6] , \tile_x5y7_s2beg[5] , \tile_x5y7_s2beg[4] , \tile_x5y7_s2beg[3] , \tile_x5y7_s2beg[2] , \tile_x5y7_s2beg[1] , \tile_x5y7_s2beg[0] }),
.s4beg({ \tile_x5y8_s4beg[15] , \tile_x5y8_s4beg[14] , \tile_x5y8_s4beg[13] , \tile_x5y8_s4beg[12] , \tile_x5y8_s4beg[11] , \tile_x5y8_s4beg[10] , \tile_x5y8_s4beg[9] , \tile_x5y8_s4beg[8] , \tile_x5y8_s4beg[7] , \tile_x5y8_s4beg[6] , \tile_x5y8_s4beg[5] , \tile_x5y8_s4beg[4] , \tile_x5y8_s4beg[3] , \tile_x5y8_s4beg[2] , \tile_x5y8_s4beg[1] , \tile_x5y8_s4beg[0] }),
.s4end({ \tile_x5y7_s4beg[15] , \tile_x5y7_s4beg[14] , \tile_x5y7_s4beg[13] , \tile_x5y7_s4beg[12] , \tile_x5y7_s4beg[11] , \tile_x5y7_s4beg[10] , \tile_x5y7_s4beg[9] , \tile_x5y7_s4beg[8] , \tile_x5y7_s4beg[7] , \tile_x5y7_s4beg[6] , \tile_x5y7_s4beg[5] , \tile_x5y7_s4beg[4] , \tile_x5y7_s4beg[3] , \tile_x5y7_s4beg[2] , \tile_x5y7_s4beg[1] , \tile_x5y7_s4beg[0] }),
.ss4beg({ \tile_x5y8_ss4beg[15] , \tile_x5y8_ss4beg[14] , \tile_x5y8_ss4beg[13] , \tile_x5y8_ss4beg[12] , \tile_x5y8_ss4beg[11] , \tile_x5y8_ss4beg[10] , \tile_x5y8_ss4beg[9] , \tile_x5y8_ss4beg[8] , \tile_x5y8_ss4beg[7] , \tile_x5y8_ss4beg[6] , \tile_x5y8_ss4beg[5] , \tile_x5y8_ss4beg[4] , \tile_x5y8_ss4beg[3] , \tile_x5y8_ss4beg[2] , \tile_x5y8_ss4beg[1] , \tile_x5y8_ss4beg[0] }),
.ss4end({ \tile_x5y7_ss4beg[15] , \tile_x5y7_ss4beg[14] , \tile_x5y7_ss4beg[13] , \tile_x5y7_ss4beg[12] , \tile_x5y7_ss4beg[11] , \tile_x5y7_ss4beg[10] , \tile_x5y7_ss4beg[9] , \tile_x5y7_ss4beg[8] , \tile_x5y7_ss4beg[7] , \tile_x5y7_ss4beg[6] , \tile_x5y7_ss4beg[5] , \tile_x5y7_ss4beg[4] , \tile_x5y7_ss4beg[3] , \tile_x5y7_ss4beg[2] , \tile_x5y7_ss4beg[1] , \tile_x5y7_ss4beg[0] }),
.userclk(tile_x5y9_userclko),
.userclko(tile_x5y8_userclko),
.w1beg({ \tile_x5y8_w1beg[3] , \tile_x5y8_w1beg[2] , \tile_x5y8_w1beg[1] , \tile_x5y8_w1beg[0] }),
.w1end({ \tile_x6y8_w1beg[3] , \tile_x6y8_w1beg[2] , \tile_x6y8_w1beg[1] , \tile_x6y8_w1beg[0] }),
.w2beg({ \tile_x5y8_w2beg[7] , \tile_x5y8_w2beg[6] , \tile_x5y8_w2beg[5] , \tile_x5y8_w2beg[4] , \tile_x5y8_w2beg[3] , \tile_x5y8_w2beg[2] , \tile_x5y8_w2beg[1] , \tile_x5y8_w2beg[0] }),
.w2begb({ \tile_x5y8_w2begb[7] , \tile_x5y8_w2begb[6] , \tile_x5y8_w2begb[5] , \tile_x5y8_w2begb[4] , \tile_x5y8_w2begb[3] , \tile_x5y8_w2begb[2] , \tile_x5y8_w2begb[1] , \tile_x5y8_w2begb[0] }),
.w2end({ \tile_x6y8_w2begb[7] , \tile_x6y8_w2begb[6] , \tile_x6y8_w2begb[5] , \tile_x6y8_w2begb[4] , \tile_x6y8_w2begb[3] , \tile_x6y8_w2begb[2] , \tile_x6y8_w2begb[1] , \tile_x6y8_w2begb[0] }),
.w2mid({ \tile_x6y8_w2beg[7] , \tile_x6y8_w2beg[6] , \tile_x6y8_w2beg[5] , \tile_x6y8_w2beg[4] , \tile_x6y8_w2beg[3] , \tile_x6y8_w2beg[2] , \tile_x6y8_w2beg[1] , \tile_x6y8_w2beg[0] }),
.w6beg({ \tile_x5y8_w6beg[11] , \tile_x5y8_w6beg[10] , \tile_x5y8_w6beg[9] , \tile_x5y8_w6beg[8] , \tile_x5y8_w6beg[7] , \tile_x5y8_w6beg[6] , \tile_x5y8_w6beg[5] , \tile_x5y8_w6beg[4] , \tile_x5y8_w6beg[3] , \tile_x5y8_w6beg[2] , \tile_x5y8_w6beg[1] , \tile_x5y8_w6beg[0] }),
.w6end({ \tile_x6y8_w6beg[11] , \tile_x6y8_w6beg[10] , \tile_x6y8_w6beg[9] , \tile_x6y8_w6beg[8] , \tile_x6y8_w6beg[7] , \tile_x6y8_w6beg[6] , \tile_x6y8_w6beg[5] , \tile_x6y8_w6beg[4] , \tile_x6y8_w6beg[3] , \tile_x6y8_w6beg[2] , \tile_x6y8_w6beg[1] , \tile_x6y8_w6beg[0] }),
.ww4beg({ \tile_x5y8_ww4beg[15] , \tile_x5y8_ww4beg[14] , \tile_x5y8_ww4beg[13] , \tile_x5y8_ww4beg[12] , \tile_x5y8_ww4beg[11] , \tile_x5y8_ww4beg[10] , \tile_x5y8_ww4beg[9] , \tile_x5y8_ww4beg[8] , \tile_x5y8_ww4beg[7] , \tile_x5y8_ww4beg[6] , \tile_x5y8_ww4beg[5] , \tile_x5y8_ww4beg[4] , \tile_x5y8_ww4beg[3] , \tile_x5y8_ww4beg[2] , \tile_x5y8_ww4beg[1] , \tile_x5y8_ww4beg[0] }),
.ww4end({ \tile_x6y8_ww4beg[15] , \tile_x6y8_ww4beg[14] , \tile_x6y8_ww4beg[13] , \tile_x6y8_ww4beg[12] , \tile_x6y8_ww4beg[11] , \tile_x6y8_ww4beg[10] , \tile_x6y8_ww4beg[9] , \tile_x6y8_ww4beg[8] , \tile_x6y8_ww4beg[7] , \tile_x6y8_ww4beg[6] , \tile_x6y8_ww4beg[5] , \tile_x6y8_ww4beg[4] , \tile_x6y8_ww4beg[3] , \tile_x6y8_ww4beg[2] , \tile_x6y8_ww4beg[1] , \tile_x6y8_ww4beg[0] })
);
lut4ab tile_x5y9_lut4ab (
.ci(tile_x5y10_co),
.co(tile_x5y9_co),
.e1beg({ \tile_x5y9_e1beg[3] , \tile_x5y9_e1beg[2] , \tile_x5y9_e1beg[1] , \tile_x5y9_e1beg[0] }),
.e1end({ \tile_x4y9_e1beg[3] , \tile_x4y9_e1beg[2] , \tile_x4y9_e1beg[1] , \tile_x4y9_e1beg[0] }),
.e2beg({ \tile_x5y9_e2beg[7] , \tile_x5y9_e2beg[6] , \tile_x5y9_e2beg[5] , \tile_x5y9_e2beg[4] , \tile_x5y9_e2beg[3] , \tile_x5y9_e2beg[2] , \tile_x5y9_e2beg[1] , \tile_x5y9_e2beg[0] }),
.e2begb({ \tile_x5y9_e2begb[7] , \tile_x5y9_e2begb[6] , \tile_x5y9_e2begb[5] , \tile_x5y9_e2begb[4] , \tile_x5y9_e2begb[3] , \tile_x5y9_e2begb[2] , \tile_x5y9_e2begb[1] , \tile_x5y9_e2begb[0] }),
.e2end({ \tile_x4y9_e2begb[7] , \tile_x4y9_e2begb[6] , \tile_x4y9_e2begb[5] , \tile_x4y9_e2begb[4] , \tile_x4y9_e2begb[3] , \tile_x4y9_e2begb[2] , \tile_x4y9_e2begb[1] , \tile_x4y9_e2begb[0] }),
.e2mid({ \tile_x4y9_e2beg[7] , \tile_x4y9_e2beg[6] , \tile_x4y9_e2beg[5] , \tile_x4y9_e2beg[4] , \tile_x4y9_e2beg[3] , \tile_x4y9_e2beg[2] , \tile_x4y9_e2beg[1] , \tile_x4y9_e2beg[0] }),
.e6beg({ \tile_x5y9_e6beg[11] , \tile_x5y9_e6beg[10] , \tile_x5y9_e6beg[9] , \tile_x5y9_e6beg[8] , \tile_x5y9_e6beg[7] , \tile_x5y9_e6beg[6] , \tile_x5y9_e6beg[5] , \tile_x5y9_e6beg[4] , \tile_x5y9_e6beg[3] , \tile_x5y9_e6beg[2] , \tile_x5y9_e6beg[1] , \tile_x5y9_e6beg[0] }),
.e6end({ \tile_x4y9_e6beg[11] , \tile_x4y9_e6beg[10] , \tile_x4y9_e6beg[9] , \tile_x4y9_e6beg[8] , \tile_x4y9_e6beg[7] , \tile_x4y9_e6beg[6] , \tile_x4y9_e6beg[5] , \tile_x4y9_e6beg[4] , \tile_x4y9_e6beg[3] , \tile_x4y9_e6beg[2] , \tile_x4y9_e6beg[1] , \tile_x4y9_e6beg[0] }),
.ee4beg({ \tile_x5y9_ee4beg[15] , \tile_x5y9_ee4beg[14] , \tile_x5y9_ee4beg[13] , \tile_x5y9_ee4beg[12] , \tile_x5y9_ee4beg[11] , \tile_x5y9_ee4beg[10] , \tile_x5y9_ee4beg[9] , \tile_x5y9_ee4beg[8] , \tile_x5y9_ee4beg[7] , \tile_x5y9_ee4beg[6] , \tile_x5y9_ee4beg[5] , \tile_x5y9_ee4beg[4] , \tile_x5y9_ee4beg[3] , \tile_x5y9_ee4beg[2] , \tile_x5y9_ee4beg[1] , \tile_x5y9_ee4beg[0] }),
.ee4end({ \tile_x4y9_ee4beg[15] , \tile_x4y9_ee4beg[14] , \tile_x4y9_ee4beg[13] , \tile_x4y9_ee4beg[12] , \tile_x4y9_ee4beg[11] , \tile_x4y9_ee4beg[10] , \tile_x4y9_ee4beg[9] , \tile_x4y9_ee4beg[8] , \tile_x4y9_ee4beg[7] , \tile_x4y9_ee4beg[6] , \tile_x4y9_ee4beg[5] , \tile_x4y9_ee4beg[4] , \tile_x4y9_ee4beg[3] , \tile_x4y9_ee4beg[2] , \tile_x4y9_ee4beg[1] , \tile_x4y9_ee4beg[0] }),
.framedata({ \tile_x4y9_framedata_o[31] , \tile_x4y9_framedata_o[30] , \tile_x4y9_framedata_o[29] , \tile_x4y9_framedata_o[28] , \tile_x4y9_framedata_o[27] , \tile_x4y9_framedata_o[26] , \tile_x4y9_framedata_o[25] , \tile_x4y9_framedata_o[24] , \tile_x4y9_framedata_o[23] , \tile_x4y9_framedata_o[22] , \tile_x4y9_framedata_o[21] , \tile_x4y9_framedata_o[20] , \tile_x4y9_framedata_o[19] , \tile_x4y9_framedata_o[18] , \tile_x4y9_framedata_o[17] , \tile_x4y9_framedata_o[16] , \tile_x4y9_framedata_o[15] , \tile_x4y9_framedata_o[14] , \tile_x4y9_framedata_o[13] , \tile_x4y9_framedata_o[12] , \tile_x4y9_framedata_o[11] , \tile_x4y9_framedata_o[10] , \tile_x4y9_framedata_o[9] , \tile_x4y9_framedata_o[8] , \tile_x4y9_framedata_o[7] , \tile_x4y9_framedata_o[6] , \tile_x4y9_framedata_o[5] , \tile_x4y9_framedata_o[4] , \tile_x4y9_framedata_o[3] , \tile_x4y9_framedata_o[2] , \tile_x4y9_framedata_o[1] , \tile_x4y9_framedata_o[0] }),
.framedata_o({ \tile_x5y9_framedata_o[31] , \tile_x5y9_framedata_o[30] , \tile_x5y9_framedata_o[29] , \tile_x5y9_framedata_o[28] , \tile_x5y9_framedata_o[27] , \tile_x5y9_framedata_o[26] , \tile_x5y9_framedata_o[25] , \tile_x5y9_framedata_o[24] , \tile_x5y9_framedata_o[23] , \tile_x5y9_framedata_o[22] , \tile_x5y9_framedata_o[21] , \tile_x5y9_framedata_o[20] , \tile_x5y9_framedata_o[19] , \tile_x5y9_framedata_o[18] , \tile_x5y9_framedata_o[17] , \tile_x5y9_framedata_o[16] , \tile_x5y9_framedata_o[15] , \tile_x5y9_framedata_o[14] , \tile_x5y9_framedata_o[13] , \tile_x5y9_framedata_o[12] , \tile_x5y9_framedata_o[11] , \tile_x5y9_framedata_o[10] , \tile_x5y9_framedata_o[9] , \tile_x5y9_framedata_o[8] , \tile_x5y9_framedata_o[7] , \tile_x5y9_framedata_o[6] , \tile_x5y9_framedata_o[5] , \tile_x5y9_framedata_o[4] , \tile_x5y9_framedata_o[3] , \tile_x5y9_framedata_o[2] , \tile_x5y9_framedata_o[1] , \tile_x5y9_framedata_o[0] }),
.framestrobe({ \tile_x5y10_framestrobe_o[19] , \tile_x5y10_framestrobe_o[18] , \tile_x5y10_framestrobe_o[17] , \tile_x5y10_framestrobe_o[16] , \tile_x5y10_framestrobe_o[15] , \tile_x5y10_framestrobe_o[14] , \tile_x5y10_framestrobe_o[13] , \tile_x5y10_framestrobe_o[12] , \tile_x5y10_framestrobe_o[11] , \tile_x5y10_framestrobe_o[10] , \tile_x5y10_framestrobe_o[9] , \tile_x5y10_framestrobe_o[8] , \tile_x5y10_framestrobe_o[7] , \tile_x5y10_framestrobe_o[6] , \tile_x5y10_framestrobe_o[5] , \tile_x5y10_framestrobe_o[4] , \tile_x5y10_framestrobe_o[3] , \tile_x5y10_framestrobe_o[2] , \tile_x5y10_framestrobe_o[1] , \tile_x5y10_framestrobe_o[0] }),
.framestrobe_o({ \tile_x5y9_framestrobe_o[19] , \tile_x5y9_framestrobe_o[18] , \tile_x5y9_framestrobe_o[17] , \tile_x5y9_framestrobe_o[16] , \tile_x5y9_framestrobe_o[15] , \tile_x5y9_framestrobe_o[14] , \tile_x5y9_framestrobe_o[13] , \tile_x5y9_framestrobe_o[12] , \tile_x5y9_framestrobe_o[11] , \tile_x5y9_framestrobe_o[10] , \tile_x5y9_framestrobe_o[9] , \tile_x5y9_framestrobe_o[8] , \tile_x5y9_framestrobe_o[7] , \tile_x5y9_framestrobe_o[6] , \tile_x5y9_framestrobe_o[5] , \tile_x5y9_framestrobe_o[4] , \tile_x5y9_framestrobe_o[3] , \tile_x5y9_framestrobe_o[2] , \tile_x5y9_framestrobe_o[1] , \tile_x5y9_framestrobe_o[0] }),
.n1beg({ \tile_x5y9_n1beg[3] , \tile_x5y9_n1beg[2] , \tile_x5y9_n1beg[1] , \tile_x5y9_n1beg[0] }),
.n1end({ \tile_x5y10_n1beg[3] , \tile_x5y10_n1beg[2] , \tile_x5y10_n1beg[1] , \tile_x5y10_n1beg[0] }),
.n2beg({ \tile_x5y9_n2beg[7] , \tile_x5y9_n2beg[6] , \tile_x5y9_n2beg[5] , \tile_x5y9_n2beg[4] , \tile_x5y9_n2beg[3] , \tile_x5y9_n2beg[2] , \tile_x5y9_n2beg[1] , \tile_x5y9_n2beg[0] }),
.n2begb({ \tile_x5y9_n2begb[7] , \tile_x5y9_n2begb[6] , \tile_x5y9_n2begb[5] , \tile_x5y9_n2begb[4] , \tile_x5y9_n2begb[3] , \tile_x5y9_n2begb[2] , \tile_x5y9_n2begb[1] , \tile_x5y9_n2begb[0] }),
.n2end({ \tile_x5y10_n2begb[7] , \tile_x5y10_n2begb[6] , \tile_x5y10_n2begb[5] , \tile_x5y10_n2begb[4] , \tile_x5y10_n2begb[3] , \tile_x5y10_n2begb[2] , \tile_x5y10_n2begb[1] , \tile_x5y10_n2begb[0] }),
.n2mid({ \tile_x5y10_n2beg[7] , \tile_x5y10_n2beg[6] , \tile_x5y10_n2beg[5] , \tile_x5y10_n2beg[4] , \tile_x5y10_n2beg[3] , \tile_x5y10_n2beg[2] , \tile_x5y10_n2beg[1] , \tile_x5y10_n2beg[0] }),
.n4beg({ \tile_x5y9_n4beg[15] , \tile_x5y9_n4beg[14] , \tile_x5y9_n4beg[13] , \tile_x5y9_n4beg[12] , \tile_x5y9_n4beg[11] , \tile_x5y9_n4beg[10] , \tile_x5y9_n4beg[9] , \tile_x5y9_n4beg[8] , \tile_x5y9_n4beg[7] , \tile_x5y9_n4beg[6] , \tile_x5y9_n4beg[5] , \tile_x5y9_n4beg[4] , \tile_x5y9_n4beg[3] , \tile_x5y9_n4beg[2] , \tile_x5y9_n4beg[1] , \tile_x5y9_n4beg[0] }),
.n4end({ \tile_x5y10_n4beg[15] , \tile_x5y10_n4beg[14] , \tile_x5y10_n4beg[13] , \tile_x5y10_n4beg[12] , \tile_x5y10_n4beg[11] , \tile_x5y10_n4beg[10] , \tile_x5y10_n4beg[9] , \tile_x5y10_n4beg[8] , \tile_x5y10_n4beg[7] , \tile_x5y10_n4beg[6] , \tile_x5y10_n4beg[5] , \tile_x5y10_n4beg[4] , \tile_x5y10_n4beg[3] , \tile_x5y10_n4beg[2] , \tile_x5y10_n4beg[1] , \tile_x5y10_n4beg[0] }),
.nn4beg({ \tile_x5y9_nn4beg[15] , \tile_x5y9_nn4beg[14] , \tile_x5y9_nn4beg[13] , \tile_x5y9_nn4beg[12] , \tile_x5y9_nn4beg[11] , \tile_x5y9_nn4beg[10] , \tile_x5y9_nn4beg[9] , \tile_x5y9_nn4beg[8] , \tile_x5y9_nn4beg[7] , \tile_x5y9_nn4beg[6] , \tile_x5y9_nn4beg[5] , \tile_x5y9_nn4beg[4] , \tile_x5y9_nn4beg[3] , \tile_x5y9_nn4beg[2] , \tile_x5y9_nn4beg[1] , \tile_x5y9_nn4beg[0] }),
.nn4end({ \tile_x5y10_nn4beg[15] , \tile_x5y10_nn4beg[14] , \tile_x5y10_nn4beg[13] , \tile_x5y10_nn4beg[12] , \tile_x5y10_nn4beg[11] , \tile_x5y10_nn4beg[10] , \tile_x5y10_nn4beg[9] , \tile_x5y10_nn4beg[8] , \tile_x5y10_nn4beg[7] , \tile_x5y10_nn4beg[6] , \tile_x5y10_nn4beg[5] , \tile_x5y10_nn4beg[4] , \tile_x5y10_nn4beg[3] , \tile_x5y10_nn4beg[2] , \tile_x5y10_nn4beg[1] , \tile_x5y10_nn4beg[0] }),
.s1beg({ \tile_x5y9_s1beg[3] , \tile_x5y9_s1beg[2] , \tile_x5y9_s1beg[1] , \tile_x5y9_s1beg[0] }),
.s1end({ \tile_x5y8_s1beg[3] , \tile_x5y8_s1beg[2] , \tile_x5y8_s1beg[1] , \tile_x5y8_s1beg[0] }),
.s2beg({ \tile_x5y9_s2beg[7] , \tile_x5y9_s2beg[6] , \tile_x5y9_s2beg[5] , \tile_x5y9_s2beg[4] , \tile_x5y9_s2beg[3] , \tile_x5y9_s2beg[2] , \tile_x5y9_s2beg[1] , \tile_x5y9_s2beg[0] }),
.s2begb({ \tile_x5y9_s2begb[7] , \tile_x5y9_s2begb[6] , \tile_x5y9_s2begb[5] , \tile_x5y9_s2begb[4] , \tile_x5y9_s2begb[3] , \tile_x5y9_s2begb[2] , \tile_x5y9_s2begb[1] , \tile_x5y9_s2begb[0] }),
.s2end({ \tile_x5y8_s2begb[7] , \tile_x5y8_s2begb[6] , \tile_x5y8_s2begb[5] , \tile_x5y8_s2begb[4] , \tile_x5y8_s2begb[3] , \tile_x5y8_s2begb[2] , \tile_x5y8_s2begb[1] , \tile_x5y8_s2begb[0] }),
.s2mid({ \tile_x5y8_s2beg[7] , \tile_x5y8_s2beg[6] , \tile_x5y8_s2beg[5] , \tile_x5y8_s2beg[4] , \tile_x5y8_s2beg[3] , \tile_x5y8_s2beg[2] , \tile_x5y8_s2beg[1] , \tile_x5y8_s2beg[0] }),
.s4beg({ \tile_x5y9_s4beg[15] , \tile_x5y9_s4beg[14] , \tile_x5y9_s4beg[13] , \tile_x5y9_s4beg[12] , \tile_x5y9_s4beg[11] , \tile_x5y9_s4beg[10] , \tile_x5y9_s4beg[9] , \tile_x5y9_s4beg[8] , \tile_x5y9_s4beg[7] , \tile_x5y9_s4beg[6] , \tile_x5y9_s4beg[5] , \tile_x5y9_s4beg[4] , \tile_x5y9_s4beg[3] , \tile_x5y9_s4beg[2] , \tile_x5y9_s4beg[1] , \tile_x5y9_s4beg[0] }),
.s4end({ \tile_x5y8_s4beg[15] , \tile_x5y8_s4beg[14] , \tile_x5y8_s4beg[13] , \tile_x5y8_s4beg[12] , \tile_x5y8_s4beg[11] , \tile_x5y8_s4beg[10] , \tile_x5y8_s4beg[9] , \tile_x5y8_s4beg[8] , \tile_x5y8_s4beg[7] , \tile_x5y8_s4beg[6] , \tile_x5y8_s4beg[5] , \tile_x5y8_s4beg[4] , \tile_x5y8_s4beg[3] , \tile_x5y8_s4beg[2] , \tile_x5y8_s4beg[1] , \tile_x5y8_s4beg[0] }),
.ss4beg({ \tile_x5y9_ss4beg[15] , \tile_x5y9_ss4beg[14] , \tile_x5y9_ss4beg[13] , \tile_x5y9_ss4beg[12] , \tile_x5y9_ss4beg[11] , \tile_x5y9_ss4beg[10] , \tile_x5y9_ss4beg[9] , \tile_x5y9_ss4beg[8] , \tile_x5y9_ss4beg[7] , \tile_x5y9_ss4beg[6] , \tile_x5y9_ss4beg[5] , \tile_x5y9_ss4beg[4] , \tile_x5y9_ss4beg[3] , \tile_x5y9_ss4beg[2] , \tile_x5y9_ss4beg[1] , \tile_x5y9_ss4beg[0] }),
.ss4end({ \tile_x5y8_ss4beg[15] , \tile_x5y8_ss4beg[14] , \tile_x5y8_ss4beg[13] , \tile_x5y8_ss4beg[12] , \tile_x5y8_ss4beg[11] , \tile_x5y8_ss4beg[10] , \tile_x5y8_ss4beg[9] , \tile_x5y8_ss4beg[8] , \tile_x5y8_ss4beg[7] , \tile_x5y8_ss4beg[6] , \tile_x5y8_ss4beg[5] , \tile_x5y8_ss4beg[4] , \tile_x5y8_ss4beg[3] , \tile_x5y8_ss4beg[2] , \tile_x5y8_ss4beg[1] , \tile_x5y8_ss4beg[0] }),
.userclk(tile_x5y10_userclko),
.userclko(tile_x5y9_userclko),
.w1beg({ \tile_x5y9_w1beg[3] , \tile_x5y9_w1beg[2] , \tile_x5y9_w1beg[1] , \tile_x5y9_w1beg[0] }),
.w1end({ \tile_x6y9_w1beg[3] , \tile_x6y9_w1beg[2] , \tile_x6y9_w1beg[1] , \tile_x6y9_w1beg[0] }),
.w2beg({ \tile_x5y9_w2beg[7] , \tile_x5y9_w2beg[6] , \tile_x5y9_w2beg[5] , \tile_x5y9_w2beg[4] , \tile_x5y9_w2beg[3] , \tile_x5y9_w2beg[2] , \tile_x5y9_w2beg[1] , \tile_x5y9_w2beg[0] }),
.w2begb({ \tile_x5y9_w2begb[7] , \tile_x5y9_w2begb[6] , \tile_x5y9_w2begb[5] , \tile_x5y9_w2begb[4] , \tile_x5y9_w2begb[3] , \tile_x5y9_w2begb[2] , \tile_x5y9_w2begb[1] , \tile_x5y9_w2begb[0] }),
.w2end({ \tile_x6y9_w2begb[7] , \tile_x6y9_w2begb[6] , \tile_x6y9_w2begb[5] , \tile_x6y9_w2begb[4] , \tile_x6y9_w2begb[3] , \tile_x6y9_w2begb[2] , \tile_x6y9_w2begb[1] , \tile_x6y9_w2begb[0] }),
.w2mid({ \tile_x6y9_w2beg[7] , \tile_x6y9_w2beg[6] , \tile_x6y9_w2beg[5] , \tile_x6y9_w2beg[4] , \tile_x6y9_w2beg[3] , \tile_x6y9_w2beg[2] , \tile_x6y9_w2beg[1] , \tile_x6y9_w2beg[0] }),
.w6beg({ \tile_x5y9_w6beg[11] , \tile_x5y9_w6beg[10] , \tile_x5y9_w6beg[9] , \tile_x5y9_w6beg[8] , \tile_x5y9_w6beg[7] , \tile_x5y9_w6beg[6] , \tile_x5y9_w6beg[5] , \tile_x5y9_w6beg[4] , \tile_x5y9_w6beg[3] , \tile_x5y9_w6beg[2] , \tile_x5y9_w6beg[1] , \tile_x5y9_w6beg[0] }),
.w6end({ \tile_x6y9_w6beg[11] , \tile_x6y9_w6beg[10] , \tile_x6y9_w6beg[9] , \tile_x6y9_w6beg[8] , \tile_x6y9_w6beg[7] , \tile_x6y9_w6beg[6] , \tile_x6y9_w6beg[5] , \tile_x6y9_w6beg[4] , \tile_x6y9_w6beg[3] , \tile_x6y9_w6beg[2] , \tile_x6y9_w6beg[1] , \tile_x6y9_w6beg[0] }),
.ww4beg({ \tile_x5y9_ww4beg[15] , \tile_x5y9_ww4beg[14] , \tile_x5y9_ww4beg[13] , \tile_x5y9_ww4beg[12] , \tile_x5y9_ww4beg[11] , \tile_x5y9_ww4beg[10] , \tile_x5y9_ww4beg[9] , \tile_x5y9_ww4beg[8] , \tile_x5y9_ww4beg[7] , \tile_x5y9_ww4beg[6] , \tile_x5y9_ww4beg[5] , \tile_x5y9_ww4beg[4] , \tile_x5y9_ww4beg[3] , \tile_x5y9_ww4beg[2] , \tile_x5y9_ww4beg[1] , \tile_x5y9_ww4beg[0] }),
.ww4end({ \tile_x6y9_ww4beg[15] , \tile_x6y9_ww4beg[14] , \tile_x6y9_ww4beg[13] , \tile_x6y9_ww4beg[12] , \tile_x6y9_ww4beg[11] , \tile_x6y9_ww4beg[10] , \tile_x6y9_ww4beg[9] , \tile_x6y9_ww4beg[8] , \tile_x6y9_ww4beg[7] , \tile_x6y9_ww4beg[6] , \tile_x6y9_ww4beg[5] , \tile_x6y9_ww4beg[4] , \tile_x6y9_ww4beg[3] , \tile_x6y9_ww4beg[2] , \tile_x6y9_ww4beg[1] , \tile_x6y9_ww4beg[0] })
);
n_term_single tile_x6y0_n_term_single (
.ci(tile_x6y1_co),
.framestrobe({ \tile_x6y1_framestrobe_o[19] , \tile_x6y1_framestrobe_o[18] , \tile_x6y1_framestrobe_o[17] , \tile_x6y1_framestrobe_o[16] , \tile_x6y1_framestrobe_o[15] , \tile_x6y1_framestrobe_o[14] , \tile_x6y1_framestrobe_o[13] , \tile_x6y1_framestrobe_o[12] , \tile_x6y1_framestrobe_o[11] , \tile_x6y1_framestrobe_o[10] , \tile_x6y1_framestrobe_o[9] , \tile_x6y1_framestrobe_o[8] , \tile_x6y1_framestrobe_o[7] , \tile_x6y1_framestrobe_o[6] , \tile_x6y1_framestrobe_o[5] , \tile_x6y1_framestrobe_o[4] , \tile_x6y1_framestrobe_o[3] , \tile_x6y1_framestrobe_o[2] , \tile_x6y1_framestrobe_o[1] , \tile_x6y1_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y0_framestrobe_o[19] , \tile_x6y0_framestrobe_o[18] , \tile_x6y0_framestrobe_o[17] , \tile_x6y0_framestrobe_o[16] , \tile_x6y0_framestrobe_o[15] , \tile_x6y0_framestrobe_o[14] , \tile_x6y0_framestrobe_o[13] , \tile_x6y0_framestrobe_o[12] , \tile_x6y0_framestrobe_o[11] , \tile_x6y0_framestrobe_o[10] , \tile_x6y0_framestrobe_o[9] , \tile_x6y0_framestrobe_o[8] , \tile_x6y0_framestrobe_o[7] , \tile_x6y0_framestrobe_o[6] , \tile_x6y0_framestrobe_o[5] , \tile_x6y0_framestrobe_o[4] , \tile_x6y0_framestrobe_o[3] , \tile_x6y0_framestrobe_o[2] , \tile_x6y0_framestrobe_o[1] , \tile_x6y0_framestrobe_o[0] }),
.n1end({ \tile_x6y1_n1beg[3] , \tile_x6y1_n1beg[2] , \tile_x6y1_n1beg[1] , \tile_x6y1_n1beg[0] }),
.n2end({ \tile_x6y1_n2begb[7] , \tile_x6y1_n2begb[6] , \tile_x6y1_n2begb[5] , \tile_x6y1_n2begb[4] , \tile_x6y1_n2begb[3] , \tile_x6y1_n2begb[2] , \tile_x6y1_n2begb[1] , \tile_x6y1_n2begb[0] }),
.n2mid({ \tile_x6y1_n2beg[7] , \tile_x6y1_n2beg[6] , \tile_x6y1_n2beg[5] , \tile_x6y1_n2beg[4] , \tile_x6y1_n2beg[3] , \tile_x6y1_n2beg[2] , \tile_x6y1_n2beg[1] , \tile_x6y1_n2beg[0] }),
.n4end({ \tile_x6y1_n4beg[15] , \tile_x6y1_n4beg[14] , \tile_x6y1_n4beg[13] , \tile_x6y1_n4beg[12] , \tile_x6y1_n4beg[11] , \tile_x6y1_n4beg[10] , \tile_x6y1_n4beg[9] , \tile_x6y1_n4beg[8] , \tile_x6y1_n4beg[7] , \tile_x6y1_n4beg[6] , \tile_x6y1_n4beg[5] , \tile_x6y1_n4beg[4] , \tile_x6y1_n4beg[3] , \tile_x6y1_n4beg[2] , \tile_x6y1_n4beg[1] , \tile_x6y1_n4beg[0] }),
.nn4end({ \tile_x6y1_nn4beg[15] , \tile_x6y1_nn4beg[14] , \tile_x6y1_nn4beg[13] , \tile_x6y1_nn4beg[12] , \tile_x6y1_nn4beg[11] , \tile_x6y1_nn4beg[10] , \tile_x6y1_nn4beg[9] , \tile_x6y1_nn4beg[8] , \tile_x6y1_nn4beg[7] , \tile_x6y1_nn4beg[6] , \tile_x6y1_nn4beg[5] , \tile_x6y1_nn4beg[4] , \tile_x6y1_nn4beg[3] , \tile_x6y1_nn4beg[2] , \tile_x6y1_nn4beg[1] , \tile_x6y1_nn4beg[0] }),
.s1beg({ \tile_x6y0_s1beg[3] , \tile_x6y0_s1beg[2] , \tile_x6y0_s1beg[1] , \tile_x6y0_s1beg[0] }),
.s2beg({ \tile_x6y0_s2beg[7] , \tile_x6y0_s2beg[6] , \tile_x6y0_s2beg[5] , \tile_x6y0_s2beg[4] , \tile_x6y0_s2beg[3] , \tile_x6y0_s2beg[2] , \tile_x6y0_s2beg[1] , \tile_x6y0_s2beg[0] }),
.s2begb({ \tile_x6y0_s2begb[7] , \tile_x6y0_s2begb[6] , \tile_x6y0_s2begb[5] , \tile_x6y0_s2begb[4] , \tile_x6y0_s2begb[3] , \tile_x6y0_s2begb[2] , \tile_x6y0_s2begb[1] , \tile_x6y0_s2begb[0] }),
.s4beg({ \tile_x6y0_s4beg[15] , \tile_x6y0_s4beg[14] , \tile_x6y0_s4beg[13] , \tile_x6y0_s4beg[12] , \tile_x6y0_s4beg[11] , \tile_x6y0_s4beg[10] , \tile_x6y0_s4beg[9] , \tile_x6y0_s4beg[8] , \tile_x6y0_s4beg[7] , \tile_x6y0_s4beg[6] , \tile_x6y0_s4beg[5] , \tile_x6y0_s4beg[4] , \tile_x6y0_s4beg[3] , \tile_x6y0_s4beg[2] , \tile_x6y0_s4beg[1] , \tile_x6y0_s4beg[0] }),
.ss4beg({ \tile_x6y0_ss4beg[15] , \tile_x6y0_ss4beg[14] , \tile_x6y0_ss4beg[13] , \tile_x6y0_ss4beg[12] , \tile_x6y0_ss4beg[11] , \tile_x6y0_ss4beg[10] , \tile_x6y0_ss4beg[9] , \tile_x6y0_ss4beg[8] , \tile_x6y0_ss4beg[7] , \tile_x6y0_ss4beg[6] , \tile_x6y0_ss4beg[5] , \tile_x6y0_ss4beg[4] , \tile_x6y0_ss4beg[3] , \tile_x6y0_ss4beg[2] , \tile_x6y0_ss4beg[1] , \tile_x6y0_ss4beg[0] }),
.userclk(tile_x6y1_userclko),
.userclko(tile_x6y0_userclko)
);
lut4ab tile_x6y10_lut4ab (
.ci(tile_x6y11_co),
.co(tile_x6y10_co),
.e1beg({ \tile_x6y10_e1beg[3] , \tile_x6y10_e1beg[2] , \tile_x6y10_e1beg[1] , \tile_x6y10_e1beg[0] }),
.e1end({ \tile_x5y10_e1beg[3] , \tile_x5y10_e1beg[2] , \tile_x5y10_e1beg[1] , \tile_x5y10_e1beg[0] }),
.e2beg({ \tile_x6y10_e2beg[7] , \tile_x6y10_e2beg[6] , \tile_x6y10_e2beg[5] , \tile_x6y10_e2beg[4] , \tile_x6y10_e2beg[3] , \tile_x6y10_e2beg[2] , \tile_x6y10_e2beg[1] , \tile_x6y10_e2beg[0] }),
.e2begb({ \tile_x6y10_e2begb[7] , \tile_x6y10_e2begb[6] , \tile_x6y10_e2begb[5] , \tile_x6y10_e2begb[4] , \tile_x6y10_e2begb[3] , \tile_x6y10_e2begb[2] , \tile_x6y10_e2begb[1] , \tile_x6y10_e2begb[0] }),
.e2end({ \tile_x5y10_e2begb[7] , \tile_x5y10_e2begb[6] , \tile_x5y10_e2begb[5] , \tile_x5y10_e2begb[4] , \tile_x5y10_e2begb[3] , \tile_x5y10_e2begb[2] , \tile_x5y10_e2begb[1] , \tile_x5y10_e2begb[0] }),
.e2mid({ \tile_x5y10_e2beg[7] , \tile_x5y10_e2beg[6] , \tile_x5y10_e2beg[5] , \tile_x5y10_e2beg[4] , \tile_x5y10_e2beg[3] , \tile_x5y10_e2beg[2] , \tile_x5y10_e2beg[1] , \tile_x5y10_e2beg[0] }),
.e6beg({ \tile_x6y10_e6beg[11] , \tile_x6y10_e6beg[10] , \tile_x6y10_e6beg[9] , \tile_x6y10_e6beg[8] , \tile_x6y10_e6beg[7] , \tile_x6y10_e6beg[6] , \tile_x6y10_e6beg[5] , \tile_x6y10_e6beg[4] , \tile_x6y10_e6beg[3] , \tile_x6y10_e6beg[2] , \tile_x6y10_e6beg[1] , \tile_x6y10_e6beg[0] }),
.e6end({ \tile_x5y10_e6beg[11] , \tile_x5y10_e6beg[10] , \tile_x5y10_e6beg[9] , \tile_x5y10_e6beg[8] , \tile_x5y10_e6beg[7] , \tile_x5y10_e6beg[6] , \tile_x5y10_e6beg[5] , \tile_x5y10_e6beg[4] , \tile_x5y10_e6beg[3] , \tile_x5y10_e6beg[2] , \tile_x5y10_e6beg[1] , \tile_x5y10_e6beg[0] }),
.ee4beg({ \tile_x6y10_ee4beg[15] , \tile_x6y10_ee4beg[14] , \tile_x6y10_ee4beg[13] , \tile_x6y10_ee4beg[12] , \tile_x6y10_ee4beg[11] , \tile_x6y10_ee4beg[10] , \tile_x6y10_ee4beg[9] , \tile_x6y10_ee4beg[8] , \tile_x6y10_ee4beg[7] , \tile_x6y10_ee4beg[6] , \tile_x6y10_ee4beg[5] , \tile_x6y10_ee4beg[4] , \tile_x6y10_ee4beg[3] , \tile_x6y10_ee4beg[2] , \tile_x6y10_ee4beg[1] , \tile_x6y10_ee4beg[0] }),
.ee4end({ \tile_x5y10_ee4beg[15] , \tile_x5y10_ee4beg[14] , \tile_x5y10_ee4beg[13] , \tile_x5y10_ee4beg[12] , \tile_x5y10_ee4beg[11] , \tile_x5y10_ee4beg[10] , \tile_x5y10_ee4beg[9] , \tile_x5y10_ee4beg[8] , \tile_x5y10_ee4beg[7] , \tile_x5y10_ee4beg[6] , \tile_x5y10_ee4beg[5] , \tile_x5y10_ee4beg[4] , \tile_x5y10_ee4beg[3] , \tile_x5y10_ee4beg[2] , \tile_x5y10_ee4beg[1] , \tile_x5y10_ee4beg[0] }),
.framedata({ \tile_x5y10_framedata_o[31] , \tile_x5y10_framedata_o[30] , \tile_x5y10_framedata_o[29] , \tile_x5y10_framedata_o[28] , \tile_x5y10_framedata_o[27] , \tile_x5y10_framedata_o[26] , \tile_x5y10_framedata_o[25] , \tile_x5y10_framedata_o[24] , \tile_x5y10_framedata_o[23] , \tile_x5y10_framedata_o[22] , \tile_x5y10_framedata_o[21] , \tile_x5y10_framedata_o[20] , \tile_x5y10_framedata_o[19] , \tile_x5y10_framedata_o[18] , \tile_x5y10_framedata_o[17] , \tile_x5y10_framedata_o[16] , \tile_x5y10_framedata_o[15] , \tile_x5y10_framedata_o[14] , \tile_x5y10_framedata_o[13] , \tile_x5y10_framedata_o[12] , \tile_x5y10_framedata_o[11] , \tile_x5y10_framedata_o[10] , \tile_x5y10_framedata_o[9] , \tile_x5y10_framedata_o[8] , \tile_x5y10_framedata_o[7] , \tile_x5y10_framedata_o[6] , \tile_x5y10_framedata_o[5] , \tile_x5y10_framedata_o[4] , \tile_x5y10_framedata_o[3] , \tile_x5y10_framedata_o[2] , \tile_x5y10_framedata_o[1] , \tile_x5y10_framedata_o[0] }),
.framedata_o({ \tile_x6y10_framedata_o[31] , \tile_x6y10_framedata_o[30] , \tile_x6y10_framedata_o[29] , \tile_x6y10_framedata_o[28] , \tile_x6y10_framedata_o[27] , \tile_x6y10_framedata_o[26] , \tile_x6y10_framedata_o[25] , \tile_x6y10_framedata_o[24] , \tile_x6y10_framedata_o[23] , \tile_x6y10_framedata_o[22] , \tile_x6y10_framedata_o[21] , \tile_x6y10_framedata_o[20] , \tile_x6y10_framedata_o[19] , \tile_x6y10_framedata_o[18] , \tile_x6y10_framedata_o[17] , \tile_x6y10_framedata_o[16] , \tile_x6y10_framedata_o[15] , \tile_x6y10_framedata_o[14] , \tile_x6y10_framedata_o[13] , \tile_x6y10_framedata_o[12] , \tile_x6y10_framedata_o[11] , \tile_x6y10_framedata_o[10] , \tile_x6y10_framedata_o[9] , \tile_x6y10_framedata_o[8] , \tile_x6y10_framedata_o[7] , \tile_x6y10_framedata_o[6] , \tile_x6y10_framedata_o[5] , \tile_x6y10_framedata_o[4] , \tile_x6y10_framedata_o[3] , \tile_x6y10_framedata_o[2] , \tile_x6y10_framedata_o[1] , \tile_x6y10_framedata_o[0] }),
.framestrobe({ \tile_x6y11_framestrobe_o[19] , \tile_x6y11_framestrobe_o[18] , \tile_x6y11_framestrobe_o[17] , \tile_x6y11_framestrobe_o[16] , \tile_x6y11_framestrobe_o[15] , \tile_x6y11_framestrobe_o[14] , \tile_x6y11_framestrobe_o[13] , \tile_x6y11_framestrobe_o[12] , \tile_x6y11_framestrobe_o[11] , \tile_x6y11_framestrobe_o[10] , \tile_x6y11_framestrobe_o[9] , \tile_x6y11_framestrobe_o[8] , \tile_x6y11_framestrobe_o[7] , \tile_x6y11_framestrobe_o[6] , \tile_x6y11_framestrobe_o[5] , \tile_x6y11_framestrobe_o[4] , \tile_x6y11_framestrobe_o[3] , \tile_x6y11_framestrobe_o[2] , \tile_x6y11_framestrobe_o[1] , \tile_x6y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y10_framestrobe_o[19] , \tile_x6y10_framestrobe_o[18] , \tile_x6y10_framestrobe_o[17] , \tile_x6y10_framestrobe_o[16] , \tile_x6y10_framestrobe_o[15] , \tile_x6y10_framestrobe_o[14] , \tile_x6y10_framestrobe_o[13] , \tile_x6y10_framestrobe_o[12] , \tile_x6y10_framestrobe_o[11] , \tile_x6y10_framestrobe_o[10] , \tile_x6y10_framestrobe_o[9] , \tile_x6y10_framestrobe_o[8] , \tile_x6y10_framestrobe_o[7] , \tile_x6y10_framestrobe_o[6] , \tile_x6y10_framestrobe_o[5] , \tile_x6y10_framestrobe_o[4] , \tile_x6y10_framestrobe_o[3] , \tile_x6y10_framestrobe_o[2] , \tile_x6y10_framestrobe_o[1] , \tile_x6y10_framestrobe_o[0] }),
.n1beg({ \tile_x6y10_n1beg[3] , \tile_x6y10_n1beg[2] , \tile_x6y10_n1beg[1] , \tile_x6y10_n1beg[0] }),
.n1end({ \tile_x6y11_n1beg[3] , \tile_x6y11_n1beg[2] , \tile_x6y11_n1beg[1] , \tile_x6y11_n1beg[0] }),
.n2beg({ \tile_x6y10_n2beg[7] , \tile_x6y10_n2beg[6] , \tile_x6y10_n2beg[5] , \tile_x6y10_n2beg[4] , \tile_x6y10_n2beg[3] , \tile_x6y10_n2beg[2] , \tile_x6y10_n2beg[1] , \tile_x6y10_n2beg[0] }),
.n2begb({ \tile_x6y10_n2begb[7] , \tile_x6y10_n2begb[6] , \tile_x6y10_n2begb[5] , \tile_x6y10_n2begb[4] , \tile_x6y10_n2begb[3] , \tile_x6y10_n2begb[2] , \tile_x6y10_n2begb[1] , \tile_x6y10_n2begb[0] }),
.n2end({ \tile_x6y11_n2begb[7] , \tile_x6y11_n2begb[6] , \tile_x6y11_n2begb[5] , \tile_x6y11_n2begb[4] , \tile_x6y11_n2begb[3] , \tile_x6y11_n2begb[2] , \tile_x6y11_n2begb[1] , \tile_x6y11_n2begb[0] }),
.n2mid({ \tile_x6y11_n2beg[7] , \tile_x6y11_n2beg[6] , \tile_x6y11_n2beg[5] , \tile_x6y11_n2beg[4] , \tile_x6y11_n2beg[3] , \tile_x6y11_n2beg[2] , \tile_x6y11_n2beg[1] , \tile_x6y11_n2beg[0] }),
.n4beg({ \tile_x6y10_n4beg[15] , \tile_x6y10_n4beg[14] , \tile_x6y10_n4beg[13] , \tile_x6y10_n4beg[12] , \tile_x6y10_n4beg[11] , \tile_x6y10_n4beg[10] , \tile_x6y10_n4beg[9] , \tile_x6y10_n4beg[8] , \tile_x6y10_n4beg[7] , \tile_x6y10_n4beg[6] , \tile_x6y10_n4beg[5] , \tile_x6y10_n4beg[4] , \tile_x6y10_n4beg[3] , \tile_x6y10_n4beg[2] , \tile_x6y10_n4beg[1] , \tile_x6y10_n4beg[0] }),
.n4end({ \tile_x6y11_n4beg[15] , \tile_x6y11_n4beg[14] , \tile_x6y11_n4beg[13] , \tile_x6y11_n4beg[12] , \tile_x6y11_n4beg[11] , \tile_x6y11_n4beg[10] , \tile_x6y11_n4beg[9] , \tile_x6y11_n4beg[8] , \tile_x6y11_n4beg[7] , \tile_x6y11_n4beg[6] , \tile_x6y11_n4beg[5] , \tile_x6y11_n4beg[4] , \tile_x6y11_n4beg[3] , \tile_x6y11_n4beg[2] , \tile_x6y11_n4beg[1] , \tile_x6y11_n4beg[0] }),
.nn4beg({ \tile_x6y10_nn4beg[15] , \tile_x6y10_nn4beg[14] , \tile_x6y10_nn4beg[13] , \tile_x6y10_nn4beg[12] , \tile_x6y10_nn4beg[11] , \tile_x6y10_nn4beg[10] , \tile_x6y10_nn4beg[9] , \tile_x6y10_nn4beg[8] , \tile_x6y10_nn4beg[7] , \tile_x6y10_nn4beg[6] , \tile_x6y10_nn4beg[5] , \tile_x6y10_nn4beg[4] , \tile_x6y10_nn4beg[3] , \tile_x6y10_nn4beg[2] , \tile_x6y10_nn4beg[1] , \tile_x6y10_nn4beg[0] }),
.nn4end({ \tile_x6y11_nn4beg[15] , \tile_x6y11_nn4beg[14] , \tile_x6y11_nn4beg[13] , \tile_x6y11_nn4beg[12] , \tile_x6y11_nn4beg[11] , \tile_x6y11_nn4beg[10] , \tile_x6y11_nn4beg[9] , \tile_x6y11_nn4beg[8] , \tile_x6y11_nn4beg[7] , \tile_x6y11_nn4beg[6] , \tile_x6y11_nn4beg[5] , \tile_x6y11_nn4beg[4] , \tile_x6y11_nn4beg[3] , \tile_x6y11_nn4beg[2] , \tile_x6y11_nn4beg[1] , \tile_x6y11_nn4beg[0] }),
.s1beg({ \tile_x6y10_s1beg[3] , \tile_x6y10_s1beg[2] , \tile_x6y10_s1beg[1] , \tile_x6y10_s1beg[0] }),
.s1end({ \tile_x6y9_s1beg[3] , \tile_x6y9_s1beg[2] , \tile_x6y9_s1beg[1] , \tile_x6y9_s1beg[0] }),
.s2beg({ \tile_x6y10_s2beg[7] , \tile_x6y10_s2beg[6] , \tile_x6y10_s2beg[5] , \tile_x6y10_s2beg[4] , \tile_x6y10_s2beg[3] , \tile_x6y10_s2beg[2] , \tile_x6y10_s2beg[1] , \tile_x6y10_s2beg[0] }),
.s2begb({ \tile_x6y10_s2begb[7] , \tile_x6y10_s2begb[6] , \tile_x6y10_s2begb[5] , \tile_x6y10_s2begb[4] , \tile_x6y10_s2begb[3] , \tile_x6y10_s2begb[2] , \tile_x6y10_s2begb[1] , \tile_x6y10_s2begb[0] }),
.s2end({ \tile_x6y9_s2begb[7] , \tile_x6y9_s2begb[6] , \tile_x6y9_s2begb[5] , \tile_x6y9_s2begb[4] , \tile_x6y9_s2begb[3] , \tile_x6y9_s2begb[2] , \tile_x6y9_s2begb[1] , \tile_x6y9_s2begb[0] }),
.s2mid({ \tile_x6y9_s2beg[7] , \tile_x6y9_s2beg[6] , \tile_x6y9_s2beg[5] , \tile_x6y9_s2beg[4] , \tile_x6y9_s2beg[3] , \tile_x6y9_s2beg[2] , \tile_x6y9_s2beg[1] , \tile_x6y9_s2beg[0] }),
.s4beg({ \tile_x6y10_s4beg[15] , \tile_x6y10_s4beg[14] , \tile_x6y10_s4beg[13] , \tile_x6y10_s4beg[12] , \tile_x6y10_s4beg[11] , \tile_x6y10_s4beg[10] , \tile_x6y10_s4beg[9] , \tile_x6y10_s4beg[8] , \tile_x6y10_s4beg[7] , \tile_x6y10_s4beg[6] , \tile_x6y10_s4beg[5] , \tile_x6y10_s4beg[4] , \tile_x6y10_s4beg[3] , \tile_x6y10_s4beg[2] , \tile_x6y10_s4beg[1] , \tile_x6y10_s4beg[0] }),
.s4end({ \tile_x6y9_s4beg[15] , \tile_x6y9_s4beg[14] , \tile_x6y9_s4beg[13] , \tile_x6y9_s4beg[12] , \tile_x6y9_s4beg[11] , \tile_x6y9_s4beg[10] , \tile_x6y9_s4beg[9] , \tile_x6y9_s4beg[8] , \tile_x6y9_s4beg[7] , \tile_x6y9_s4beg[6] , \tile_x6y9_s4beg[5] , \tile_x6y9_s4beg[4] , \tile_x6y9_s4beg[3] , \tile_x6y9_s4beg[2] , \tile_x6y9_s4beg[1] , \tile_x6y9_s4beg[0] }),
.ss4beg({ \tile_x6y10_ss4beg[15] , \tile_x6y10_ss4beg[14] , \tile_x6y10_ss4beg[13] , \tile_x6y10_ss4beg[12] , \tile_x6y10_ss4beg[11] , \tile_x6y10_ss4beg[10] , \tile_x6y10_ss4beg[9] , \tile_x6y10_ss4beg[8] , \tile_x6y10_ss4beg[7] , \tile_x6y10_ss4beg[6] , \tile_x6y10_ss4beg[5] , \tile_x6y10_ss4beg[4] , \tile_x6y10_ss4beg[3] , \tile_x6y10_ss4beg[2] , \tile_x6y10_ss4beg[1] , \tile_x6y10_ss4beg[0] }),
.ss4end({ \tile_x6y9_ss4beg[15] , \tile_x6y9_ss4beg[14] , \tile_x6y9_ss4beg[13] , \tile_x6y9_ss4beg[12] , \tile_x6y9_ss4beg[11] , \tile_x6y9_ss4beg[10] , \tile_x6y9_ss4beg[9] , \tile_x6y9_ss4beg[8] , \tile_x6y9_ss4beg[7] , \tile_x6y9_ss4beg[6] , \tile_x6y9_ss4beg[5] , \tile_x6y9_ss4beg[4] , \tile_x6y9_ss4beg[3] , \tile_x6y9_ss4beg[2] , \tile_x6y9_ss4beg[1] , \tile_x6y9_ss4beg[0] }),
.userclk(tile_x6y11_userclko),
.userclko(tile_x6y10_userclko),
.w1beg({ \tile_x6y10_w1beg[3] , \tile_x6y10_w1beg[2] , \tile_x6y10_w1beg[1] , \tile_x6y10_w1beg[0] }),
.w1end({ \tile_x7y10_w1beg[3] , \tile_x7y10_w1beg[2] , \tile_x7y10_w1beg[1] , \tile_x7y10_w1beg[0] }),
.w2beg({ \tile_x6y10_w2beg[7] , \tile_x6y10_w2beg[6] , \tile_x6y10_w2beg[5] , \tile_x6y10_w2beg[4] , \tile_x6y10_w2beg[3] , \tile_x6y10_w2beg[2] , \tile_x6y10_w2beg[1] , \tile_x6y10_w2beg[0] }),
.w2begb({ \tile_x6y10_w2begb[7] , \tile_x6y10_w2begb[6] , \tile_x6y10_w2begb[5] , \tile_x6y10_w2begb[4] , \tile_x6y10_w2begb[3] , \tile_x6y10_w2begb[2] , \tile_x6y10_w2begb[1] , \tile_x6y10_w2begb[0] }),
.w2end({ \tile_x7y10_w2begb[7] , \tile_x7y10_w2begb[6] , \tile_x7y10_w2begb[5] , \tile_x7y10_w2begb[4] , \tile_x7y10_w2begb[3] , \tile_x7y10_w2begb[2] , \tile_x7y10_w2begb[1] , \tile_x7y10_w2begb[0] }),
.w2mid({ \tile_x7y10_w2beg[7] , \tile_x7y10_w2beg[6] , \tile_x7y10_w2beg[5] , \tile_x7y10_w2beg[4] , \tile_x7y10_w2beg[3] , \tile_x7y10_w2beg[2] , \tile_x7y10_w2beg[1] , \tile_x7y10_w2beg[0] }),
.w6beg({ \tile_x6y10_w6beg[11] , \tile_x6y10_w6beg[10] , \tile_x6y10_w6beg[9] , \tile_x6y10_w6beg[8] , \tile_x6y10_w6beg[7] , \tile_x6y10_w6beg[6] , \tile_x6y10_w6beg[5] , \tile_x6y10_w6beg[4] , \tile_x6y10_w6beg[3] , \tile_x6y10_w6beg[2] , \tile_x6y10_w6beg[1] , \tile_x6y10_w6beg[0] }),
.w6end({ \tile_x7y10_w6beg[11] , \tile_x7y10_w6beg[10] , \tile_x7y10_w6beg[9] , \tile_x7y10_w6beg[8] , \tile_x7y10_w6beg[7] , \tile_x7y10_w6beg[6] , \tile_x7y10_w6beg[5] , \tile_x7y10_w6beg[4] , \tile_x7y10_w6beg[3] , \tile_x7y10_w6beg[2] , \tile_x7y10_w6beg[1] , \tile_x7y10_w6beg[0] }),
.ww4beg({ \tile_x6y10_ww4beg[15] , \tile_x6y10_ww4beg[14] , \tile_x6y10_ww4beg[13] , \tile_x6y10_ww4beg[12] , \tile_x6y10_ww4beg[11] , \tile_x6y10_ww4beg[10] , \tile_x6y10_ww4beg[9] , \tile_x6y10_ww4beg[8] , \tile_x6y10_ww4beg[7] , \tile_x6y10_ww4beg[6] , \tile_x6y10_ww4beg[5] , \tile_x6y10_ww4beg[4] , \tile_x6y10_ww4beg[3] , \tile_x6y10_ww4beg[2] , \tile_x6y10_ww4beg[1] , \tile_x6y10_ww4beg[0] }),
.ww4end({ \tile_x7y10_ww4beg[15] , \tile_x7y10_ww4beg[14] , \tile_x7y10_ww4beg[13] , \tile_x7y10_ww4beg[12] , \tile_x7y10_ww4beg[11] , \tile_x7y10_ww4beg[10] , \tile_x7y10_ww4beg[9] , \tile_x7y10_ww4beg[8] , \tile_x7y10_ww4beg[7] , \tile_x7y10_ww4beg[6] , \tile_x7y10_ww4beg[5] , \tile_x7y10_ww4beg[4] , \tile_x7y10_ww4beg[3] , \tile_x7y10_ww4beg[2] , \tile_x7y10_ww4beg[1] , \tile_x7y10_ww4beg[0] })
);
lut4ab tile_x6y11_lut4ab (
.ci(tile_x6y12_co),
.co(tile_x6y11_co),
.e1beg({ \tile_x6y11_e1beg[3] , \tile_x6y11_e1beg[2] , \tile_x6y11_e1beg[1] , \tile_x6y11_e1beg[0] }),
.e1end({ \tile_x5y11_e1beg[3] , \tile_x5y11_e1beg[2] , \tile_x5y11_e1beg[1] , \tile_x5y11_e1beg[0] }),
.e2beg({ \tile_x6y11_e2beg[7] , \tile_x6y11_e2beg[6] , \tile_x6y11_e2beg[5] , \tile_x6y11_e2beg[4] , \tile_x6y11_e2beg[3] , \tile_x6y11_e2beg[2] , \tile_x6y11_e2beg[1] , \tile_x6y11_e2beg[0] }),
.e2begb({ \tile_x6y11_e2begb[7] , \tile_x6y11_e2begb[6] , \tile_x6y11_e2begb[5] , \tile_x6y11_e2begb[4] , \tile_x6y11_e2begb[3] , \tile_x6y11_e2begb[2] , \tile_x6y11_e2begb[1] , \tile_x6y11_e2begb[0] }),
.e2end({ \tile_x5y11_e2begb[7] , \tile_x5y11_e2begb[6] , \tile_x5y11_e2begb[5] , \tile_x5y11_e2begb[4] , \tile_x5y11_e2begb[3] , \tile_x5y11_e2begb[2] , \tile_x5y11_e2begb[1] , \tile_x5y11_e2begb[0] }),
.e2mid({ \tile_x5y11_e2beg[7] , \tile_x5y11_e2beg[6] , \tile_x5y11_e2beg[5] , \tile_x5y11_e2beg[4] , \tile_x5y11_e2beg[3] , \tile_x5y11_e2beg[2] , \tile_x5y11_e2beg[1] , \tile_x5y11_e2beg[0] }),
.e6beg({ \tile_x6y11_e6beg[11] , \tile_x6y11_e6beg[10] , \tile_x6y11_e6beg[9] , \tile_x6y11_e6beg[8] , \tile_x6y11_e6beg[7] , \tile_x6y11_e6beg[6] , \tile_x6y11_e6beg[5] , \tile_x6y11_e6beg[4] , \tile_x6y11_e6beg[3] , \tile_x6y11_e6beg[2] , \tile_x6y11_e6beg[1] , \tile_x6y11_e6beg[0] }),
.e6end({ \tile_x5y11_e6beg[11] , \tile_x5y11_e6beg[10] , \tile_x5y11_e6beg[9] , \tile_x5y11_e6beg[8] , \tile_x5y11_e6beg[7] , \tile_x5y11_e6beg[6] , \tile_x5y11_e6beg[5] , \tile_x5y11_e6beg[4] , \tile_x5y11_e6beg[3] , \tile_x5y11_e6beg[2] , \tile_x5y11_e6beg[1] , \tile_x5y11_e6beg[0] }),
.ee4beg({ \tile_x6y11_ee4beg[15] , \tile_x6y11_ee4beg[14] , \tile_x6y11_ee4beg[13] , \tile_x6y11_ee4beg[12] , \tile_x6y11_ee4beg[11] , \tile_x6y11_ee4beg[10] , \tile_x6y11_ee4beg[9] , \tile_x6y11_ee4beg[8] , \tile_x6y11_ee4beg[7] , \tile_x6y11_ee4beg[6] , \tile_x6y11_ee4beg[5] , \tile_x6y11_ee4beg[4] , \tile_x6y11_ee4beg[3] , \tile_x6y11_ee4beg[2] , \tile_x6y11_ee4beg[1] , \tile_x6y11_ee4beg[0] }),
.ee4end({ \tile_x5y11_ee4beg[15] , \tile_x5y11_ee4beg[14] , \tile_x5y11_ee4beg[13] , \tile_x5y11_ee4beg[12] , \tile_x5y11_ee4beg[11] , \tile_x5y11_ee4beg[10] , \tile_x5y11_ee4beg[9] , \tile_x5y11_ee4beg[8] , \tile_x5y11_ee4beg[7] , \tile_x5y11_ee4beg[6] , \tile_x5y11_ee4beg[5] , \tile_x5y11_ee4beg[4] , \tile_x5y11_ee4beg[3] , \tile_x5y11_ee4beg[2] , \tile_x5y11_ee4beg[1] , \tile_x5y11_ee4beg[0] }),
.framedata({ \tile_x5y11_framedata_o[31] , \tile_x5y11_framedata_o[30] , \tile_x5y11_framedata_o[29] , \tile_x5y11_framedata_o[28] , \tile_x5y11_framedata_o[27] , \tile_x5y11_framedata_o[26] , \tile_x5y11_framedata_o[25] , \tile_x5y11_framedata_o[24] , \tile_x5y11_framedata_o[23] , \tile_x5y11_framedata_o[22] , \tile_x5y11_framedata_o[21] , \tile_x5y11_framedata_o[20] , \tile_x5y11_framedata_o[19] , \tile_x5y11_framedata_o[18] , \tile_x5y11_framedata_o[17] , \tile_x5y11_framedata_o[16] , \tile_x5y11_framedata_o[15] , \tile_x5y11_framedata_o[14] , \tile_x5y11_framedata_o[13] , \tile_x5y11_framedata_o[12] , \tile_x5y11_framedata_o[11] , \tile_x5y11_framedata_o[10] , \tile_x5y11_framedata_o[9] , \tile_x5y11_framedata_o[8] , \tile_x5y11_framedata_o[7] , \tile_x5y11_framedata_o[6] , \tile_x5y11_framedata_o[5] , \tile_x5y11_framedata_o[4] , \tile_x5y11_framedata_o[3] , \tile_x5y11_framedata_o[2] , \tile_x5y11_framedata_o[1] , \tile_x5y11_framedata_o[0] }),
.framedata_o({ \tile_x6y11_framedata_o[31] , \tile_x6y11_framedata_o[30] , \tile_x6y11_framedata_o[29] , \tile_x6y11_framedata_o[28] , \tile_x6y11_framedata_o[27] , \tile_x6y11_framedata_o[26] , \tile_x6y11_framedata_o[25] , \tile_x6y11_framedata_o[24] , \tile_x6y11_framedata_o[23] , \tile_x6y11_framedata_o[22] , \tile_x6y11_framedata_o[21] , \tile_x6y11_framedata_o[20] , \tile_x6y11_framedata_o[19] , \tile_x6y11_framedata_o[18] , \tile_x6y11_framedata_o[17] , \tile_x6y11_framedata_o[16] , \tile_x6y11_framedata_o[15] , \tile_x6y11_framedata_o[14] , \tile_x6y11_framedata_o[13] , \tile_x6y11_framedata_o[12] , \tile_x6y11_framedata_o[11] , \tile_x6y11_framedata_o[10] , \tile_x6y11_framedata_o[9] , \tile_x6y11_framedata_o[8] , \tile_x6y11_framedata_o[7] , \tile_x6y11_framedata_o[6] , \tile_x6y11_framedata_o[5] , \tile_x6y11_framedata_o[4] , \tile_x6y11_framedata_o[3] , \tile_x6y11_framedata_o[2] , \tile_x6y11_framedata_o[1] , \tile_x6y11_framedata_o[0] }),
.framestrobe({ \tile_x6y12_framestrobe_o[19] , \tile_x6y12_framestrobe_o[18] , \tile_x6y12_framestrobe_o[17] , \tile_x6y12_framestrobe_o[16] , \tile_x6y12_framestrobe_o[15] , \tile_x6y12_framestrobe_o[14] , \tile_x6y12_framestrobe_o[13] , \tile_x6y12_framestrobe_o[12] , \tile_x6y12_framestrobe_o[11] , \tile_x6y12_framestrobe_o[10] , \tile_x6y12_framestrobe_o[9] , \tile_x6y12_framestrobe_o[8] , \tile_x6y12_framestrobe_o[7] , \tile_x6y12_framestrobe_o[6] , \tile_x6y12_framestrobe_o[5] , \tile_x6y12_framestrobe_o[4] , \tile_x6y12_framestrobe_o[3] , \tile_x6y12_framestrobe_o[2] , \tile_x6y12_framestrobe_o[1] , \tile_x6y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y11_framestrobe_o[19] , \tile_x6y11_framestrobe_o[18] , \tile_x6y11_framestrobe_o[17] , \tile_x6y11_framestrobe_o[16] , \tile_x6y11_framestrobe_o[15] , \tile_x6y11_framestrobe_o[14] , \tile_x6y11_framestrobe_o[13] , \tile_x6y11_framestrobe_o[12] , \tile_x6y11_framestrobe_o[11] , \tile_x6y11_framestrobe_o[10] , \tile_x6y11_framestrobe_o[9] , \tile_x6y11_framestrobe_o[8] , \tile_x6y11_framestrobe_o[7] , \tile_x6y11_framestrobe_o[6] , \tile_x6y11_framestrobe_o[5] , \tile_x6y11_framestrobe_o[4] , \tile_x6y11_framestrobe_o[3] , \tile_x6y11_framestrobe_o[2] , \tile_x6y11_framestrobe_o[1] , \tile_x6y11_framestrobe_o[0] }),
.n1beg({ \tile_x6y11_n1beg[3] , \tile_x6y11_n1beg[2] , \tile_x6y11_n1beg[1] , \tile_x6y11_n1beg[0] }),
.n1end({ \tile_x6y12_n1beg[3] , \tile_x6y12_n1beg[2] , \tile_x6y12_n1beg[1] , \tile_x6y12_n1beg[0] }),
.n2beg({ \tile_x6y11_n2beg[7] , \tile_x6y11_n2beg[6] , \tile_x6y11_n2beg[5] , \tile_x6y11_n2beg[4] , \tile_x6y11_n2beg[3] , \tile_x6y11_n2beg[2] , \tile_x6y11_n2beg[1] , \tile_x6y11_n2beg[0] }),
.n2begb({ \tile_x6y11_n2begb[7] , \tile_x6y11_n2begb[6] , \tile_x6y11_n2begb[5] , \tile_x6y11_n2begb[4] , \tile_x6y11_n2begb[3] , \tile_x6y11_n2begb[2] , \tile_x6y11_n2begb[1] , \tile_x6y11_n2begb[0] }),
.n2end({ \tile_x6y12_n2begb[7] , \tile_x6y12_n2begb[6] , \tile_x6y12_n2begb[5] , \tile_x6y12_n2begb[4] , \tile_x6y12_n2begb[3] , \tile_x6y12_n2begb[2] , \tile_x6y12_n2begb[1] , \tile_x6y12_n2begb[0] }),
.n2mid({ \tile_x6y12_n2beg[7] , \tile_x6y12_n2beg[6] , \tile_x6y12_n2beg[5] , \tile_x6y12_n2beg[4] , \tile_x6y12_n2beg[3] , \tile_x6y12_n2beg[2] , \tile_x6y12_n2beg[1] , \tile_x6y12_n2beg[0] }),
.n4beg({ \tile_x6y11_n4beg[15] , \tile_x6y11_n4beg[14] , \tile_x6y11_n4beg[13] , \tile_x6y11_n4beg[12] , \tile_x6y11_n4beg[11] , \tile_x6y11_n4beg[10] , \tile_x6y11_n4beg[9] , \tile_x6y11_n4beg[8] , \tile_x6y11_n4beg[7] , \tile_x6y11_n4beg[6] , \tile_x6y11_n4beg[5] , \tile_x6y11_n4beg[4] , \tile_x6y11_n4beg[3] , \tile_x6y11_n4beg[2] , \tile_x6y11_n4beg[1] , \tile_x6y11_n4beg[0] }),
.n4end({ \tile_x6y12_n4beg[15] , \tile_x6y12_n4beg[14] , \tile_x6y12_n4beg[13] , \tile_x6y12_n4beg[12] , \tile_x6y12_n4beg[11] , \tile_x6y12_n4beg[10] , \tile_x6y12_n4beg[9] , \tile_x6y12_n4beg[8] , \tile_x6y12_n4beg[7] , \tile_x6y12_n4beg[6] , \tile_x6y12_n4beg[5] , \tile_x6y12_n4beg[4] , \tile_x6y12_n4beg[3] , \tile_x6y12_n4beg[2] , \tile_x6y12_n4beg[1] , \tile_x6y12_n4beg[0] }),
.nn4beg({ \tile_x6y11_nn4beg[15] , \tile_x6y11_nn4beg[14] , \tile_x6y11_nn4beg[13] , \tile_x6y11_nn4beg[12] , \tile_x6y11_nn4beg[11] , \tile_x6y11_nn4beg[10] , \tile_x6y11_nn4beg[9] , \tile_x6y11_nn4beg[8] , \tile_x6y11_nn4beg[7] , \tile_x6y11_nn4beg[6] , \tile_x6y11_nn4beg[5] , \tile_x6y11_nn4beg[4] , \tile_x6y11_nn4beg[3] , \tile_x6y11_nn4beg[2] , \tile_x6y11_nn4beg[1] , \tile_x6y11_nn4beg[0] }),
.nn4end({ \tile_x6y12_nn4beg[15] , \tile_x6y12_nn4beg[14] , \tile_x6y12_nn4beg[13] , \tile_x6y12_nn4beg[12] , \tile_x6y12_nn4beg[11] , \tile_x6y12_nn4beg[10] , \tile_x6y12_nn4beg[9] , \tile_x6y12_nn4beg[8] , \tile_x6y12_nn4beg[7] , \tile_x6y12_nn4beg[6] , \tile_x6y12_nn4beg[5] , \tile_x6y12_nn4beg[4] , \tile_x6y12_nn4beg[3] , \tile_x6y12_nn4beg[2] , \tile_x6y12_nn4beg[1] , \tile_x6y12_nn4beg[0] }),
.s1beg({ \tile_x6y11_s1beg[3] , \tile_x6y11_s1beg[2] , \tile_x6y11_s1beg[1] , \tile_x6y11_s1beg[0] }),
.s1end({ \tile_x6y10_s1beg[3] , \tile_x6y10_s1beg[2] , \tile_x6y10_s1beg[1] , \tile_x6y10_s1beg[0] }),
.s2beg({ \tile_x6y11_s2beg[7] , \tile_x6y11_s2beg[6] , \tile_x6y11_s2beg[5] , \tile_x6y11_s2beg[4] , \tile_x6y11_s2beg[3] , \tile_x6y11_s2beg[2] , \tile_x6y11_s2beg[1] , \tile_x6y11_s2beg[0] }),
.s2begb({ \tile_x6y11_s2begb[7] , \tile_x6y11_s2begb[6] , \tile_x6y11_s2begb[5] , \tile_x6y11_s2begb[4] , \tile_x6y11_s2begb[3] , \tile_x6y11_s2begb[2] , \tile_x6y11_s2begb[1] , \tile_x6y11_s2begb[0] }),
.s2end({ \tile_x6y10_s2begb[7] , \tile_x6y10_s2begb[6] , \tile_x6y10_s2begb[5] , \tile_x6y10_s2begb[4] , \tile_x6y10_s2begb[3] , \tile_x6y10_s2begb[2] , \tile_x6y10_s2begb[1] , \tile_x6y10_s2begb[0] }),
.s2mid({ \tile_x6y10_s2beg[7] , \tile_x6y10_s2beg[6] , \tile_x6y10_s2beg[5] , \tile_x6y10_s2beg[4] , \tile_x6y10_s2beg[3] , \tile_x6y10_s2beg[2] , \tile_x6y10_s2beg[1] , \tile_x6y10_s2beg[0] }),
.s4beg({ \tile_x6y11_s4beg[15] , \tile_x6y11_s4beg[14] , \tile_x6y11_s4beg[13] , \tile_x6y11_s4beg[12] , \tile_x6y11_s4beg[11] , \tile_x6y11_s4beg[10] , \tile_x6y11_s4beg[9] , \tile_x6y11_s4beg[8] , \tile_x6y11_s4beg[7] , \tile_x6y11_s4beg[6] , \tile_x6y11_s4beg[5] , \tile_x6y11_s4beg[4] , \tile_x6y11_s4beg[3] , \tile_x6y11_s4beg[2] , \tile_x6y11_s4beg[1] , \tile_x6y11_s4beg[0] }),
.s4end({ \tile_x6y10_s4beg[15] , \tile_x6y10_s4beg[14] , \tile_x6y10_s4beg[13] , \tile_x6y10_s4beg[12] , \tile_x6y10_s4beg[11] , \tile_x6y10_s4beg[10] , \tile_x6y10_s4beg[9] , \tile_x6y10_s4beg[8] , \tile_x6y10_s4beg[7] , \tile_x6y10_s4beg[6] , \tile_x6y10_s4beg[5] , \tile_x6y10_s4beg[4] , \tile_x6y10_s4beg[3] , \tile_x6y10_s4beg[2] , \tile_x6y10_s4beg[1] , \tile_x6y10_s4beg[0] }),
.ss4beg({ \tile_x6y11_ss4beg[15] , \tile_x6y11_ss4beg[14] , \tile_x6y11_ss4beg[13] , \tile_x6y11_ss4beg[12] , \tile_x6y11_ss4beg[11] , \tile_x6y11_ss4beg[10] , \tile_x6y11_ss4beg[9] , \tile_x6y11_ss4beg[8] , \tile_x6y11_ss4beg[7] , \tile_x6y11_ss4beg[6] , \tile_x6y11_ss4beg[5] , \tile_x6y11_ss4beg[4] , \tile_x6y11_ss4beg[3] , \tile_x6y11_ss4beg[2] , \tile_x6y11_ss4beg[1] , \tile_x6y11_ss4beg[0] }),
.ss4end({ \tile_x6y10_ss4beg[15] , \tile_x6y10_ss4beg[14] , \tile_x6y10_ss4beg[13] , \tile_x6y10_ss4beg[12] , \tile_x6y10_ss4beg[11] , \tile_x6y10_ss4beg[10] , \tile_x6y10_ss4beg[9] , \tile_x6y10_ss4beg[8] , \tile_x6y10_ss4beg[7] , \tile_x6y10_ss4beg[6] , \tile_x6y10_ss4beg[5] , \tile_x6y10_ss4beg[4] , \tile_x6y10_ss4beg[3] , \tile_x6y10_ss4beg[2] , \tile_x6y10_ss4beg[1] , \tile_x6y10_ss4beg[0] }),
.userclk(tile_x6y12_userclko),
.userclko(tile_x6y11_userclko),
.w1beg({ \tile_x6y11_w1beg[3] , \tile_x6y11_w1beg[2] , \tile_x6y11_w1beg[1] , \tile_x6y11_w1beg[0] }),
.w1end({ \tile_x7y11_w1beg[3] , \tile_x7y11_w1beg[2] , \tile_x7y11_w1beg[1] , \tile_x7y11_w1beg[0] }),
.w2beg({ \tile_x6y11_w2beg[7] , \tile_x6y11_w2beg[6] , \tile_x6y11_w2beg[5] , \tile_x6y11_w2beg[4] , \tile_x6y11_w2beg[3] , \tile_x6y11_w2beg[2] , \tile_x6y11_w2beg[1] , \tile_x6y11_w2beg[0] }),
.w2begb({ \tile_x6y11_w2begb[7] , \tile_x6y11_w2begb[6] , \tile_x6y11_w2begb[5] , \tile_x6y11_w2begb[4] , \tile_x6y11_w2begb[3] , \tile_x6y11_w2begb[2] , \tile_x6y11_w2begb[1] , \tile_x6y11_w2begb[0] }),
.w2end({ \tile_x7y11_w2begb[7] , \tile_x7y11_w2begb[6] , \tile_x7y11_w2begb[5] , \tile_x7y11_w2begb[4] , \tile_x7y11_w2begb[3] , \tile_x7y11_w2begb[2] , \tile_x7y11_w2begb[1] , \tile_x7y11_w2begb[0] }),
.w2mid({ \tile_x7y11_w2beg[7] , \tile_x7y11_w2beg[6] , \tile_x7y11_w2beg[5] , \tile_x7y11_w2beg[4] , \tile_x7y11_w2beg[3] , \tile_x7y11_w2beg[2] , \tile_x7y11_w2beg[1] , \tile_x7y11_w2beg[0] }),
.w6beg({ \tile_x6y11_w6beg[11] , \tile_x6y11_w6beg[10] , \tile_x6y11_w6beg[9] , \tile_x6y11_w6beg[8] , \tile_x6y11_w6beg[7] , \tile_x6y11_w6beg[6] , \tile_x6y11_w6beg[5] , \tile_x6y11_w6beg[4] , \tile_x6y11_w6beg[3] , \tile_x6y11_w6beg[2] , \tile_x6y11_w6beg[1] , \tile_x6y11_w6beg[0] }),
.w6end({ \tile_x7y11_w6beg[11] , \tile_x7y11_w6beg[10] , \tile_x7y11_w6beg[9] , \tile_x7y11_w6beg[8] , \tile_x7y11_w6beg[7] , \tile_x7y11_w6beg[6] , \tile_x7y11_w6beg[5] , \tile_x7y11_w6beg[4] , \tile_x7y11_w6beg[3] , \tile_x7y11_w6beg[2] , \tile_x7y11_w6beg[1] , \tile_x7y11_w6beg[0] }),
.ww4beg({ \tile_x6y11_ww4beg[15] , \tile_x6y11_ww4beg[14] , \tile_x6y11_ww4beg[13] , \tile_x6y11_ww4beg[12] , \tile_x6y11_ww4beg[11] , \tile_x6y11_ww4beg[10] , \tile_x6y11_ww4beg[9] , \tile_x6y11_ww4beg[8] , \tile_x6y11_ww4beg[7] , \tile_x6y11_ww4beg[6] , \tile_x6y11_ww4beg[5] , \tile_x6y11_ww4beg[4] , \tile_x6y11_ww4beg[3] , \tile_x6y11_ww4beg[2] , \tile_x6y11_ww4beg[1] , \tile_x6y11_ww4beg[0] }),
.ww4end({ \tile_x7y11_ww4beg[15] , \tile_x7y11_ww4beg[14] , \tile_x7y11_ww4beg[13] , \tile_x7y11_ww4beg[12] , \tile_x7y11_ww4beg[11] , \tile_x7y11_ww4beg[10] , \tile_x7y11_ww4beg[9] , \tile_x7y11_ww4beg[8] , \tile_x7y11_ww4beg[7] , \tile_x7y11_ww4beg[6] , \tile_x7y11_ww4beg[5] , \tile_x7y11_ww4beg[4] , \tile_x7y11_ww4beg[3] , \tile_x7y11_ww4beg[2] , \tile_x7y11_ww4beg[1] , \tile_x7y11_ww4beg[0] })
);
lut4ab tile_x6y12_lut4ab (
.ci(tile_x6y13_co),
.co(tile_x6y12_co),
.e1beg({ \tile_x6y12_e1beg[3] , \tile_x6y12_e1beg[2] , \tile_x6y12_e1beg[1] , \tile_x6y12_e1beg[0] }),
.e1end({ \tile_x5y12_e1beg[3] , \tile_x5y12_e1beg[2] , \tile_x5y12_e1beg[1] , \tile_x5y12_e1beg[0] }),
.e2beg({ \tile_x6y12_e2beg[7] , \tile_x6y12_e2beg[6] , \tile_x6y12_e2beg[5] , \tile_x6y12_e2beg[4] , \tile_x6y12_e2beg[3] , \tile_x6y12_e2beg[2] , \tile_x6y12_e2beg[1] , \tile_x6y12_e2beg[0] }),
.e2begb({ \tile_x6y12_e2begb[7] , \tile_x6y12_e2begb[6] , \tile_x6y12_e2begb[5] , \tile_x6y12_e2begb[4] , \tile_x6y12_e2begb[3] , \tile_x6y12_e2begb[2] , \tile_x6y12_e2begb[1] , \tile_x6y12_e2begb[0] }),
.e2end({ \tile_x5y12_e2begb[7] , \tile_x5y12_e2begb[6] , \tile_x5y12_e2begb[5] , \tile_x5y12_e2begb[4] , \tile_x5y12_e2begb[3] , \tile_x5y12_e2begb[2] , \tile_x5y12_e2begb[1] , \tile_x5y12_e2begb[0] }),
.e2mid({ \tile_x5y12_e2beg[7] , \tile_x5y12_e2beg[6] , \tile_x5y12_e2beg[5] , \tile_x5y12_e2beg[4] , \tile_x5y12_e2beg[3] , \tile_x5y12_e2beg[2] , \tile_x5y12_e2beg[1] , \tile_x5y12_e2beg[0] }),
.e6beg({ \tile_x6y12_e6beg[11] , \tile_x6y12_e6beg[10] , \tile_x6y12_e6beg[9] , \tile_x6y12_e6beg[8] , \tile_x6y12_e6beg[7] , \tile_x6y12_e6beg[6] , \tile_x6y12_e6beg[5] , \tile_x6y12_e6beg[4] , \tile_x6y12_e6beg[3] , \tile_x6y12_e6beg[2] , \tile_x6y12_e6beg[1] , \tile_x6y12_e6beg[0] }),
.e6end({ \tile_x5y12_e6beg[11] , \tile_x5y12_e6beg[10] , \tile_x5y12_e6beg[9] , \tile_x5y12_e6beg[8] , \tile_x5y12_e6beg[7] , \tile_x5y12_e6beg[6] , \tile_x5y12_e6beg[5] , \tile_x5y12_e6beg[4] , \tile_x5y12_e6beg[3] , \tile_x5y12_e6beg[2] , \tile_x5y12_e6beg[1] , \tile_x5y12_e6beg[0] }),
.ee4beg({ \tile_x6y12_ee4beg[15] , \tile_x6y12_ee4beg[14] , \tile_x6y12_ee4beg[13] , \tile_x6y12_ee4beg[12] , \tile_x6y12_ee4beg[11] , \tile_x6y12_ee4beg[10] , \tile_x6y12_ee4beg[9] , \tile_x6y12_ee4beg[8] , \tile_x6y12_ee4beg[7] , \tile_x6y12_ee4beg[6] , \tile_x6y12_ee4beg[5] , \tile_x6y12_ee4beg[4] , \tile_x6y12_ee4beg[3] , \tile_x6y12_ee4beg[2] , \tile_x6y12_ee4beg[1] , \tile_x6y12_ee4beg[0] }),
.ee4end({ \tile_x5y12_ee4beg[15] , \tile_x5y12_ee4beg[14] , \tile_x5y12_ee4beg[13] , \tile_x5y12_ee4beg[12] , \tile_x5y12_ee4beg[11] , \tile_x5y12_ee4beg[10] , \tile_x5y12_ee4beg[9] , \tile_x5y12_ee4beg[8] , \tile_x5y12_ee4beg[7] , \tile_x5y12_ee4beg[6] , \tile_x5y12_ee4beg[5] , \tile_x5y12_ee4beg[4] , \tile_x5y12_ee4beg[3] , \tile_x5y12_ee4beg[2] , \tile_x5y12_ee4beg[1] , \tile_x5y12_ee4beg[0] }),
.framedata({ \tile_x5y12_framedata_o[31] , \tile_x5y12_framedata_o[30] , \tile_x5y12_framedata_o[29] , \tile_x5y12_framedata_o[28] , \tile_x5y12_framedata_o[27] , \tile_x5y12_framedata_o[26] , \tile_x5y12_framedata_o[25] , \tile_x5y12_framedata_o[24] , \tile_x5y12_framedata_o[23] , \tile_x5y12_framedata_o[22] , \tile_x5y12_framedata_o[21] , \tile_x5y12_framedata_o[20] , \tile_x5y12_framedata_o[19] , \tile_x5y12_framedata_o[18] , \tile_x5y12_framedata_o[17] , \tile_x5y12_framedata_o[16] , \tile_x5y12_framedata_o[15] , \tile_x5y12_framedata_o[14] , \tile_x5y12_framedata_o[13] , \tile_x5y12_framedata_o[12] , \tile_x5y12_framedata_o[11] , \tile_x5y12_framedata_o[10] , \tile_x5y12_framedata_o[9] , \tile_x5y12_framedata_o[8] , \tile_x5y12_framedata_o[7] , \tile_x5y12_framedata_o[6] , \tile_x5y12_framedata_o[5] , \tile_x5y12_framedata_o[4] , \tile_x5y12_framedata_o[3] , \tile_x5y12_framedata_o[2] , \tile_x5y12_framedata_o[1] , \tile_x5y12_framedata_o[0] }),
.framedata_o({ \tile_x6y12_framedata_o[31] , \tile_x6y12_framedata_o[30] , \tile_x6y12_framedata_o[29] , \tile_x6y12_framedata_o[28] , \tile_x6y12_framedata_o[27] , \tile_x6y12_framedata_o[26] , \tile_x6y12_framedata_o[25] , \tile_x6y12_framedata_o[24] , \tile_x6y12_framedata_o[23] , \tile_x6y12_framedata_o[22] , \tile_x6y12_framedata_o[21] , \tile_x6y12_framedata_o[20] , \tile_x6y12_framedata_o[19] , \tile_x6y12_framedata_o[18] , \tile_x6y12_framedata_o[17] , \tile_x6y12_framedata_o[16] , \tile_x6y12_framedata_o[15] , \tile_x6y12_framedata_o[14] , \tile_x6y12_framedata_o[13] , \tile_x6y12_framedata_o[12] , \tile_x6y12_framedata_o[11] , \tile_x6y12_framedata_o[10] , \tile_x6y12_framedata_o[9] , \tile_x6y12_framedata_o[8] , \tile_x6y12_framedata_o[7] , \tile_x6y12_framedata_o[6] , \tile_x6y12_framedata_o[5] , \tile_x6y12_framedata_o[4] , \tile_x6y12_framedata_o[3] , \tile_x6y12_framedata_o[2] , \tile_x6y12_framedata_o[1] , \tile_x6y12_framedata_o[0] }),
.framestrobe({ \tile_x6y13_framestrobe_o[19] , \tile_x6y13_framestrobe_o[18] , \tile_x6y13_framestrobe_o[17] , \tile_x6y13_framestrobe_o[16] , \tile_x6y13_framestrobe_o[15] , \tile_x6y13_framestrobe_o[14] , \tile_x6y13_framestrobe_o[13] , \tile_x6y13_framestrobe_o[12] , \tile_x6y13_framestrobe_o[11] , \tile_x6y13_framestrobe_o[10] , \tile_x6y13_framestrobe_o[9] , \tile_x6y13_framestrobe_o[8] , \tile_x6y13_framestrobe_o[7] , \tile_x6y13_framestrobe_o[6] , \tile_x6y13_framestrobe_o[5] , \tile_x6y13_framestrobe_o[4] , \tile_x6y13_framestrobe_o[3] , \tile_x6y13_framestrobe_o[2] , \tile_x6y13_framestrobe_o[1] , \tile_x6y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y12_framestrobe_o[19] , \tile_x6y12_framestrobe_o[18] , \tile_x6y12_framestrobe_o[17] , \tile_x6y12_framestrobe_o[16] , \tile_x6y12_framestrobe_o[15] , \tile_x6y12_framestrobe_o[14] , \tile_x6y12_framestrobe_o[13] , \tile_x6y12_framestrobe_o[12] , \tile_x6y12_framestrobe_o[11] , \tile_x6y12_framestrobe_o[10] , \tile_x6y12_framestrobe_o[9] , \tile_x6y12_framestrobe_o[8] , \tile_x6y12_framestrobe_o[7] , \tile_x6y12_framestrobe_o[6] , \tile_x6y12_framestrobe_o[5] , \tile_x6y12_framestrobe_o[4] , \tile_x6y12_framestrobe_o[3] , \tile_x6y12_framestrobe_o[2] , \tile_x6y12_framestrobe_o[1] , \tile_x6y12_framestrobe_o[0] }),
.n1beg({ \tile_x6y12_n1beg[3] , \tile_x6y12_n1beg[2] , \tile_x6y12_n1beg[1] , \tile_x6y12_n1beg[0] }),
.n1end({ \tile_x6y13_n1beg[3] , \tile_x6y13_n1beg[2] , \tile_x6y13_n1beg[1] , \tile_x6y13_n1beg[0] }),
.n2beg({ \tile_x6y12_n2beg[7] , \tile_x6y12_n2beg[6] , \tile_x6y12_n2beg[5] , \tile_x6y12_n2beg[4] , \tile_x6y12_n2beg[3] , \tile_x6y12_n2beg[2] , \tile_x6y12_n2beg[1] , \tile_x6y12_n2beg[0] }),
.n2begb({ \tile_x6y12_n2begb[7] , \tile_x6y12_n2begb[6] , \tile_x6y12_n2begb[5] , \tile_x6y12_n2begb[4] , \tile_x6y12_n2begb[3] , \tile_x6y12_n2begb[2] , \tile_x6y12_n2begb[1] , \tile_x6y12_n2begb[0] }),
.n2end({ \tile_x6y13_n2begb[7] , \tile_x6y13_n2begb[6] , \tile_x6y13_n2begb[5] , \tile_x6y13_n2begb[4] , \tile_x6y13_n2begb[3] , \tile_x6y13_n2begb[2] , \tile_x6y13_n2begb[1] , \tile_x6y13_n2begb[0] }),
.n2mid({ \tile_x6y13_n2beg[7] , \tile_x6y13_n2beg[6] , \tile_x6y13_n2beg[5] , \tile_x6y13_n2beg[4] , \tile_x6y13_n2beg[3] , \tile_x6y13_n2beg[2] , \tile_x6y13_n2beg[1] , \tile_x6y13_n2beg[0] }),
.n4beg({ \tile_x6y12_n4beg[15] , \tile_x6y12_n4beg[14] , \tile_x6y12_n4beg[13] , \tile_x6y12_n4beg[12] , \tile_x6y12_n4beg[11] , \tile_x6y12_n4beg[10] , \tile_x6y12_n4beg[9] , \tile_x6y12_n4beg[8] , \tile_x6y12_n4beg[7] , \tile_x6y12_n4beg[6] , \tile_x6y12_n4beg[5] , \tile_x6y12_n4beg[4] , \tile_x6y12_n4beg[3] , \tile_x6y12_n4beg[2] , \tile_x6y12_n4beg[1] , \tile_x6y12_n4beg[0] }),
.n4end({ \tile_x6y13_n4beg[15] , \tile_x6y13_n4beg[14] , \tile_x6y13_n4beg[13] , \tile_x6y13_n4beg[12] , \tile_x6y13_n4beg[11] , \tile_x6y13_n4beg[10] , \tile_x6y13_n4beg[9] , \tile_x6y13_n4beg[8] , \tile_x6y13_n4beg[7] , \tile_x6y13_n4beg[6] , \tile_x6y13_n4beg[5] , \tile_x6y13_n4beg[4] , \tile_x6y13_n4beg[3] , \tile_x6y13_n4beg[2] , \tile_x6y13_n4beg[1] , \tile_x6y13_n4beg[0] }),
.nn4beg({ \tile_x6y12_nn4beg[15] , \tile_x6y12_nn4beg[14] , \tile_x6y12_nn4beg[13] , \tile_x6y12_nn4beg[12] , \tile_x6y12_nn4beg[11] , \tile_x6y12_nn4beg[10] , \tile_x6y12_nn4beg[9] , \tile_x6y12_nn4beg[8] , \tile_x6y12_nn4beg[7] , \tile_x6y12_nn4beg[6] , \tile_x6y12_nn4beg[5] , \tile_x6y12_nn4beg[4] , \tile_x6y12_nn4beg[3] , \tile_x6y12_nn4beg[2] , \tile_x6y12_nn4beg[1] , \tile_x6y12_nn4beg[0] }),
.nn4end({ \tile_x6y13_nn4beg[15] , \tile_x6y13_nn4beg[14] , \tile_x6y13_nn4beg[13] , \tile_x6y13_nn4beg[12] , \tile_x6y13_nn4beg[11] , \tile_x6y13_nn4beg[10] , \tile_x6y13_nn4beg[9] , \tile_x6y13_nn4beg[8] , \tile_x6y13_nn4beg[7] , \tile_x6y13_nn4beg[6] , \tile_x6y13_nn4beg[5] , \tile_x6y13_nn4beg[4] , \tile_x6y13_nn4beg[3] , \tile_x6y13_nn4beg[2] , \tile_x6y13_nn4beg[1] , \tile_x6y13_nn4beg[0] }),
.s1beg({ \tile_x6y12_s1beg[3] , \tile_x6y12_s1beg[2] , \tile_x6y12_s1beg[1] , \tile_x6y12_s1beg[0] }),
.s1end({ \tile_x6y11_s1beg[3] , \tile_x6y11_s1beg[2] , \tile_x6y11_s1beg[1] , \tile_x6y11_s1beg[0] }),
.s2beg({ \tile_x6y12_s2beg[7] , \tile_x6y12_s2beg[6] , \tile_x6y12_s2beg[5] , \tile_x6y12_s2beg[4] , \tile_x6y12_s2beg[3] , \tile_x6y12_s2beg[2] , \tile_x6y12_s2beg[1] , \tile_x6y12_s2beg[0] }),
.s2begb({ \tile_x6y12_s2begb[7] , \tile_x6y12_s2begb[6] , \tile_x6y12_s2begb[5] , \tile_x6y12_s2begb[4] , \tile_x6y12_s2begb[3] , \tile_x6y12_s2begb[2] , \tile_x6y12_s2begb[1] , \tile_x6y12_s2begb[0] }),
.s2end({ \tile_x6y11_s2begb[7] , \tile_x6y11_s2begb[6] , \tile_x6y11_s2begb[5] , \tile_x6y11_s2begb[4] , \tile_x6y11_s2begb[3] , \tile_x6y11_s2begb[2] , \tile_x6y11_s2begb[1] , \tile_x6y11_s2begb[0] }),
.s2mid({ \tile_x6y11_s2beg[7] , \tile_x6y11_s2beg[6] , \tile_x6y11_s2beg[5] , \tile_x6y11_s2beg[4] , \tile_x6y11_s2beg[3] , \tile_x6y11_s2beg[2] , \tile_x6y11_s2beg[1] , \tile_x6y11_s2beg[0] }),
.s4beg({ \tile_x6y12_s4beg[15] , \tile_x6y12_s4beg[14] , \tile_x6y12_s4beg[13] , \tile_x6y12_s4beg[12] , \tile_x6y12_s4beg[11] , \tile_x6y12_s4beg[10] , \tile_x6y12_s4beg[9] , \tile_x6y12_s4beg[8] , \tile_x6y12_s4beg[7] , \tile_x6y12_s4beg[6] , \tile_x6y12_s4beg[5] , \tile_x6y12_s4beg[4] , \tile_x6y12_s4beg[3] , \tile_x6y12_s4beg[2] , \tile_x6y12_s4beg[1] , \tile_x6y12_s4beg[0] }),
.s4end({ \tile_x6y11_s4beg[15] , \tile_x6y11_s4beg[14] , \tile_x6y11_s4beg[13] , \tile_x6y11_s4beg[12] , \tile_x6y11_s4beg[11] , \tile_x6y11_s4beg[10] , \tile_x6y11_s4beg[9] , \tile_x6y11_s4beg[8] , \tile_x6y11_s4beg[7] , \tile_x6y11_s4beg[6] , \tile_x6y11_s4beg[5] , \tile_x6y11_s4beg[4] , \tile_x6y11_s4beg[3] , \tile_x6y11_s4beg[2] , \tile_x6y11_s4beg[1] , \tile_x6y11_s4beg[0] }),
.ss4beg({ \tile_x6y12_ss4beg[15] , \tile_x6y12_ss4beg[14] , \tile_x6y12_ss4beg[13] , \tile_x6y12_ss4beg[12] , \tile_x6y12_ss4beg[11] , \tile_x6y12_ss4beg[10] , \tile_x6y12_ss4beg[9] , \tile_x6y12_ss4beg[8] , \tile_x6y12_ss4beg[7] , \tile_x6y12_ss4beg[6] , \tile_x6y12_ss4beg[5] , \tile_x6y12_ss4beg[4] , \tile_x6y12_ss4beg[3] , \tile_x6y12_ss4beg[2] , \tile_x6y12_ss4beg[1] , \tile_x6y12_ss4beg[0] }),
.ss4end({ \tile_x6y11_ss4beg[15] , \tile_x6y11_ss4beg[14] , \tile_x6y11_ss4beg[13] , \tile_x6y11_ss4beg[12] , \tile_x6y11_ss4beg[11] , \tile_x6y11_ss4beg[10] , \tile_x6y11_ss4beg[9] , \tile_x6y11_ss4beg[8] , \tile_x6y11_ss4beg[7] , \tile_x6y11_ss4beg[6] , \tile_x6y11_ss4beg[5] , \tile_x6y11_ss4beg[4] , \tile_x6y11_ss4beg[3] , \tile_x6y11_ss4beg[2] , \tile_x6y11_ss4beg[1] , \tile_x6y11_ss4beg[0] }),
.userclk(tile_x6y13_userclko),
.userclko(tile_x6y12_userclko),
.w1beg({ \tile_x6y12_w1beg[3] , \tile_x6y12_w1beg[2] , \tile_x6y12_w1beg[1] , \tile_x6y12_w1beg[0] }),
.w1end({ \tile_x7y12_w1beg[3] , \tile_x7y12_w1beg[2] , \tile_x7y12_w1beg[1] , \tile_x7y12_w1beg[0] }),
.w2beg({ \tile_x6y12_w2beg[7] , \tile_x6y12_w2beg[6] , \tile_x6y12_w2beg[5] , \tile_x6y12_w2beg[4] , \tile_x6y12_w2beg[3] , \tile_x6y12_w2beg[2] , \tile_x6y12_w2beg[1] , \tile_x6y12_w2beg[0] }),
.w2begb({ \tile_x6y12_w2begb[7] , \tile_x6y12_w2begb[6] , \tile_x6y12_w2begb[5] , \tile_x6y12_w2begb[4] , \tile_x6y12_w2begb[3] , \tile_x6y12_w2begb[2] , \tile_x6y12_w2begb[1] , \tile_x6y12_w2begb[0] }),
.w2end({ \tile_x7y12_w2begb[7] , \tile_x7y12_w2begb[6] , \tile_x7y12_w2begb[5] , \tile_x7y12_w2begb[4] , \tile_x7y12_w2begb[3] , \tile_x7y12_w2begb[2] , \tile_x7y12_w2begb[1] , \tile_x7y12_w2begb[0] }),
.w2mid({ \tile_x7y12_w2beg[7] , \tile_x7y12_w2beg[6] , \tile_x7y12_w2beg[5] , \tile_x7y12_w2beg[4] , \tile_x7y12_w2beg[3] , \tile_x7y12_w2beg[2] , \tile_x7y12_w2beg[1] , \tile_x7y12_w2beg[0] }),
.w6beg({ \tile_x6y12_w6beg[11] , \tile_x6y12_w6beg[10] , \tile_x6y12_w6beg[9] , \tile_x6y12_w6beg[8] , \tile_x6y12_w6beg[7] , \tile_x6y12_w6beg[6] , \tile_x6y12_w6beg[5] , \tile_x6y12_w6beg[4] , \tile_x6y12_w6beg[3] , \tile_x6y12_w6beg[2] , \tile_x6y12_w6beg[1] , \tile_x6y12_w6beg[0] }),
.w6end({ \tile_x7y12_w6beg[11] , \tile_x7y12_w6beg[10] , \tile_x7y12_w6beg[9] , \tile_x7y12_w6beg[8] , \tile_x7y12_w6beg[7] , \tile_x7y12_w6beg[6] , \tile_x7y12_w6beg[5] , \tile_x7y12_w6beg[4] , \tile_x7y12_w6beg[3] , \tile_x7y12_w6beg[2] , \tile_x7y12_w6beg[1] , \tile_x7y12_w6beg[0] }),
.ww4beg({ \tile_x6y12_ww4beg[15] , \tile_x6y12_ww4beg[14] , \tile_x6y12_ww4beg[13] , \tile_x6y12_ww4beg[12] , \tile_x6y12_ww4beg[11] , \tile_x6y12_ww4beg[10] , \tile_x6y12_ww4beg[9] , \tile_x6y12_ww4beg[8] , \tile_x6y12_ww4beg[7] , \tile_x6y12_ww4beg[6] , \tile_x6y12_ww4beg[5] , \tile_x6y12_ww4beg[4] , \tile_x6y12_ww4beg[3] , \tile_x6y12_ww4beg[2] , \tile_x6y12_ww4beg[1] , \tile_x6y12_ww4beg[0] }),
.ww4end({ \tile_x7y12_ww4beg[15] , \tile_x7y12_ww4beg[14] , \tile_x7y12_ww4beg[13] , \tile_x7y12_ww4beg[12] , \tile_x7y12_ww4beg[11] , \tile_x7y12_ww4beg[10] , \tile_x7y12_ww4beg[9] , \tile_x7y12_ww4beg[8] , \tile_x7y12_ww4beg[7] , \tile_x7y12_ww4beg[6] , \tile_x7y12_ww4beg[5] , \tile_x7y12_ww4beg[4] , \tile_x7y12_ww4beg[3] , \tile_x7y12_ww4beg[2] , \tile_x7y12_ww4beg[1] , \tile_x7y12_ww4beg[0] })
);
lut4ab tile_x6y13_lut4ab (
.ci(tile_x6y14_co),
.co(tile_x6y13_co),
.e1beg({ \tile_x6y13_e1beg[3] , \tile_x6y13_e1beg[2] , \tile_x6y13_e1beg[1] , \tile_x6y13_e1beg[0] }),
.e1end({ \tile_x5y13_e1beg[3] , \tile_x5y13_e1beg[2] , \tile_x5y13_e1beg[1] , \tile_x5y13_e1beg[0] }),
.e2beg({ \tile_x6y13_e2beg[7] , \tile_x6y13_e2beg[6] , \tile_x6y13_e2beg[5] , \tile_x6y13_e2beg[4] , \tile_x6y13_e2beg[3] , \tile_x6y13_e2beg[2] , \tile_x6y13_e2beg[1] , \tile_x6y13_e2beg[0] }),
.e2begb({ \tile_x6y13_e2begb[7] , \tile_x6y13_e2begb[6] , \tile_x6y13_e2begb[5] , \tile_x6y13_e2begb[4] , \tile_x6y13_e2begb[3] , \tile_x6y13_e2begb[2] , \tile_x6y13_e2begb[1] , \tile_x6y13_e2begb[0] }),
.e2end({ \tile_x5y13_e2begb[7] , \tile_x5y13_e2begb[6] , \tile_x5y13_e2begb[5] , \tile_x5y13_e2begb[4] , \tile_x5y13_e2begb[3] , \tile_x5y13_e2begb[2] , \tile_x5y13_e2begb[1] , \tile_x5y13_e2begb[0] }),
.e2mid({ \tile_x5y13_e2beg[7] , \tile_x5y13_e2beg[6] , \tile_x5y13_e2beg[5] , \tile_x5y13_e2beg[4] , \tile_x5y13_e2beg[3] , \tile_x5y13_e2beg[2] , \tile_x5y13_e2beg[1] , \tile_x5y13_e2beg[0] }),
.e6beg({ \tile_x6y13_e6beg[11] , \tile_x6y13_e6beg[10] , \tile_x6y13_e6beg[9] , \tile_x6y13_e6beg[8] , \tile_x6y13_e6beg[7] , \tile_x6y13_e6beg[6] , \tile_x6y13_e6beg[5] , \tile_x6y13_e6beg[4] , \tile_x6y13_e6beg[3] , \tile_x6y13_e6beg[2] , \tile_x6y13_e6beg[1] , \tile_x6y13_e6beg[0] }),
.e6end({ \tile_x5y13_e6beg[11] , \tile_x5y13_e6beg[10] , \tile_x5y13_e6beg[9] , \tile_x5y13_e6beg[8] , \tile_x5y13_e6beg[7] , \tile_x5y13_e6beg[6] , \tile_x5y13_e6beg[5] , \tile_x5y13_e6beg[4] , \tile_x5y13_e6beg[3] , \tile_x5y13_e6beg[2] , \tile_x5y13_e6beg[1] , \tile_x5y13_e6beg[0] }),
.ee4beg({ \tile_x6y13_ee4beg[15] , \tile_x6y13_ee4beg[14] , \tile_x6y13_ee4beg[13] , \tile_x6y13_ee4beg[12] , \tile_x6y13_ee4beg[11] , \tile_x6y13_ee4beg[10] , \tile_x6y13_ee4beg[9] , \tile_x6y13_ee4beg[8] , \tile_x6y13_ee4beg[7] , \tile_x6y13_ee4beg[6] , \tile_x6y13_ee4beg[5] , \tile_x6y13_ee4beg[4] , \tile_x6y13_ee4beg[3] , \tile_x6y13_ee4beg[2] , \tile_x6y13_ee4beg[1] , \tile_x6y13_ee4beg[0] }),
.ee4end({ \tile_x5y13_ee4beg[15] , \tile_x5y13_ee4beg[14] , \tile_x5y13_ee4beg[13] , \tile_x5y13_ee4beg[12] , \tile_x5y13_ee4beg[11] , \tile_x5y13_ee4beg[10] , \tile_x5y13_ee4beg[9] , \tile_x5y13_ee4beg[8] , \tile_x5y13_ee4beg[7] , \tile_x5y13_ee4beg[6] , \tile_x5y13_ee4beg[5] , \tile_x5y13_ee4beg[4] , \tile_x5y13_ee4beg[3] , \tile_x5y13_ee4beg[2] , \tile_x5y13_ee4beg[1] , \tile_x5y13_ee4beg[0] }),
.framedata({ \tile_x5y13_framedata_o[31] , \tile_x5y13_framedata_o[30] , \tile_x5y13_framedata_o[29] , \tile_x5y13_framedata_o[28] , \tile_x5y13_framedata_o[27] , \tile_x5y13_framedata_o[26] , \tile_x5y13_framedata_o[25] , \tile_x5y13_framedata_o[24] , \tile_x5y13_framedata_o[23] , \tile_x5y13_framedata_o[22] , \tile_x5y13_framedata_o[21] , \tile_x5y13_framedata_o[20] , \tile_x5y13_framedata_o[19] , \tile_x5y13_framedata_o[18] , \tile_x5y13_framedata_o[17] , \tile_x5y13_framedata_o[16] , \tile_x5y13_framedata_o[15] , \tile_x5y13_framedata_o[14] , \tile_x5y13_framedata_o[13] , \tile_x5y13_framedata_o[12] , \tile_x5y13_framedata_o[11] , \tile_x5y13_framedata_o[10] , \tile_x5y13_framedata_o[9] , \tile_x5y13_framedata_o[8] , \tile_x5y13_framedata_o[7] , \tile_x5y13_framedata_o[6] , \tile_x5y13_framedata_o[5] , \tile_x5y13_framedata_o[4] , \tile_x5y13_framedata_o[3] , \tile_x5y13_framedata_o[2] , \tile_x5y13_framedata_o[1] , \tile_x5y13_framedata_o[0] }),
.framedata_o({ \tile_x6y13_framedata_o[31] , \tile_x6y13_framedata_o[30] , \tile_x6y13_framedata_o[29] , \tile_x6y13_framedata_o[28] , \tile_x6y13_framedata_o[27] , \tile_x6y13_framedata_o[26] , \tile_x6y13_framedata_o[25] , \tile_x6y13_framedata_o[24] , \tile_x6y13_framedata_o[23] , \tile_x6y13_framedata_o[22] , \tile_x6y13_framedata_o[21] , \tile_x6y13_framedata_o[20] , \tile_x6y13_framedata_o[19] , \tile_x6y13_framedata_o[18] , \tile_x6y13_framedata_o[17] , \tile_x6y13_framedata_o[16] , \tile_x6y13_framedata_o[15] , \tile_x6y13_framedata_o[14] , \tile_x6y13_framedata_o[13] , \tile_x6y13_framedata_o[12] , \tile_x6y13_framedata_o[11] , \tile_x6y13_framedata_o[10] , \tile_x6y13_framedata_o[9] , \tile_x6y13_framedata_o[8] , \tile_x6y13_framedata_o[7] , \tile_x6y13_framedata_o[6] , \tile_x6y13_framedata_o[5] , \tile_x6y13_framedata_o[4] , \tile_x6y13_framedata_o[3] , \tile_x6y13_framedata_o[2] , \tile_x6y13_framedata_o[1] , \tile_x6y13_framedata_o[0] }),
.framestrobe({ \tile_x6y14_framestrobe_o[19] , \tile_x6y14_framestrobe_o[18] , \tile_x6y14_framestrobe_o[17] , \tile_x6y14_framestrobe_o[16] , \tile_x6y14_framestrobe_o[15] , \tile_x6y14_framestrobe_o[14] , \tile_x6y14_framestrobe_o[13] , \tile_x6y14_framestrobe_o[12] , \tile_x6y14_framestrobe_o[11] , \tile_x6y14_framestrobe_o[10] , \tile_x6y14_framestrobe_o[9] , \tile_x6y14_framestrobe_o[8] , \tile_x6y14_framestrobe_o[7] , \tile_x6y14_framestrobe_o[6] , \tile_x6y14_framestrobe_o[5] , \tile_x6y14_framestrobe_o[4] , \tile_x6y14_framestrobe_o[3] , \tile_x6y14_framestrobe_o[2] , \tile_x6y14_framestrobe_o[1] , \tile_x6y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y13_framestrobe_o[19] , \tile_x6y13_framestrobe_o[18] , \tile_x6y13_framestrobe_o[17] , \tile_x6y13_framestrobe_o[16] , \tile_x6y13_framestrobe_o[15] , \tile_x6y13_framestrobe_o[14] , \tile_x6y13_framestrobe_o[13] , \tile_x6y13_framestrobe_o[12] , \tile_x6y13_framestrobe_o[11] , \tile_x6y13_framestrobe_o[10] , \tile_x6y13_framestrobe_o[9] , \tile_x6y13_framestrobe_o[8] , \tile_x6y13_framestrobe_o[7] , \tile_x6y13_framestrobe_o[6] , \tile_x6y13_framestrobe_o[5] , \tile_x6y13_framestrobe_o[4] , \tile_x6y13_framestrobe_o[3] , \tile_x6y13_framestrobe_o[2] , \tile_x6y13_framestrobe_o[1] , \tile_x6y13_framestrobe_o[0] }),
.n1beg({ \tile_x6y13_n1beg[3] , \tile_x6y13_n1beg[2] , \tile_x6y13_n1beg[1] , \tile_x6y13_n1beg[0] }),
.n1end({ \tile_x6y14_n1beg[3] , \tile_x6y14_n1beg[2] , \tile_x6y14_n1beg[1] , \tile_x6y14_n1beg[0] }),
.n2beg({ \tile_x6y13_n2beg[7] , \tile_x6y13_n2beg[6] , \tile_x6y13_n2beg[5] , \tile_x6y13_n2beg[4] , \tile_x6y13_n2beg[3] , \tile_x6y13_n2beg[2] , \tile_x6y13_n2beg[1] , \tile_x6y13_n2beg[0] }),
.n2begb({ \tile_x6y13_n2begb[7] , \tile_x6y13_n2begb[6] , \tile_x6y13_n2begb[5] , \tile_x6y13_n2begb[4] , \tile_x6y13_n2begb[3] , \tile_x6y13_n2begb[2] , \tile_x6y13_n2begb[1] , \tile_x6y13_n2begb[0] }),
.n2end({ \tile_x6y14_n2begb[7] , \tile_x6y14_n2begb[6] , \tile_x6y14_n2begb[5] , \tile_x6y14_n2begb[4] , \tile_x6y14_n2begb[3] , \tile_x6y14_n2begb[2] , \tile_x6y14_n2begb[1] , \tile_x6y14_n2begb[0] }),
.n2mid({ \tile_x6y14_n2beg[7] , \tile_x6y14_n2beg[6] , \tile_x6y14_n2beg[5] , \tile_x6y14_n2beg[4] , \tile_x6y14_n2beg[3] , \tile_x6y14_n2beg[2] , \tile_x6y14_n2beg[1] , \tile_x6y14_n2beg[0] }),
.n4beg({ \tile_x6y13_n4beg[15] , \tile_x6y13_n4beg[14] , \tile_x6y13_n4beg[13] , \tile_x6y13_n4beg[12] , \tile_x6y13_n4beg[11] , \tile_x6y13_n4beg[10] , \tile_x6y13_n4beg[9] , \tile_x6y13_n4beg[8] , \tile_x6y13_n4beg[7] , \tile_x6y13_n4beg[6] , \tile_x6y13_n4beg[5] , \tile_x6y13_n4beg[4] , \tile_x6y13_n4beg[3] , \tile_x6y13_n4beg[2] , \tile_x6y13_n4beg[1] , \tile_x6y13_n4beg[0] }),
.n4end({ \tile_x6y14_n4beg[15] , \tile_x6y14_n4beg[14] , \tile_x6y14_n4beg[13] , \tile_x6y14_n4beg[12] , \tile_x6y14_n4beg[11] , \tile_x6y14_n4beg[10] , \tile_x6y14_n4beg[9] , \tile_x6y14_n4beg[8] , \tile_x6y14_n4beg[7] , \tile_x6y14_n4beg[6] , \tile_x6y14_n4beg[5] , \tile_x6y14_n4beg[4] , \tile_x6y14_n4beg[3] , \tile_x6y14_n4beg[2] , \tile_x6y14_n4beg[1] , \tile_x6y14_n4beg[0] }),
.nn4beg({ \tile_x6y13_nn4beg[15] , \tile_x6y13_nn4beg[14] , \tile_x6y13_nn4beg[13] , \tile_x6y13_nn4beg[12] , \tile_x6y13_nn4beg[11] , \tile_x6y13_nn4beg[10] , \tile_x6y13_nn4beg[9] , \tile_x6y13_nn4beg[8] , \tile_x6y13_nn4beg[7] , \tile_x6y13_nn4beg[6] , \tile_x6y13_nn4beg[5] , \tile_x6y13_nn4beg[4] , \tile_x6y13_nn4beg[3] , \tile_x6y13_nn4beg[2] , \tile_x6y13_nn4beg[1] , \tile_x6y13_nn4beg[0] }),
.nn4end({ \tile_x6y14_nn4beg[15] , \tile_x6y14_nn4beg[14] , \tile_x6y14_nn4beg[13] , \tile_x6y14_nn4beg[12] , \tile_x6y14_nn4beg[11] , \tile_x6y14_nn4beg[10] , \tile_x6y14_nn4beg[9] , \tile_x6y14_nn4beg[8] , \tile_x6y14_nn4beg[7] , \tile_x6y14_nn4beg[6] , \tile_x6y14_nn4beg[5] , \tile_x6y14_nn4beg[4] , \tile_x6y14_nn4beg[3] , \tile_x6y14_nn4beg[2] , \tile_x6y14_nn4beg[1] , \tile_x6y14_nn4beg[0] }),
.s1beg({ \tile_x6y13_s1beg[3] , \tile_x6y13_s1beg[2] , \tile_x6y13_s1beg[1] , \tile_x6y13_s1beg[0] }),
.s1end({ \tile_x6y12_s1beg[3] , \tile_x6y12_s1beg[2] , \tile_x6y12_s1beg[1] , \tile_x6y12_s1beg[0] }),
.s2beg({ \tile_x6y13_s2beg[7] , \tile_x6y13_s2beg[6] , \tile_x6y13_s2beg[5] , \tile_x6y13_s2beg[4] , \tile_x6y13_s2beg[3] , \tile_x6y13_s2beg[2] , \tile_x6y13_s2beg[1] , \tile_x6y13_s2beg[0] }),
.s2begb({ \tile_x6y13_s2begb[7] , \tile_x6y13_s2begb[6] , \tile_x6y13_s2begb[5] , \tile_x6y13_s2begb[4] , \tile_x6y13_s2begb[3] , \tile_x6y13_s2begb[2] , \tile_x6y13_s2begb[1] , \tile_x6y13_s2begb[0] }),
.s2end({ \tile_x6y12_s2begb[7] , \tile_x6y12_s2begb[6] , \tile_x6y12_s2begb[5] , \tile_x6y12_s2begb[4] , \tile_x6y12_s2begb[3] , \tile_x6y12_s2begb[2] , \tile_x6y12_s2begb[1] , \tile_x6y12_s2begb[0] }),
.s2mid({ \tile_x6y12_s2beg[7] , \tile_x6y12_s2beg[6] , \tile_x6y12_s2beg[5] , \tile_x6y12_s2beg[4] , \tile_x6y12_s2beg[3] , \tile_x6y12_s2beg[2] , \tile_x6y12_s2beg[1] , \tile_x6y12_s2beg[0] }),
.s4beg({ \tile_x6y13_s4beg[15] , \tile_x6y13_s4beg[14] , \tile_x6y13_s4beg[13] , \tile_x6y13_s4beg[12] , \tile_x6y13_s4beg[11] , \tile_x6y13_s4beg[10] , \tile_x6y13_s4beg[9] , \tile_x6y13_s4beg[8] , \tile_x6y13_s4beg[7] , \tile_x6y13_s4beg[6] , \tile_x6y13_s4beg[5] , \tile_x6y13_s4beg[4] , \tile_x6y13_s4beg[3] , \tile_x6y13_s4beg[2] , \tile_x6y13_s4beg[1] , \tile_x6y13_s4beg[0] }),
.s4end({ \tile_x6y12_s4beg[15] , \tile_x6y12_s4beg[14] , \tile_x6y12_s4beg[13] , \tile_x6y12_s4beg[12] , \tile_x6y12_s4beg[11] , \tile_x6y12_s4beg[10] , \tile_x6y12_s4beg[9] , \tile_x6y12_s4beg[8] , \tile_x6y12_s4beg[7] , \tile_x6y12_s4beg[6] , \tile_x6y12_s4beg[5] , \tile_x6y12_s4beg[4] , \tile_x6y12_s4beg[3] , \tile_x6y12_s4beg[2] , \tile_x6y12_s4beg[1] , \tile_x6y12_s4beg[0] }),
.ss4beg({ \tile_x6y13_ss4beg[15] , \tile_x6y13_ss4beg[14] , \tile_x6y13_ss4beg[13] , \tile_x6y13_ss4beg[12] , \tile_x6y13_ss4beg[11] , \tile_x6y13_ss4beg[10] , \tile_x6y13_ss4beg[9] , \tile_x6y13_ss4beg[8] , \tile_x6y13_ss4beg[7] , \tile_x6y13_ss4beg[6] , \tile_x6y13_ss4beg[5] , \tile_x6y13_ss4beg[4] , \tile_x6y13_ss4beg[3] , \tile_x6y13_ss4beg[2] , \tile_x6y13_ss4beg[1] , \tile_x6y13_ss4beg[0] }),
.ss4end({ \tile_x6y12_ss4beg[15] , \tile_x6y12_ss4beg[14] , \tile_x6y12_ss4beg[13] , \tile_x6y12_ss4beg[12] , \tile_x6y12_ss4beg[11] , \tile_x6y12_ss4beg[10] , \tile_x6y12_ss4beg[9] , \tile_x6y12_ss4beg[8] , \tile_x6y12_ss4beg[7] , \tile_x6y12_ss4beg[6] , \tile_x6y12_ss4beg[5] , \tile_x6y12_ss4beg[4] , \tile_x6y12_ss4beg[3] , \tile_x6y12_ss4beg[2] , \tile_x6y12_ss4beg[1] , \tile_x6y12_ss4beg[0] }),
.userclk(tile_x6y14_userclko),
.userclko(tile_x6y13_userclko),
.w1beg({ \tile_x6y13_w1beg[3] , \tile_x6y13_w1beg[2] , \tile_x6y13_w1beg[1] , \tile_x6y13_w1beg[0] }),
.w1end({ \tile_x7y13_w1beg[3] , \tile_x7y13_w1beg[2] , \tile_x7y13_w1beg[1] , \tile_x7y13_w1beg[0] }),
.w2beg({ \tile_x6y13_w2beg[7] , \tile_x6y13_w2beg[6] , \tile_x6y13_w2beg[5] , \tile_x6y13_w2beg[4] , \tile_x6y13_w2beg[3] , \tile_x6y13_w2beg[2] , \tile_x6y13_w2beg[1] , \tile_x6y13_w2beg[0] }),
.w2begb({ \tile_x6y13_w2begb[7] , \tile_x6y13_w2begb[6] , \tile_x6y13_w2begb[5] , \tile_x6y13_w2begb[4] , \tile_x6y13_w2begb[3] , \tile_x6y13_w2begb[2] , \tile_x6y13_w2begb[1] , \tile_x6y13_w2begb[0] }),
.w2end({ \tile_x7y13_w2begb[7] , \tile_x7y13_w2begb[6] , \tile_x7y13_w2begb[5] , \tile_x7y13_w2begb[4] , \tile_x7y13_w2begb[3] , \tile_x7y13_w2begb[2] , \tile_x7y13_w2begb[1] , \tile_x7y13_w2begb[0] }),
.w2mid({ \tile_x7y13_w2beg[7] , \tile_x7y13_w2beg[6] , \tile_x7y13_w2beg[5] , \tile_x7y13_w2beg[4] , \tile_x7y13_w2beg[3] , \tile_x7y13_w2beg[2] , \tile_x7y13_w2beg[1] , \tile_x7y13_w2beg[0] }),
.w6beg({ \tile_x6y13_w6beg[11] , \tile_x6y13_w6beg[10] , \tile_x6y13_w6beg[9] , \tile_x6y13_w6beg[8] , \tile_x6y13_w6beg[7] , \tile_x6y13_w6beg[6] , \tile_x6y13_w6beg[5] , \tile_x6y13_w6beg[4] , \tile_x6y13_w6beg[3] , \tile_x6y13_w6beg[2] , \tile_x6y13_w6beg[1] , \tile_x6y13_w6beg[0] }),
.w6end({ \tile_x7y13_w6beg[11] , \tile_x7y13_w6beg[10] , \tile_x7y13_w6beg[9] , \tile_x7y13_w6beg[8] , \tile_x7y13_w6beg[7] , \tile_x7y13_w6beg[6] , \tile_x7y13_w6beg[5] , \tile_x7y13_w6beg[4] , \tile_x7y13_w6beg[3] , \tile_x7y13_w6beg[2] , \tile_x7y13_w6beg[1] , \tile_x7y13_w6beg[0] }),
.ww4beg({ \tile_x6y13_ww4beg[15] , \tile_x6y13_ww4beg[14] , \tile_x6y13_ww4beg[13] , \tile_x6y13_ww4beg[12] , \tile_x6y13_ww4beg[11] , \tile_x6y13_ww4beg[10] , \tile_x6y13_ww4beg[9] , \tile_x6y13_ww4beg[8] , \tile_x6y13_ww4beg[7] , \tile_x6y13_ww4beg[6] , \tile_x6y13_ww4beg[5] , \tile_x6y13_ww4beg[4] , \tile_x6y13_ww4beg[3] , \tile_x6y13_ww4beg[2] , \tile_x6y13_ww4beg[1] , \tile_x6y13_ww4beg[0] }),
.ww4end({ \tile_x7y13_ww4beg[15] , \tile_x7y13_ww4beg[14] , \tile_x7y13_ww4beg[13] , \tile_x7y13_ww4beg[12] , \tile_x7y13_ww4beg[11] , \tile_x7y13_ww4beg[10] , \tile_x7y13_ww4beg[9] , \tile_x7y13_ww4beg[8] , \tile_x7y13_ww4beg[7] , \tile_x7y13_ww4beg[6] , \tile_x7y13_ww4beg[5] , \tile_x7y13_ww4beg[4] , \tile_x7y13_ww4beg[3] , \tile_x7y13_ww4beg[2] , \tile_x7y13_ww4beg[1] , \tile_x7y13_ww4beg[0] })
);
lut4ab tile_x6y14_lut4ab (
.ci(tile_x6y15_co),
.co(tile_x6y14_co),
.e1beg({ \tile_x6y14_e1beg[3] , \tile_x6y14_e1beg[2] , \tile_x6y14_e1beg[1] , \tile_x6y14_e1beg[0] }),
.e1end({ \tile_x5y14_e1beg[3] , \tile_x5y14_e1beg[2] , \tile_x5y14_e1beg[1] , \tile_x5y14_e1beg[0] }),
.e2beg({ \tile_x6y14_e2beg[7] , \tile_x6y14_e2beg[6] , \tile_x6y14_e2beg[5] , \tile_x6y14_e2beg[4] , \tile_x6y14_e2beg[3] , \tile_x6y14_e2beg[2] , \tile_x6y14_e2beg[1] , \tile_x6y14_e2beg[0] }),
.e2begb({ \tile_x6y14_e2begb[7] , \tile_x6y14_e2begb[6] , \tile_x6y14_e2begb[5] , \tile_x6y14_e2begb[4] , \tile_x6y14_e2begb[3] , \tile_x6y14_e2begb[2] , \tile_x6y14_e2begb[1] , \tile_x6y14_e2begb[0] }),
.e2end({ \tile_x5y14_e2begb[7] , \tile_x5y14_e2begb[6] , \tile_x5y14_e2begb[5] , \tile_x5y14_e2begb[4] , \tile_x5y14_e2begb[3] , \tile_x5y14_e2begb[2] , \tile_x5y14_e2begb[1] , \tile_x5y14_e2begb[0] }),
.e2mid({ \tile_x5y14_e2beg[7] , \tile_x5y14_e2beg[6] , \tile_x5y14_e2beg[5] , \tile_x5y14_e2beg[4] , \tile_x5y14_e2beg[3] , \tile_x5y14_e2beg[2] , \tile_x5y14_e2beg[1] , \tile_x5y14_e2beg[0] }),
.e6beg({ \tile_x6y14_e6beg[11] , \tile_x6y14_e6beg[10] , \tile_x6y14_e6beg[9] , \tile_x6y14_e6beg[8] , \tile_x6y14_e6beg[7] , \tile_x6y14_e6beg[6] , \tile_x6y14_e6beg[5] , \tile_x6y14_e6beg[4] , \tile_x6y14_e6beg[3] , \tile_x6y14_e6beg[2] , \tile_x6y14_e6beg[1] , \tile_x6y14_e6beg[0] }),
.e6end({ \tile_x5y14_e6beg[11] , \tile_x5y14_e6beg[10] , \tile_x5y14_e6beg[9] , \tile_x5y14_e6beg[8] , \tile_x5y14_e6beg[7] , \tile_x5y14_e6beg[6] , \tile_x5y14_e6beg[5] , \tile_x5y14_e6beg[4] , \tile_x5y14_e6beg[3] , \tile_x5y14_e6beg[2] , \tile_x5y14_e6beg[1] , \tile_x5y14_e6beg[0] }),
.ee4beg({ \tile_x6y14_ee4beg[15] , \tile_x6y14_ee4beg[14] , \tile_x6y14_ee4beg[13] , \tile_x6y14_ee4beg[12] , \tile_x6y14_ee4beg[11] , \tile_x6y14_ee4beg[10] , \tile_x6y14_ee4beg[9] , \tile_x6y14_ee4beg[8] , \tile_x6y14_ee4beg[7] , \tile_x6y14_ee4beg[6] , \tile_x6y14_ee4beg[5] , \tile_x6y14_ee4beg[4] , \tile_x6y14_ee4beg[3] , \tile_x6y14_ee4beg[2] , \tile_x6y14_ee4beg[1] , \tile_x6y14_ee4beg[0] }),
.ee4end({ \tile_x5y14_ee4beg[15] , \tile_x5y14_ee4beg[14] , \tile_x5y14_ee4beg[13] , \tile_x5y14_ee4beg[12] , \tile_x5y14_ee4beg[11] , \tile_x5y14_ee4beg[10] , \tile_x5y14_ee4beg[9] , \tile_x5y14_ee4beg[8] , \tile_x5y14_ee4beg[7] , \tile_x5y14_ee4beg[6] , \tile_x5y14_ee4beg[5] , \tile_x5y14_ee4beg[4] , \tile_x5y14_ee4beg[3] , \tile_x5y14_ee4beg[2] , \tile_x5y14_ee4beg[1] , \tile_x5y14_ee4beg[0] }),
.framedata({ \tile_x5y14_framedata_o[31] , \tile_x5y14_framedata_o[30] , \tile_x5y14_framedata_o[29] , \tile_x5y14_framedata_o[28] , \tile_x5y14_framedata_o[27] , \tile_x5y14_framedata_o[26] , \tile_x5y14_framedata_o[25] , \tile_x5y14_framedata_o[24] , \tile_x5y14_framedata_o[23] , \tile_x5y14_framedata_o[22] , \tile_x5y14_framedata_o[21] , \tile_x5y14_framedata_o[20] , \tile_x5y14_framedata_o[19] , \tile_x5y14_framedata_o[18] , \tile_x5y14_framedata_o[17] , \tile_x5y14_framedata_o[16] , \tile_x5y14_framedata_o[15] , \tile_x5y14_framedata_o[14] , \tile_x5y14_framedata_o[13] , \tile_x5y14_framedata_o[12] , \tile_x5y14_framedata_o[11] , \tile_x5y14_framedata_o[10] , \tile_x5y14_framedata_o[9] , \tile_x5y14_framedata_o[8] , \tile_x5y14_framedata_o[7] , \tile_x5y14_framedata_o[6] , \tile_x5y14_framedata_o[5] , \tile_x5y14_framedata_o[4] , \tile_x5y14_framedata_o[3] , \tile_x5y14_framedata_o[2] , \tile_x5y14_framedata_o[1] , \tile_x5y14_framedata_o[0] }),
.framedata_o({ \tile_x6y14_framedata_o[31] , \tile_x6y14_framedata_o[30] , \tile_x6y14_framedata_o[29] , \tile_x6y14_framedata_o[28] , \tile_x6y14_framedata_o[27] , \tile_x6y14_framedata_o[26] , \tile_x6y14_framedata_o[25] , \tile_x6y14_framedata_o[24] , \tile_x6y14_framedata_o[23] , \tile_x6y14_framedata_o[22] , \tile_x6y14_framedata_o[21] , \tile_x6y14_framedata_o[20] , \tile_x6y14_framedata_o[19] , \tile_x6y14_framedata_o[18] , \tile_x6y14_framedata_o[17] , \tile_x6y14_framedata_o[16] , \tile_x6y14_framedata_o[15] , \tile_x6y14_framedata_o[14] , \tile_x6y14_framedata_o[13] , \tile_x6y14_framedata_o[12] , \tile_x6y14_framedata_o[11] , \tile_x6y14_framedata_o[10] , \tile_x6y14_framedata_o[9] , \tile_x6y14_framedata_o[8] , \tile_x6y14_framedata_o[7] , \tile_x6y14_framedata_o[6] , \tile_x6y14_framedata_o[5] , \tile_x6y14_framedata_o[4] , \tile_x6y14_framedata_o[3] , \tile_x6y14_framedata_o[2] , \tile_x6y14_framedata_o[1] , \tile_x6y14_framedata_o[0] }),
.framestrobe({ \tile_x6y15_framestrobe_o[19] , \tile_x6y15_framestrobe_o[18] , \tile_x6y15_framestrobe_o[17] , \tile_x6y15_framestrobe_o[16] , \tile_x6y15_framestrobe_o[15] , \tile_x6y15_framestrobe_o[14] , \tile_x6y15_framestrobe_o[13] , \tile_x6y15_framestrobe_o[12] , \tile_x6y15_framestrobe_o[11] , \tile_x6y15_framestrobe_o[10] , \tile_x6y15_framestrobe_o[9] , \tile_x6y15_framestrobe_o[8] , \tile_x6y15_framestrobe_o[7] , \tile_x6y15_framestrobe_o[6] , \tile_x6y15_framestrobe_o[5] , \tile_x6y15_framestrobe_o[4] , \tile_x6y15_framestrobe_o[3] , \tile_x6y15_framestrobe_o[2] , \tile_x6y15_framestrobe_o[1] , \tile_x6y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y14_framestrobe_o[19] , \tile_x6y14_framestrobe_o[18] , \tile_x6y14_framestrobe_o[17] , \tile_x6y14_framestrobe_o[16] , \tile_x6y14_framestrobe_o[15] , \tile_x6y14_framestrobe_o[14] , \tile_x6y14_framestrobe_o[13] , \tile_x6y14_framestrobe_o[12] , \tile_x6y14_framestrobe_o[11] , \tile_x6y14_framestrobe_o[10] , \tile_x6y14_framestrobe_o[9] , \tile_x6y14_framestrobe_o[8] , \tile_x6y14_framestrobe_o[7] , \tile_x6y14_framestrobe_o[6] , \tile_x6y14_framestrobe_o[5] , \tile_x6y14_framestrobe_o[4] , \tile_x6y14_framestrobe_o[3] , \tile_x6y14_framestrobe_o[2] , \tile_x6y14_framestrobe_o[1] , \tile_x6y14_framestrobe_o[0] }),
.n1beg({ \tile_x6y14_n1beg[3] , \tile_x6y14_n1beg[2] , \tile_x6y14_n1beg[1] , \tile_x6y14_n1beg[0] }),
.n1end({ \tile_x6y15_n1beg[3] , \tile_x6y15_n1beg[2] , \tile_x6y15_n1beg[1] , \tile_x6y15_n1beg[0] }),
.n2beg({ \tile_x6y14_n2beg[7] , \tile_x6y14_n2beg[6] , \tile_x6y14_n2beg[5] , \tile_x6y14_n2beg[4] , \tile_x6y14_n2beg[3] , \tile_x6y14_n2beg[2] , \tile_x6y14_n2beg[1] , \tile_x6y14_n2beg[0] }),
.n2begb({ \tile_x6y14_n2begb[7] , \tile_x6y14_n2begb[6] , \tile_x6y14_n2begb[5] , \tile_x6y14_n2begb[4] , \tile_x6y14_n2begb[3] , \tile_x6y14_n2begb[2] , \tile_x6y14_n2begb[1] , \tile_x6y14_n2begb[0] }),
.n2end({ \tile_x6y15_n2begb[7] , \tile_x6y15_n2begb[6] , \tile_x6y15_n2begb[5] , \tile_x6y15_n2begb[4] , \tile_x6y15_n2begb[3] , \tile_x6y15_n2begb[2] , \tile_x6y15_n2begb[1] , \tile_x6y15_n2begb[0] }),
.n2mid({ \tile_x6y15_n2beg[7] , \tile_x6y15_n2beg[6] , \tile_x6y15_n2beg[5] , \tile_x6y15_n2beg[4] , \tile_x6y15_n2beg[3] , \tile_x6y15_n2beg[2] , \tile_x6y15_n2beg[1] , \tile_x6y15_n2beg[0] }),
.n4beg({ \tile_x6y14_n4beg[15] , \tile_x6y14_n4beg[14] , \tile_x6y14_n4beg[13] , \tile_x6y14_n4beg[12] , \tile_x6y14_n4beg[11] , \tile_x6y14_n4beg[10] , \tile_x6y14_n4beg[9] , \tile_x6y14_n4beg[8] , \tile_x6y14_n4beg[7] , \tile_x6y14_n4beg[6] , \tile_x6y14_n4beg[5] , \tile_x6y14_n4beg[4] , \tile_x6y14_n4beg[3] , \tile_x6y14_n4beg[2] , \tile_x6y14_n4beg[1] , \tile_x6y14_n4beg[0] }),
.n4end({ \tile_x6y15_n4beg[15] , \tile_x6y15_n4beg[14] , \tile_x6y15_n4beg[13] , \tile_x6y15_n4beg[12] , \tile_x6y15_n4beg[11] , \tile_x6y15_n4beg[10] , \tile_x6y15_n4beg[9] , \tile_x6y15_n4beg[8] , \tile_x6y15_n4beg[7] , \tile_x6y15_n4beg[6] , \tile_x6y15_n4beg[5] , \tile_x6y15_n4beg[4] , \tile_x6y15_n4beg[3] , \tile_x6y15_n4beg[2] , \tile_x6y15_n4beg[1] , \tile_x6y15_n4beg[0] }),
.nn4beg({ \tile_x6y14_nn4beg[15] , \tile_x6y14_nn4beg[14] , \tile_x6y14_nn4beg[13] , \tile_x6y14_nn4beg[12] , \tile_x6y14_nn4beg[11] , \tile_x6y14_nn4beg[10] , \tile_x6y14_nn4beg[9] , \tile_x6y14_nn4beg[8] , \tile_x6y14_nn4beg[7] , \tile_x6y14_nn4beg[6] , \tile_x6y14_nn4beg[5] , \tile_x6y14_nn4beg[4] , \tile_x6y14_nn4beg[3] , \tile_x6y14_nn4beg[2] , \tile_x6y14_nn4beg[1] , \tile_x6y14_nn4beg[0] }),
.nn4end({ \tile_x6y15_nn4beg[15] , \tile_x6y15_nn4beg[14] , \tile_x6y15_nn4beg[13] , \tile_x6y15_nn4beg[12] , \tile_x6y15_nn4beg[11] , \tile_x6y15_nn4beg[10] , \tile_x6y15_nn4beg[9] , \tile_x6y15_nn4beg[8] , \tile_x6y15_nn4beg[7] , \tile_x6y15_nn4beg[6] , \tile_x6y15_nn4beg[5] , \tile_x6y15_nn4beg[4] , \tile_x6y15_nn4beg[3] , \tile_x6y15_nn4beg[2] , \tile_x6y15_nn4beg[1] , \tile_x6y15_nn4beg[0] }),
.s1beg({ \tile_x6y14_s1beg[3] , \tile_x6y14_s1beg[2] , \tile_x6y14_s1beg[1] , \tile_x6y14_s1beg[0] }),
.s1end({ \tile_x6y13_s1beg[3] , \tile_x6y13_s1beg[2] , \tile_x6y13_s1beg[1] , \tile_x6y13_s1beg[0] }),
.s2beg({ \tile_x6y14_s2beg[7] , \tile_x6y14_s2beg[6] , \tile_x6y14_s2beg[5] , \tile_x6y14_s2beg[4] , \tile_x6y14_s2beg[3] , \tile_x6y14_s2beg[2] , \tile_x6y14_s2beg[1] , \tile_x6y14_s2beg[0] }),
.s2begb({ \tile_x6y14_s2begb[7] , \tile_x6y14_s2begb[6] , \tile_x6y14_s2begb[5] , \tile_x6y14_s2begb[4] , \tile_x6y14_s2begb[3] , \tile_x6y14_s2begb[2] , \tile_x6y14_s2begb[1] , \tile_x6y14_s2begb[0] }),
.s2end({ \tile_x6y13_s2begb[7] , \tile_x6y13_s2begb[6] , \tile_x6y13_s2begb[5] , \tile_x6y13_s2begb[4] , \tile_x6y13_s2begb[3] , \tile_x6y13_s2begb[2] , \tile_x6y13_s2begb[1] , \tile_x6y13_s2begb[0] }),
.s2mid({ \tile_x6y13_s2beg[7] , \tile_x6y13_s2beg[6] , \tile_x6y13_s2beg[5] , \tile_x6y13_s2beg[4] , \tile_x6y13_s2beg[3] , \tile_x6y13_s2beg[2] , \tile_x6y13_s2beg[1] , \tile_x6y13_s2beg[0] }),
.s4beg({ \tile_x6y14_s4beg[15] , \tile_x6y14_s4beg[14] , \tile_x6y14_s4beg[13] , \tile_x6y14_s4beg[12] , \tile_x6y14_s4beg[11] , \tile_x6y14_s4beg[10] , \tile_x6y14_s4beg[9] , \tile_x6y14_s4beg[8] , \tile_x6y14_s4beg[7] , \tile_x6y14_s4beg[6] , \tile_x6y14_s4beg[5] , \tile_x6y14_s4beg[4] , \tile_x6y14_s4beg[3] , \tile_x6y14_s4beg[2] , \tile_x6y14_s4beg[1] , \tile_x6y14_s4beg[0] }),
.s4end({ \tile_x6y13_s4beg[15] , \tile_x6y13_s4beg[14] , \tile_x6y13_s4beg[13] , \tile_x6y13_s4beg[12] , \tile_x6y13_s4beg[11] , \tile_x6y13_s4beg[10] , \tile_x6y13_s4beg[9] , \tile_x6y13_s4beg[8] , \tile_x6y13_s4beg[7] , \tile_x6y13_s4beg[6] , \tile_x6y13_s4beg[5] , \tile_x6y13_s4beg[4] , \tile_x6y13_s4beg[3] , \tile_x6y13_s4beg[2] , \tile_x6y13_s4beg[1] , \tile_x6y13_s4beg[0] }),
.ss4beg({ \tile_x6y14_ss4beg[15] , \tile_x6y14_ss4beg[14] , \tile_x6y14_ss4beg[13] , \tile_x6y14_ss4beg[12] , \tile_x6y14_ss4beg[11] , \tile_x6y14_ss4beg[10] , \tile_x6y14_ss4beg[9] , \tile_x6y14_ss4beg[8] , \tile_x6y14_ss4beg[7] , \tile_x6y14_ss4beg[6] , \tile_x6y14_ss4beg[5] , \tile_x6y14_ss4beg[4] , \tile_x6y14_ss4beg[3] , \tile_x6y14_ss4beg[2] , \tile_x6y14_ss4beg[1] , \tile_x6y14_ss4beg[0] }),
.ss4end({ \tile_x6y13_ss4beg[15] , \tile_x6y13_ss4beg[14] , \tile_x6y13_ss4beg[13] , \tile_x6y13_ss4beg[12] , \tile_x6y13_ss4beg[11] , \tile_x6y13_ss4beg[10] , \tile_x6y13_ss4beg[9] , \tile_x6y13_ss4beg[8] , \tile_x6y13_ss4beg[7] , \tile_x6y13_ss4beg[6] , \tile_x6y13_ss4beg[5] , \tile_x6y13_ss4beg[4] , \tile_x6y13_ss4beg[3] , \tile_x6y13_ss4beg[2] , \tile_x6y13_ss4beg[1] , \tile_x6y13_ss4beg[0] }),
.userclk(tile_x6y15_userclko),
.userclko(tile_x6y14_userclko),
.w1beg({ \tile_x6y14_w1beg[3] , \tile_x6y14_w1beg[2] , \tile_x6y14_w1beg[1] , \tile_x6y14_w1beg[0] }),
.w1end({ \tile_x7y14_w1beg[3] , \tile_x7y14_w1beg[2] , \tile_x7y14_w1beg[1] , \tile_x7y14_w1beg[0] }),
.w2beg({ \tile_x6y14_w2beg[7] , \tile_x6y14_w2beg[6] , \tile_x6y14_w2beg[5] , \tile_x6y14_w2beg[4] , \tile_x6y14_w2beg[3] , \tile_x6y14_w2beg[2] , \tile_x6y14_w2beg[1] , \tile_x6y14_w2beg[0] }),
.w2begb({ \tile_x6y14_w2begb[7] , \tile_x6y14_w2begb[6] , \tile_x6y14_w2begb[5] , \tile_x6y14_w2begb[4] , \tile_x6y14_w2begb[3] , \tile_x6y14_w2begb[2] , \tile_x6y14_w2begb[1] , \tile_x6y14_w2begb[0] }),
.w2end({ \tile_x7y14_w2begb[7] , \tile_x7y14_w2begb[6] , \tile_x7y14_w2begb[5] , \tile_x7y14_w2begb[4] , \tile_x7y14_w2begb[3] , \tile_x7y14_w2begb[2] , \tile_x7y14_w2begb[1] , \tile_x7y14_w2begb[0] }),
.w2mid({ \tile_x7y14_w2beg[7] , \tile_x7y14_w2beg[6] , \tile_x7y14_w2beg[5] , \tile_x7y14_w2beg[4] , \tile_x7y14_w2beg[3] , \tile_x7y14_w2beg[2] , \tile_x7y14_w2beg[1] , \tile_x7y14_w2beg[0] }),
.w6beg({ \tile_x6y14_w6beg[11] , \tile_x6y14_w6beg[10] , \tile_x6y14_w6beg[9] , \tile_x6y14_w6beg[8] , \tile_x6y14_w6beg[7] , \tile_x6y14_w6beg[6] , \tile_x6y14_w6beg[5] , \tile_x6y14_w6beg[4] , \tile_x6y14_w6beg[3] , \tile_x6y14_w6beg[2] , \tile_x6y14_w6beg[1] , \tile_x6y14_w6beg[0] }),
.w6end({ \tile_x7y14_w6beg[11] , \tile_x7y14_w6beg[10] , \tile_x7y14_w6beg[9] , \tile_x7y14_w6beg[8] , \tile_x7y14_w6beg[7] , \tile_x7y14_w6beg[6] , \tile_x7y14_w6beg[5] , \tile_x7y14_w6beg[4] , \tile_x7y14_w6beg[3] , \tile_x7y14_w6beg[2] , \tile_x7y14_w6beg[1] , \tile_x7y14_w6beg[0] }),
.ww4beg({ \tile_x6y14_ww4beg[15] , \tile_x6y14_ww4beg[14] , \tile_x6y14_ww4beg[13] , \tile_x6y14_ww4beg[12] , \tile_x6y14_ww4beg[11] , \tile_x6y14_ww4beg[10] , \tile_x6y14_ww4beg[9] , \tile_x6y14_ww4beg[8] , \tile_x6y14_ww4beg[7] , \tile_x6y14_ww4beg[6] , \tile_x6y14_ww4beg[5] , \tile_x6y14_ww4beg[4] , \tile_x6y14_ww4beg[3] , \tile_x6y14_ww4beg[2] , \tile_x6y14_ww4beg[1] , \tile_x6y14_ww4beg[0] }),
.ww4end({ \tile_x7y14_ww4beg[15] , \tile_x7y14_ww4beg[14] , \tile_x7y14_ww4beg[13] , \tile_x7y14_ww4beg[12] , \tile_x7y14_ww4beg[11] , \tile_x7y14_ww4beg[10] , \tile_x7y14_ww4beg[9] , \tile_x7y14_ww4beg[8] , \tile_x7y14_ww4beg[7] , \tile_x7y14_ww4beg[6] , \tile_x7y14_ww4beg[5] , \tile_x7y14_ww4beg[4] , \tile_x7y14_ww4beg[3] , \tile_x7y14_ww4beg[2] , \tile_x7y14_ww4beg[1] , \tile_x7y14_ww4beg[0] })
);
s_term_single tile_x6y15_s_term_single (
.co(tile_x6y15_co),
.framestrobe(framestrobe[139:120]),
.framestrobe_o({ \tile_x6y15_framestrobe_o[19] , \tile_x6y15_framestrobe_o[18] , \tile_x6y15_framestrobe_o[17] , \tile_x6y15_framestrobe_o[16] , \tile_x6y15_framestrobe_o[15] , \tile_x6y15_framestrobe_o[14] , \tile_x6y15_framestrobe_o[13] , \tile_x6y15_framestrobe_o[12] , \tile_x6y15_framestrobe_o[11] , \tile_x6y15_framestrobe_o[10] , \tile_x6y15_framestrobe_o[9] , \tile_x6y15_framestrobe_o[8] , \tile_x6y15_framestrobe_o[7] , \tile_x6y15_framestrobe_o[6] , \tile_x6y15_framestrobe_o[5] , \tile_x6y15_framestrobe_o[4] , \tile_x6y15_framestrobe_o[3] , \tile_x6y15_framestrobe_o[2] , \tile_x6y15_framestrobe_o[1] , \tile_x6y15_framestrobe_o[0] }),
.n1beg({ \tile_x6y15_n1beg[3] , \tile_x6y15_n1beg[2] , \tile_x6y15_n1beg[1] , \tile_x6y15_n1beg[0] }),
.n2beg({ \tile_x6y15_n2beg[7] , \tile_x6y15_n2beg[6] , \tile_x6y15_n2beg[5] , \tile_x6y15_n2beg[4] , \tile_x6y15_n2beg[3] , \tile_x6y15_n2beg[2] , \tile_x6y15_n2beg[1] , \tile_x6y15_n2beg[0] }),
.n2begb({ \tile_x6y15_n2begb[7] , \tile_x6y15_n2begb[6] , \tile_x6y15_n2begb[5] , \tile_x6y15_n2begb[4] , \tile_x6y15_n2begb[3] , \tile_x6y15_n2begb[2] , \tile_x6y15_n2begb[1] , \tile_x6y15_n2begb[0] }),
.n4beg({ \tile_x6y15_n4beg[15] , \tile_x6y15_n4beg[14] , \tile_x6y15_n4beg[13] , \tile_x6y15_n4beg[12] , \tile_x6y15_n4beg[11] , \tile_x6y15_n4beg[10] , \tile_x6y15_n4beg[9] , \tile_x6y15_n4beg[8] , \tile_x6y15_n4beg[7] , \tile_x6y15_n4beg[6] , \tile_x6y15_n4beg[5] , \tile_x6y15_n4beg[4] , \tile_x6y15_n4beg[3] , \tile_x6y15_n4beg[2] , \tile_x6y15_n4beg[1] , \tile_x6y15_n4beg[0] }),
.nn4beg({ \tile_x6y15_nn4beg[15] , \tile_x6y15_nn4beg[14] , \tile_x6y15_nn4beg[13] , \tile_x6y15_nn4beg[12] , \tile_x6y15_nn4beg[11] , \tile_x6y15_nn4beg[10] , \tile_x6y15_nn4beg[9] , \tile_x6y15_nn4beg[8] , \tile_x6y15_nn4beg[7] , \tile_x6y15_nn4beg[6] , \tile_x6y15_nn4beg[5] , \tile_x6y15_nn4beg[4] , \tile_x6y15_nn4beg[3] , \tile_x6y15_nn4beg[2] , \tile_x6y15_nn4beg[1] , \tile_x6y15_nn4beg[0] }),
.s1end({ \tile_x6y14_s1beg[3] , \tile_x6y14_s1beg[2] , \tile_x6y14_s1beg[1] , \tile_x6y14_s1beg[0] }),
.s2end({ \tile_x6y14_s2begb[7] , \tile_x6y14_s2begb[6] , \tile_x6y14_s2begb[5] , \tile_x6y14_s2begb[4] , \tile_x6y14_s2begb[3] , \tile_x6y14_s2begb[2] , \tile_x6y14_s2begb[1] , \tile_x6y14_s2begb[0] }),
.s2mid({ \tile_x6y14_s2beg[7] , \tile_x6y14_s2beg[6] , \tile_x6y14_s2beg[5] , \tile_x6y14_s2beg[4] , \tile_x6y14_s2beg[3] , \tile_x6y14_s2beg[2] , \tile_x6y14_s2beg[1] , \tile_x6y14_s2beg[0] }),
.s4end({ \tile_x6y14_s4beg[15] , \tile_x6y14_s4beg[14] , \tile_x6y14_s4beg[13] , \tile_x6y14_s4beg[12] , \tile_x6y14_s4beg[11] , \tile_x6y14_s4beg[10] , \tile_x6y14_s4beg[9] , \tile_x6y14_s4beg[8] , \tile_x6y14_s4beg[7] , \tile_x6y14_s4beg[6] , \tile_x6y14_s4beg[5] , \tile_x6y14_s4beg[4] , \tile_x6y14_s4beg[3] , \tile_x6y14_s4beg[2] , \tile_x6y14_s4beg[1] , \tile_x6y14_s4beg[0] }),
.ss4end({ \tile_x6y14_ss4beg[15] , \tile_x6y14_ss4beg[14] , \tile_x6y14_ss4beg[13] , \tile_x6y14_ss4beg[12] , \tile_x6y14_ss4beg[11] , \tile_x6y14_ss4beg[10] , \tile_x6y14_ss4beg[9] , \tile_x6y14_ss4beg[8] , \tile_x6y14_ss4beg[7] , \tile_x6y14_ss4beg[6] , \tile_x6y14_ss4beg[5] , \tile_x6y14_ss4beg[4] , \tile_x6y14_ss4beg[3] , \tile_x6y14_ss4beg[2] , \tile_x6y14_ss4beg[1] , \tile_x6y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x6y15_userclko)
);
lut4ab tile_x6y1_lut4ab (
.ci(tile_x6y2_co),
.co(tile_x6y1_co),
.e1beg({ \tile_x6y1_e1beg[3] , \tile_x6y1_e1beg[2] , \tile_x6y1_e1beg[1] , \tile_x6y1_e1beg[0] }),
.e1end({ \tile_x5y1_e1beg[3] , \tile_x5y1_e1beg[2] , \tile_x5y1_e1beg[1] , \tile_x5y1_e1beg[0] }),
.e2beg({ \tile_x6y1_e2beg[7] , \tile_x6y1_e2beg[6] , \tile_x6y1_e2beg[5] , \tile_x6y1_e2beg[4] , \tile_x6y1_e2beg[3] , \tile_x6y1_e2beg[2] , \tile_x6y1_e2beg[1] , \tile_x6y1_e2beg[0] }),
.e2begb({ \tile_x6y1_e2begb[7] , \tile_x6y1_e2begb[6] , \tile_x6y1_e2begb[5] , \tile_x6y1_e2begb[4] , \tile_x6y1_e2begb[3] , \tile_x6y1_e2begb[2] , \tile_x6y1_e2begb[1] , \tile_x6y1_e2begb[0] }),
.e2end({ \tile_x5y1_e2begb[7] , \tile_x5y1_e2begb[6] , \tile_x5y1_e2begb[5] , \tile_x5y1_e2begb[4] , \tile_x5y1_e2begb[3] , \tile_x5y1_e2begb[2] , \tile_x5y1_e2begb[1] , \tile_x5y1_e2begb[0] }),
.e2mid({ \tile_x5y1_e2beg[7] , \tile_x5y1_e2beg[6] , \tile_x5y1_e2beg[5] , \tile_x5y1_e2beg[4] , \tile_x5y1_e2beg[3] , \tile_x5y1_e2beg[2] , \tile_x5y1_e2beg[1] , \tile_x5y1_e2beg[0] }),
.e6beg({ \tile_x6y1_e6beg[11] , \tile_x6y1_e6beg[10] , \tile_x6y1_e6beg[9] , \tile_x6y1_e6beg[8] , \tile_x6y1_e6beg[7] , \tile_x6y1_e6beg[6] , \tile_x6y1_e6beg[5] , \tile_x6y1_e6beg[4] , \tile_x6y1_e6beg[3] , \tile_x6y1_e6beg[2] , \tile_x6y1_e6beg[1] , \tile_x6y1_e6beg[0] }),
.e6end({ \tile_x5y1_e6beg[11] , \tile_x5y1_e6beg[10] , \tile_x5y1_e6beg[9] , \tile_x5y1_e6beg[8] , \tile_x5y1_e6beg[7] , \tile_x5y1_e6beg[6] , \tile_x5y1_e6beg[5] , \tile_x5y1_e6beg[4] , \tile_x5y1_e6beg[3] , \tile_x5y1_e6beg[2] , \tile_x5y1_e6beg[1] , \tile_x5y1_e6beg[0] }),
.ee4beg({ \tile_x6y1_ee4beg[15] , \tile_x6y1_ee4beg[14] , \tile_x6y1_ee4beg[13] , \tile_x6y1_ee4beg[12] , \tile_x6y1_ee4beg[11] , \tile_x6y1_ee4beg[10] , \tile_x6y1_ee4beg[9] , \tile_x6y1_ee4beg[8] , \tile_x6y1_ee4beg[7] , \tile_x6y1_ee4beg[6] , \tile_x6y1_ee4beg[5] , \tile_x6y1_ee4beg[4] , \tile_x6y1_ee4beg[3] , \tile_x6y1_ee4beg[2] , \tile_x6y1_ee4beg[1] , \tile_x6y1_ee4beg[0] }),
.ee4end({ \tile_x5y1_ee4beg[15] , \tile_x5y1_ee4beg[14] , \tile_x5y1_ee4beg[13] , \tile_x5y1_ee4beg[12] , \tile_x5y1_ee4beg[11] , \tile_x5y1_ee4beg[10] , \tile_x5y1_ee4beg[9] , \tile_x5y1_ee4beg[8] , \tile_x5y1_ee4beg[7] , \tile_x5y1_ee4beg[6] , \tile_x5y1_ee4beg[5] , \tile_x5y1_ee4beg[4] , \tile_x5y1_ee4beg[3] , \tile_x5y1_ee4beg[2] , \tile_x5y1_ee4beg[1] , \tile_x5y1_ee4beg[0] }),
.framedata({ \tile_x5y1_framedata_o[31] , \tile_x5y1_framedata_o[30] , \tile_x5y1_framedata_o[29] , \tile_x5y1_framedata_o[28] , \tile_x5y1_framedata_o[27] , \tile_x5y1_framedata_o[26] , \tile_x5y1_framedata_o[25] , \tile_x5y1_framedata_o[24] , \tile_x5y1_framedata_o[23] , \tile_x5y1_framedata_o[22] , \tile_x5y1_framedata_o[21] , \tile_x5y1_framedata_o[20] , \tile_x5y1_framedata_o[19] , \tile_x5y1_framedata_o[18] , \tile_x5y1_framedata_o[17] , \tile_x5y1_framedata_o[16] , \tile_x5y1_framedata_o[15] , \tile_x5y1_framedata_o[14] , \tile_x5y1_framedata_o[13] , \tile_x5y1_framedata_o[12] , \tile_x5y1_framedata_o[11] , \tile_x5y1_framedata_o[10] , \tile_x5y1_framedata_o[9] , \tile_x5y1_framedata_o[8] , \tile_x5y1_framedata_o[7] , \tile_x5y1_framedata_o[6] , \tile_x5y1_framedata_o[5] , \tile_x5y1_framedata_o[4] , \tile_x5y1_framedata_o[3] , \tile_x5y1_framedata_o[2] , \tile_x5y1_framedata_o[1] , \tile_x5y1_framedata_o[0] }),
.framedata_o({ \tile_x6y1_framedata_o[31] , \tile_x6y1_framedata_o[30] , \tile_x6y1_framedata_o[29] , \tile_x6y1_framedata_o[28] , \tile_x6y1_framedata_o[27] , \tile_x6y1_framedata_o[26] , \tile_x6y1_framedata_o[25] , \tile_x6y1_framedata_o[24] , \tile_x6y1_framedata_o[23] , \tile_x6y1_framedata_o[22] , \tile_x6y1_framedata_o[21] , \tile_x6y1_framedata_o[20] , \tile_x6y1_framedata_o[19] , \tile_x6y1_framedata_o[18] , \tile_x6y1_framedata_o[17] , \tile_x6y1_framedata_o[16] , \tile_x6y1_framedata_o[15] , \tile_x6y1_framedata_o[14] , \tile_x6y1_framedata_o[13] , \tile_x6y1_framedata_o[12] , \tile_x6y1_framedata_o[11] , \tile_x6y1_framedata_o[10] , \tile_x6y1_framedata_o[9] , \tile_x6y1_framedata_o[8] , \tile_x6y1_framedata_o[7] , \tile_x6y1_framedata_o[6] , \tile_x6y1_framedata_o[5] , \tile_x6y1_framedata_o[4] , \tile_x6y1_framedata_o[3] , \tile_x6y1_framedata_o[2] , \tile_x6y1_framedata_o[1] , \tile_x6y1_framedata_o[0] }),
.framestrobe({ \tile_x6y2_framestrobe_o[19] , \tile_x6y2_framestrobe_o[18] , \tile_x6y2_framestrobe_o[17] , \tile_x6y2_framestrobe_o[16] , \tile_x6y2_framestrobe_o[15] , \tile_x6y2_framestrobe_o[14] , \tile_x6y2_framestrobe_o[13] , \tile_x6y2_framestrobe_o[12] , \tile_x6y2_framestrobe_o[11] , \tile_x6y2_framestrobe_o[10] , \tile_x6y2_framestrobe_o[9] , \tile_x6y2_framestrobe_o[8] , \tile_x6y2_framestrobe_o[7] , \tile_x6y2_framestrobe_o[6] , \tile_x6y2_framestrobe_o[5] , \tile_x6y2_framestrobe_o[4] , \tile_x6y2_framestrobe_o[3] , \tile_x6y2_framestrobe_o[2] , \tile_x6y2_framestrobe_o[1] , \tile_x6y2_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y1_framestrobe_o[19] , \tile_x6y1_framestrobe_o[18] , \tile_x6y1_framestrobe_o[17] , \tile_x6y1_framestrobe_o[16] , \tile_x6y1_framestrobe_o[15] , \tile_x6y1_framestrobe_o[14] , \tile_x6y1_framestrobe_o[13] , \tile_x6y1_framestrobe_o[12] , \tile_x6y1_framestrobe_o[11] , \tile_x6y1_framestrobe_o[10] , \tile_x6y1_framestrobe_o[9] , \tile_x6y1_framestrobe_o[8] , \tile_x6y1_framestrobe_o[7] , \tile_x6y1_framestrobe_o[6] , \tile_x6y1_framestrobe_o[5] , \tile_x6y1_framestrobe_o[4] , \tile_x6y1_framestrobe_o[3] , \tile_x6y1_framestrobe_o[2] , \tile_x6y1_framestrobe_o[1] , \tile_x6y1_framestrobe_o[0] }),
.n1beg({ \tile_x6y1_n1beg[3] , \tile_x6y1_n1beg[2] , \tile_x6y1_n1beg[1] , \tile_x6y1_n1beg[0] }),
.n1end({ \tile_x6y2_n1beg[3] , \tile_x6y2_n1beg[2] , \tile_x6y2_n1beg[1] , \tile_x6y2_n1beg[0] }),
.n2beg({ \tile_x6y1_n2beg[7] , \tile_x6y1_n2beg[6] , \tile_x6y1_n2beg[5] , \tile_x6y1_n2beg[4] , \tile_x6y1_n2beg[3] , \tile_x6y1_n2beg[2] , \tile_x6y1_n2beg[1] , \tile_x6y1_n2beg[0] }),
.n2begb({ \tile_x6y1_n2begb[7] , \tile_x6y1_n2begb[6] , \tile_x6y1_n2begb[5] , \tile_x6y1_n2begb[4] , \tile_x6y1_n2begb[3] , \tile_x6y1_n2begb[2] , \tile_x6y1_n2begb[1] , \tile_x6y1_n2begb[0] }),
.n2end({ \tile_x6y2_n2begb[7] , \tile_x6y2_n2begb[6] , \tile_x6y2_n2begb[5] , \tile_x6y2_n2begb[4] , \tile_x6y2_n2begb[3] , \tile_x6y2_n2begb[2] , \tile_x6y2_n2begb[1] , \tile_x6y2_n2begb[0] }),
.n2mid({ \tile_x6y2_n2beg[7] , \tile_x6y2_n2beg[6] , \tile_x6y2_n2beg[5] , \tile_x6y2_n2beg[4] , \tile_x6y2_n2beg[3] , \tile_x6y2_n2beg[2] , \tile_x6y2_n2beg[1] , \tile_x6y2_n2beg[0] }),
.n4beg({ \tile_x6y1_n4beg[15] , \tile_x6y1_n4beg[14] , \tile_x6y1_n4beg[13] , \tile_x6y1_n4beg[12] , \tile_x6y1_n4beg[11] , \tile_x6y1_n4beg[10] , \tile_x6y1_n4beg[9] , \tile_x6y1_n4beg[8] , \tile_x6y1_n4beg[7] , \tile_x6y1_n4beg[6] , \tile_x6y1_n4beg[5] , \tile_x6y1_n4beg[4] , \tile_x6y1_n4beg[3] , \tile_x6y1_n4beg[2] , \tile_x6y1_n4beg[1] , \tile_x6y1_n4beg[0] }),
.n4end({ \tile_x6y2_n4beg[15] , \tile_x6y2_n4beg[14] , \tile_x6y2_n4beg[13] , \tile_x6y2_n4beg[12] , \tile_x6y2_n4beg[11] , \tile_x6y2_n4beg[10] , \tile_x6y2_n4beg[9] , \tile_x6y2_n4beg[8] , \tile_x6y2_n4beg[7] , \tile_x6y2_n4beg[6] , \tile_x6y2_n4beg[5] , \tile_x6y2_n4beg[4] , \tile_x6y2_n4beg[3] , \tile_x6y2_n4beg[2] , \tile_x6y2_n4beg[1] , \tile_x6y2_n4beg[0] }),
.nn4beg({ \tile_x6y1_nn4beg[15] , \tile_x6y1_nn4beg[14] , \tile_x6y1_nn4beg[13] , \tile_x6y1_nn4beg[12] , \tile_x6y1_nn4beg[11] , \tile_x6y1_nn4beg[10] , \tile_x6y1_nn4beg[9] , \tile_x6y1_nn4beg[8] , \tile_x6y1_nn4beg[7] , \tile_x6y1_nn4beg[6] , \tile_x6y1_nn4beg[5] , \tile_x6y1_nn4beg[4] , \tile_x6y1_nn4beg[3] , \tile_x6y1_nn4beg[2] , \tile_x6y1_nn4beg[1] , \tile_x6y1_nn4beg[0] }),
.nn4end({ \tile_x6y2_nn4beg[15] , \tile_x6y2_nn4beg[14] , \tile_x6y2_nn4beg[13] , \tile_x6y2_nn4beg[12] , \tile_x6y2_nn4beg[11] , \tile_x6y2_nn4beg[10] , \tile_x6y2_nn4beg[9] , \tile_x6y2_nn4beg[8] , \tile_x6y2_nn4beg[7] , \tile_x6y2_nn4beg[6] , \tile_x6y2_nn4beg[5] , \tile_x6y2_nn4beg[4] , \tile_x6y2_nn4beg[3] , \tile_x6y2_nn4beg[2] , \tile_x6y2_nn4beg[1] , \tile_x6y2_nn4beg[0] }),
.s1beg({ \tile_x6y1_s1beg[3] , \tile_x6y1_s1beg[2] , \tile_x6y1_s1beg[1] , \tile_x6y1_s1beg[0] }),
.s1end({ \tile_x6y0_s1beg[3] , \tile_x6y0_s1beg[2] , \tile_x6y0_s1beg[1] , \tile_x6y0_s1beg[0] }),
.s2beg({ \tile_x6y1_s2beg[7] , \tile_x6y1_s2beg[6] , \tile_x6y1_s2beg[5] , \tile_x6y1_s2beg[4] , \tile_x6y1_s2beg[3] , \tile_x6y1_s2beg[2] , \tile_x6y1_s2beg[1] , \tile_x6y1_s2beg[0] }),
.s2begb({ \tile_x6y1_s2begb[7] , \tile_x6y1_s2begb[6] , \tile_x6y1_s2begb[5] , \tile_x6y1_s2begb[4] , \tile_x6y1_s2begb[3] , \tile_x6y1_s2begb[2] , \tile_x6y1_s2begb[1] , \tile_x6y1_s2begb[0] }),
.s2end({ \tile_x6y0_s2begb[7] , \tile_x6y0_s2begb[6] , \tile_x6y0_s2begb[5] , \tile_x6y0_s2begb[4] , \tile_x6y0_s2begb[3] , \tile_x6y0_s2begb[2] , \tile_x6y0_s2begb[1] , \tile_x6y0_s2begb[0] }),
.s2mid({ \tile_x6y0_s2beg[7] , \tile_x6y0_s2beg[6] , \tile_x6y0_s2beg[5] , \tile_x6y0_s2beg[4] , \tile_x6y0_s2beg[3] , \tile_x6y0_s2beg[2] , \tile_x6y0_s2beg[1] , \tile_x6y0_s2beg[0] }),
.s4beg({ \tile_x6y1_s4beg[15] , \tile_x6y1_s4beg[14] , \tile_x6y1_s4beg[13] , \tile_x6y1_s4beg[12] , \tile_x6y1_s4beg[11] , \tile_x6y1_s4beg[10] , \tile_x6y1_s4beg[9] , \tile_x6y1_s4beg[8] , \tile_x6y1_s4beg[7] , \tile_x6y1_s4beg[6] , \tile_x6y1_s4beg[5] , \tile_x6y1_s4beg[4] , \tile_x6y1_s4beg[3] , \tile_x6y1_s4beg[2] , \tile_x6y1_s4beg[1] , \tile_x6y1_s4beg[0] }),
.s4end({ \tile_x6y0_s4beg[15] , \tile_x6y0_s4beg[14] , \tile_x6y0_s4beg[13] , \tile_x6y0_s4beg[12] , \tile_x6y0_s4beg[11] , \tile_x6y0_s4beg[10] , \tile_x6y0_s4beg[9] , \tile_x6y0_s4beg[8] , \tile_x6y0_s4beg[7] , \tile_x6y0_s4beg[6] , \tile_x6y0_s4beg[5] , \tile_x6y0_s4beg[4] , \tile_x6y0_s4beg[3] , \tile_x6y0_s4beg[2] , \tile_x6y0_s4beg[1] , \tile_x6y0_s4beg[0] }),
.ss4beg({ \tile_x6y1_ss4beg[15] , \tile_x6y1_ss4beg[14] , \tile_x6y1_ss4beg[13] , \tile_x6y1_ss4beg[12] , \tile_x6y1_ss4beg[11] , \tile_x6y1_ss4beg[10] , \tile_x6y1_ss4beg[9] , \tile_x6y1_ss4beg[8] , \tile_x6y1_ss4beg[7] , \tile_x6y1_ss4beg[6] , \tile_x6y1_ss4beg[5] , \tile_x6y1_ss4beg[4] , \tile_x6y1_ss4beg[3] , \tile_x6y1_ss4beg[2] , \tile_x6y1_ss4beg[1] , \tile_x6y1_ss4beg[0] }),
.ss4end({ \tile_x6y0_ss4beg[15] , \tile_x6y0_ss4beg[14] , \tile_x6y0_ss4beg[13] , \tile_x6y0_ss4beg[12] , \tile_x6y0_ss4beg[11] , \tile_x6y0_ss4beg[10] , \tile_x6y0_ss4beg[9] , \tile_x6y0_ss4beg[8] , \tile_x6y0_ss4beg[7] , \tile_x6y0_ss4beg[6] , \tile_x6y0_ss4beg[5] , \tile_x6y0_ss4beg[4] , \tile_x6y0_ss4beg[3] , \tile_x6y0_ss4beg[2] , \tile_x6y0_ss4beg[1] , \tile_x6y0_ss4beg[0] }),
.userclk(tile_x6y2_userclko),
.userclko(tile_x6y1_userclko),
.w1beg({ \tile_x6y1_w1beg[3] , \tile_x6y1_w1beg[2] , \tile_x6y1_w1beg[1] , \tile_x6y1_w1beg[0] }),
.w1end({ \tile_x7y1_w1beg[3] , \tile_x7y1_w1beg[2] , \tile_x7y1_w1beg[1] , \tile_x7y1_w1beg[0] }),
.w2beg({ \tile_x6y1_w2beg[7] , \tile_x6y1_w2beg[6] , \tile_x6y1_w2beg[5] , \tile_x6y1_w2beg[4] , \tile_x6y1_w2beg[3] , \tile_x6y1_w2beg[2] , \tile_x6y1_w2beg[1] , \tile_x6y1_w2beg[0] }),
.w2begb({ \tile_x6y1_w2begb[7] , \tile_x6y1_w2begb[6] , \tile_x6y1_w2begb[5] , \tile_x6y1_w2begb[4] , \tile_x6y1_w2begb[3] , \tile_x6y1_w2begb[2] , \tile_x6y1_w2begb[1] , \tile_x6y1_w2begb[0] }),
.w2end({ \tile_x7y1_w2begb[7] , \tile_x7y1_w2begb[6] , \tile_x7y1_w2begb[5] , \tile_x7y1_w2begb[4] , \tile_x7y1_w2begb[3] , \tile_x7y1_w2begb[2] , \tile_x7y1_w2begb[1] , \tile_x7y1_w2begb[0] }),
.w2mid({ \tile_x7y1_w2beg[7] , \tile_x7y1_w2beg[6] , \tile_x7y1_w2beg[5] , \tile_x7y1_w2beg[4] , \tile_x7y1_w2beg[3] , \tile_x7y1_w2beg[2] , \tile_x7y1_w2beg[1] , \tile_x7y1_w2beg[0] }),
.w6beg({ \tile_x6y1_w6beg[11] , \tile_x6y1_w6beg[10] , \tile_x6y1_w6beg[9] , \tile_x6y1_w6beg[8] , \tile_x6y1_w6beg[7] , \tile_x6y1_w6beg[6] , \tile_x6y1_w6beg[5] , \tile_x6y1_w6beg[4] , \tile_x6y1_w6beg[3] , \tile_x6y1_w6beg[2] , \tile_x6y1_w6beg[1] , \tile_x6y1_w6beg[0] }),
.w6end({ \tile_x7y1_w6beg[11] , \tile_x7y1_w6beg[10] , \tile_x7y1_w6beg[9] , \tile_x7y1_w6beg[8] , \tile_x7y1_w6beg[7] , \tile_x7y1_w6beg[6] , \tile_x7y1_w6beg[5] , \tile_x7y1_w6beg[4] , \tile_x7y1_w6beg[3] , \tile_x7y1_w6beg[2] , \tile_x7y1_w6beg[1] , \tile_x7y1_w6beg[0] }),
.ww4beg({ \tile_x6y1_ww4beg[15] , \tile_x6y1_ww4beg[14] , \tile_x6y1_ww4beg[13] , \tile_x6y1_ww4beg[12] , \tile_x6y1_ww4beg[11] , \tile_x6y1_ww4beg[10] , \tile_x6y1_ww4beg[9] , \tile_x6y1_ww4beg[8] , \tile_x6y1_ww4beg[7] , \tile_x6y1_ww4beg[6] , \tile_x6y1_ww4beg[5] , \tile_x6y1_ww4beg[4] , \tile_x6y1_ww4beg[3] , \tile_x6y1_ww4beg[2] , \tile_x6y1_ww4beg[1] , \tile_x6y1_ww4beg[0] }),
.ww4end({ \tile_x7y1_ww4beg[15] , \tile_x7y1_ww4beg[14] , \tile_x7y1_ww4beg[13] , \tile_x7y1_ww4beg[12] , \tile_x7y1_ww4beg[11] , \tile_x7y1_ww4beg[10] , \tile_x7y1_ww4beg[9] , \tile_x7y1_ww4beg[8] , \tile_x7y1_ww4beg[7] , \tile_x7y1_ww4beg[6] , \tile_x7y1_ww4beg[5] , \tile_x7y1_ww4beg[4] , \tile_x7y1_ww4beg[3] , \tile_x7y1_ww4beg[2] , \tile_x7y1_ww4beg[1] , \tile_x7y1_ww4beg[0] })
);
lut4ab tile_x6y2_lut4ab (
.ci(tile_x6y3_co),
.co(tile_x6y2_co),
.e1beg({ \tile_x6y2_e1beg[3] , \tile_x6y2_e1beg[2] , \tile_x6y2_e1beg[1] , \tile_x6y2_e1beg[0] }),
.e1end({ \tile_x5y2_e1beg[3] , \tile_x5y2_e1beg[2] , \tile_x5y2_e1beg[1] , \tile_x5y2_e1beg[0] }),
.e2beg({ \tile_x6y2_e2beg[7] , \tile_x6y2_e2beg[6] , \tile_x6y2_e2beg[5] , \tile_x6y2_e2beg[4] , \tile_x6y2_e2beg[3] , \tile_x6y2_e2beg[2] , \tile_x6y2_e2beg[1] , \tile_x6y2_e2beg[0] }),
.e2begb({ \tile_x6y2_e2begb[7] , \tile_x6y2_e2begb[6] , \tile_x6y2_e2begb[5] , \tile_x6y2_e2begb[4] , \tile_x6y2_e2begb[3] , \tile_x6y2_e2begb[2] , \tile_x6y2_e2begb[1] , \tile_x6y2_e2begb[0] }),
.e2end({ \tile_x5y2_e2begb[7] , \tile_x5y2_e2begb[6] , \tile_x5y2_e2begb[5] , \tile_x5y2_e2begb[4] , \tile_x5y2_e2begb[3] , \tile_x5y2_e2begb[2] , \tile_x5y2_e2begb[1] , \tile_x5y2_e2begb[0] }),
.e2mid({ \tile_x5y2_e2beg[7] , \tile_x5y2_e2beg[6] , \tile_x5y2_e2beg[5] , \tile_x5y2_e2beg[4] , \tile_x5y2_e2beg[3] , \tile_x5y2_e2beg[2] , \tile_x5y2_e2beg[1] , \tile_x5y2_e2beg[0] }),
.e6beg({ \tile_x6y2_e6beg[11] , \tile_x6y2_e6beg[10] , \tile_x6y2_e6beg[9] , \tile_x6y2_e6beg[8] , \tile_x6y2_e6beg[7] , \tile_x6y2_e6beg[6] , \tile_x6y2_e6beg[5] , \tile_x6y2_e6beg[4] , \tile_x6y2_e6beg[3] , \tile_x6y2_e6beg[2] , \tile_x6y2_e6beg[1] , \tile_x6y2_e6beg[0] }),
.e6end({ \tile_x5y2_e6beg[11] , \tile_x5y2_e6beg[10] , \tile_x5y2_e6beg[9] , \tile_x5y2_e6beg[8] , \tile_x5y2_e6beg[7] , \tile_x5y2_e6beg[6] , \tile_x5y2_e6beg[5] , \tile_x5y2_e6beg[4] , \tile_x5y2_e6beg[3] , \tile_x5y2_e6beg[2] , \tile_x5y2_e6beg[1] , \tile_x5y2_e6beg[0] }),
.ee4beg({ \tile_x6y2_ee4beg[15] , \tile_x6y2_ee4beg[14] , \tile_x6y2_ee4beg[13] , \tile_x6y2_ee4beg[12] , \tile_x6y2_ee4beg[11] , \tile_x6y2_ee4beg[10] , \tile_x6y2_ee4beg[9] , \tile_x6y2_ee4beg[8] , \tile_x6y2_ee4beg[7] , \tile_x6y2_ee4beg[6] , \tile_x6y2_ee4beg[5] , \tile_x6y2_ee4beg[4] , \tile_x6y2_ee4beg[3] , \tile_x6y2_ee4beg[2] , \tile_x6y2_ee4beg[1] , \tile_x6y2_ee4beg[0] }),
.ee4end({ \tile_x5y2_ee4beg[15] , \tile_x5y2_ee4beg[14] , \tile_x5y2_ee4beg[13] , \tile_x5y2_ee4beg[12] , \tile_x5y2_ee4beg[11] , \tile_x5y2_ee4beg[10] , \tile_x5y2_ee4beg[9] , \tile_x5y2_ee4beg[8] , \tile_x5y2_ee4beg[7] , \tile_x5y2_ee4beg[6] , \tile_x5y2_ee4beg[5] , \tile_x5y2_ee4beg[4] , \tile_x5y2_ee4beg[3] , \tile_x5y2_ee4beg[2] , \tile_x5y2_ee4beg[1] , \tile_x5y2_ee4beg[0] }),
.framedata({ \tile_x5y2_framedata_o[31] , \tile_x5y2_framedata_o[30] , \tile_x5y2_framedata_o[29] , \tile_x5y2_framedata_o[28] , \tile_x5y2_framedata_o[27] , \tile_x5y2_framedata_o[26] , \tile_x5y2_framedata_o[25] , \tile_x5y2_framedata_o[24] , \tile_x5y2_framedata_o[23] , \tile_x5y2_framedata_o[22] , \tile_x5y2_framedata_o[21] , \tile_x5y2_framedata_o[20] , \tile_x5y2_framedata_o[19] , \tile_x5y2_framedata_o[18] , \tile_x5y2_framedata_o[17] , \tile_x5y2_framedata_o[16] , \tile_x5y2_framedata_o[15] , \tile_x5y2_framedata_o[14] , \tile_x5y2_framedata_o[13] , \tile_x5y2_framedata_o[12] , \tile_x5y2_framedata_o[11] , \tile_x5y2_framedata_o[10] , \tile_x5y2_framedata_o[9] , \tile_x5y2_framedata_o[8] , \tile_x5y2_framedata_o[7] , \tile_x5y2_framedata_o[6] , \tile_x5y2_framedata_o[5] , \tile_x5y2_framedata_o[4] , \tile_x5y2_framedata_o[3] , \tile_x5y2_framedata_o[2] , \tile_x5y2_framedata_o[1] , \tile_x5y2_framedata_o[0] }),
.framedata_o({ \tile_x6y2_framedata_o[31] , \tile_x6y2_framedata_o[30] , \tile_x6y2_framedata_o[29] , \tile_x6y2_framedata_o[28] , \tile_x6y2_framedata_o[27] , \tile_x6y2_framedata_o[26] , \tile_x6y2_framedata_o[25] , \tile_x6y2_framedata_o[24] , \tile_x6y2_framedata_o[23] , \tile_x6y2_framedata_o[22] , \tile_x6y2_framedata_o[21] , \tile_x6y2_framedata_o[20] , \tile_x6y2_framedata_o[19] , \tile_x6y2_framedata_o[18] , \tile_x6y2_framedata_o[17] , \tile_x6y2_framedata_o[16] , \tile_x6y2_framedata_o[15] , \tile_x6y2_framedata_o[14] , \tile_x6y2_framedata_o[13] , \tile_x6y2_framedata_o[12] , \tile_x6y2_framedata_o[11] , \tile_x6y2_framedata_o[10] , \tile_x6y2_framedata_o[9] , \tile_x6y2_framedata_o[8] , \tile_x6y2_framedata_o[7] , \tile_x6y2_framedata_o[6] , \tile_x6y2_framedata_o[5] , \tile_x6y2_framedata_o[4] , \tile_x6y2_framedata_o[3] , \tile_x6y2_framedata_o[2] , \tile_x6y2_framedata_o[1] , \tile_x6y2_framedata_o[0] }),
.framestrobe({ \tile_x6y3_framestrobe_o[19] , \tile_x6y3_framestrobe_o[18] , \tile_x6y3_framestrobe_o[17] , \tile_x6y3_framestrobe_o[16] , \tile_x6y3_framestrobe_o[15] , \tile_x6y3_framestrobe_o[14] , \tile_x6y3_framestrobe_o[13] , \tile_x6y3_framestrobe_o[12] , \tile_x6y3_framestrobe_o[11] , \tile_x6y3_framestrobe_o[10] , \tile_x6y3_framestrobe_o[9] , \tile_x6y3_framestrobe_o[8] , \tile_x6y3_framestrobe_o[7] , \tile_x6y3_framestrobe_o[6] , \tile_x6y3_framestrobe_o[5] , \tile_x6y3_framestrobe_o[4] , \tile_x6y3_framestrobe_o[3] , \tile_x6y3_framestrobe_o[2] , \tile_x6y3_framestrobe_o[1] , \tile_x6y3_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y2_framestrobe_o[19] , \tile_x6y2_framestrobe_o[18] , \tile_x6y2_framestrobe_o[17] , \tile_x6y2_framestrobe_o[16] , \tile_x6y2_framestrobe_o[15] , \tile_x6y2_framestrobe_o[14] , \tile_x6y2_framestrobe_o[13] , \tile_x6y2_framestrobe_o[12] , \tile_x6y2_framestrobe_o[11] , \tile_x6y2_framestrobe_o[10] , \tile_x6y2_framestrobe_o[9] , \tile_x6y2_framestrobe_o[8] , \tile_x6y2_framestrobe_o[7] , \tile_x6y2_framestrobe_o[6] , \tile_x6y2_framestrobe_o[5] , \tile_x6y2_framestrobe_o[4] , \tile_x6y2_framestrobe_o[3] , \tile_x6y2_framestrobe_o[2] , \tile_x6y2_framestrobe_o[1] , \tile_x6y2_framestrobe_o[0] }),
.n1beg({ \tile_x6y2_n1beg[3] , \tile_x6y2_n1beg[2] , \tile_x6y2_n1beg[1] , \tile_x6y2_n1beg[0] }),
.n1end({ \tile_x6y3_n1beg[3] , \tile_x6y3_n1beg[2] , \tile_x6y3_n1beg[1] , \tile_x6y3_n1beg[0] }),
.n2beg({ \tile_x6y2_n2beg[7] , \tile_x6y2_n2beg[6] , \tile_x6y2_n2beg[5] , \tile_x6y2_n2beg[4] , \tile_x6y2_n2beg[3] , \tile_x6y2_n2beg[2] , \tile_x6y2_n2beg[1] , \tile_x6y2_n2beg[0] }),
.n2begb({ \tile_x6y2_n2begb[7] , \tile_x6y2_n2begb[6] , \tile_x6y2_n2begb[5] , \tile_x6y2_n2begb[4] , \tile_x6y2_n2begb[3] , \tile_x6y2_n2begb[2] , \tile_x6y2_n2begb[1] , \tile_x6y2_n2begb[0] }),
.n2end({ \tile_x6y3_n2begb[7] , \tile_x6y3_n2begb[6] , \tile_x6y3_n2begb[5] , \tile_x6y3_n2begb[4] , \tile_x6y3_n2begb[3] , \tile_x6y3_n2begb[2] , \tile_x6y3_n2begb[1] , \tile_x6y3_n2begb[0] }),
.n2mid({ \tile_x6y3_n2beg[7] , \tile_x6y3_n2beg[6] , \tile_x6y3_n2beg[5] , \tile_x6y3_n2beg[4] , \tile_x6y3_n2beg[3] , \tile_x6y3_n2beg[2] , \tile_x6y3_n2beg[1] , \tile_x6y3_n2beg[0] }),
.n4beg({ \tile_x6y2_n4beg[15] , \tile_x6y2_n4beg[14] , \tile_x6y2_n4beg[13] , \tile_x6y2_n4beg[12] , \tile_x6y2_n4beg[11] , \tile_x6y2_n4beg[10] , \tile_x6y2_n4beg[9] , \tile_x6y2_n4beg[8] , \tile_x6y2_n4beg[7] , \tile_x6y2_n4beg[6] , \tile_x6y2_n4beg[5] , \tile_x6y2_n4beg[4] , \tile_x6y2_n4beg[3] , \tile_x6y2_n4beg[2] , \tile_x6y2_n4beg[1] , \tile_x6y2_n4beg[0] }),
.n4end({ \tile_x6y3_n4beg[15] , \tile_x6y3_n4beg[14] , \tile_x6y3_n4beg[13] , \tile_x6y3_n4beg[12] , \tile_x6y3_n4beg[11] , \tile_x6y3_n4beg[10] , \tile_x6y3_n4beg[9] , \tile_x6y3_n4beg[8] , \tile_x6y3_n4beg[7] , \tile_x6y3_n4beg[6] , \tile_x6y3_n4beg[5] , \tile_x6y3_n4beg[4] , \tile_x6y3_n4beg[3] , \tile_x6y3_n4beg[2] , \tile_x6y3_n4beg[1] , \tile_x6y3_n4beg[0] }),
.nn4beg({ \tile_x6y2_nn4beg[15] , \tile_x6y2_nn4beg[14] , \tile_x6y2_nn4beg[13] , \tile_x6y2_nn4beg[12] , \tile_x6y2_nn4beg[11] , \tile_x6y2_nn4beg[10] , \tile_x6y2_nn4beg[9] , \tile_x6y2_nn4beg[8] , \tile_x6y2_nn4beg[7] , \tile_x6y2_nn4beg[6] , \tile_x6y2_nn4beg[5] , \tile_x6y2_nn4beg[4] , \tile_x6y2_nn4beg[3] , \tile_x6y2_nn4beg[2] , \tile_x6y2_nn4beg[1] , \tile_x6y2_nn4beg[0] }),
.nn4end({ \tile_x6y3_nn4beg[15] , \tile_x6y3_nn4beg[14] , \tile_x6y3_nn4beg[13] , \tile_x6y3_nn4beg[12] , \tile_x6y3_nn4beg[11] , \tile_x6y3_nn4beg[10] , \tile_x6y3_nn4beg[9] , \tile_x6y3_nn4beg[8] , \tile_x6y3_nn4beg[7] , \tile_x6y3_nn4beg[6] , \tile_x6y3_nn4beg[5] , \tile_x6y3_nn4beg[4] , \tile_x6y3_nn4beg[3] , \tile_x6y3_nn4beg[2] , \tile_x6y3_nn4beg[1] , \tile_x6y3_nn4beg[0] }),
.s1beg({ \tile_x6y2_s1beg[3] , \tile_x6y2_s1beg[2] , \tile_x6y2_s1beg[1] , \tile_x6y2_s1beg[0] }),
.s1end({ \tile_x6y1_s1beg[3] , \tile_x6y1_s1beg[2] , \tile_x6y1_s1beg[1] , \tile_x6y1_s1beg[0] }),
.s2beg({ \tile_x6y2_s2beg[7] , \tile_x6y2_s2beg[6] , \tile_x6y2_s2beg[5] , \tile_x6y2_s2beg[4] , \tile_x6y2_s2beg[3] , \tile_x6y2_s2beg[2] , \tile_x6y2_s2beg[1] , \tile_x6y2_s2beg[0] }),
.s2begb({ \tile_x6y2_s2begb[7] , \tile_x6y2_s2begb[6] , \tile_x6y2_s2begb[5] , \tile_x6y2_s2begb[4] , \tile_x6y2_s2begb[3] , \tile_x6y2_s2begb[2] , \tile_x6y2_s2begb[1] , \tile_x6y2_s2begb[0] }),
.s2end({ \tile_x6y1_s2begb[7] , \tile_x6y1_s2begb[6] , \tile_x6y1_s2begb[5] , \tile_x6y1_s2begb[4] , \tile_x6y1_s2begb[3] , \tile_x6y1_s2begb[2] , \tile_x6y1_s2begb[1] , \tile_x6y1_s2begb[0] }),
.s2mid({ \tile_x6y1_s2beg[7] , \tile_x6y1_s2beg[6] , \tile_x6y1_s2beg[5] , \tile_x6y1_s2beg[4] , \tile_x6y1_s2beg[3] , \tile_x6y1_s2beg[2] , \tile_x6y1_s2beg[1] , \tile_x6y1_s2beg[0] }),
.s4beg({ \tile_x6y2_s4beg[15] , \tile_x6y2_s4beg[14] , \tile_x6y2_s4beg[13] , \tile_x6y2_s4beg[12] , \tile_x6y2_s4beg[11] , \tile_x6y2_s4beg[10] , \tile_x6y2_s4beg[9] , \tile_x6y2_s4beg[8] , \tile_x6y2_s4beg[7] , \tile_x6y2_s4beg[6] , \tile_x6y2_s4beg[5] , \tile_x6y2_s4beg[4] , \tile_x6y2_s4beg[3] , \tile_x6y2_s4beg[2] , \tile_x6y2_s4beg[1] , \tile_x6y2_s4beg[0] }),
.s4end({ \tile_x6y1_s4beg[15] , \tile_x6y1_s4beg[14] , \tile_x6y1_s4beg[13] , \tile_x6y1_s4beg[12] , \tile_x6y1_s4beg[11] , \tile_x6y1_s4beg[10] , \tile_x6y1_s4beg[9] , \tile_x6y1_s4beg[8] , \tile_x6y1_s4beg[7] , \tile_x6y1_s4beg[6] , \tile_x6y1_s4beg[5] , \tile_x6y1_s4beg[4] , \tile_x6y1_s4beg[3] , \tile_x6y1_s4beg[2] , \tile_x6y1_s4beg[1] , \tile_x6y1_s4beg[0] }),
.ss4beg({ \tile_x6y2_ss4beg[15] , \tile_x6y2_ss4beg[14] , \tile_x6y2_ss4beg[13] , \tile_x6y2_ss4beg[12] , \tile_x6y2_ss4beg[11] , \tile_x6y2_ss4beg[10] , \tile_x6y2_ss4beg[9] , \tile_x6y2_ss4beg[8] , \tile_x6y2_ss4beg[7] , \tile_x6y2_ss4beg[6] , \tile_x6y2_ss4beg[5] , \tile_x6y2_ss4beg[4] , \tile_x6y2_ss4beg[3] , \tile_x6y2_ss4beg[2] , \tile_x6y2_ss4beg[1] , \tile_x6y2_ss4beg[0] }),
.ss4end({ \tile_x6y1_ss4beg[15] , \tile_x6y1_ss4beg[14] , \tile_x6y1_ss4beg[13] , \tile_x6y1_ss4beg[12] , \tile_x6y1_ss4beg[11] , \tile_x6y1_ss4beg[10] , \tile_x6y1_ss4beg[9] , \tile_x6y1_ss4beg[8] , \tile_x6y1_ss4beg[7] , \tile_x6y1_ss4beg[6] , \tile_x6y1_ss4beg[5] , \tile_x6y1_ss4beg[4] , \tile_x6y1_ss4beg[3] , \tile_x6y1_ss4beg[2] , \tile_x6y1_ss4beg[1] , \tile_x6y1_ss4beg[0] }),
.userclk(tile_x6y3_userclko),
.userclko(tile_x6y2_userclko),
.w1beg({ \tile_x6y2_w1beg[3] , \tile_x6y2_w1beg[2] , \tile_x6y2_w1beg[1] , \tile_x6y2_w1beg[0] }),
.w1end({ \tile_x7y2_w1beg[3] , \tile_x7y2_w1beg[2] , \tile_x7y2_w1beg[1] , \tile_x7y2_w1beg[0] }),
.w2beg({ \tile_x6y2_w2beg[7] , \tile_x6y2_w2beg[6] , \tile_x6y2_w2beg[5] , \tile_x6y2_w2beg[4] , \tile_x6y2_w2beg[3] , \tile_x6y2_w2beg[2] , \tile_x6y2_w2beg[1] , \tile_x6y2_w2beg[0] }),
.w2begb({ \tile_x6y2_w2begb[7] , \tile_x6y2_w2begb[6] , \tile_x6y2_w2begb[5] , \tile_x6y2_w2begb[4] , \tile_x6y2_w2begb[3] , \tile_x6y2_w2begb[2] , \tile_x6y2_w2begb[1] , \tile_x6y2_w2begb[0] }),
.w2end({ \tile_x7y2_w2begb[7] , \tile_x7y2_w2begb[6] , \tile_x7y2_w2begb[5] , \tile_x7y2_w2begb[4] , \tile_x7y2_w2begb[3] , \tile_x7y2_w2begb[2] , \tile_x7y2_w2begb[1] , \tile_x7y2_w2begb[0] }),
.w2mid({ \tile_x7y2_w2beg[7] , \tile_x7y2_w2beg[6] , \tile_x7y2_w2beg[5] , \tile_x7y2_w2beg[4] , \tile_x7y2_w2beg[3] , \tile_x7y2_w2beg[2] , \tile_x7y2_w2beg[1] , \tile_x7y2_w2beg[0] }),
.w6beg({ \tile_x6y2_w6beg[11] , \tile_x6y2_w6beg[10] , \tile_x6y2_w6beg[9] , \tile_x6y2_w6beg[8] , \tile_x6y2_w6beg[7] , \tile_x6y2_w6beg[6] , \tile_x6y2_w6beg[5] , \tile_x6y2_w6beg[4] , \tile_x6y2_w6beg[3] , \tile_x6y2_w6beg[2] , \tile_x6y2_w6beg[1] , \tile_x6y2_w6beg[0] }),
.w6end({ \tile_x7y2_w6beg[11] , \tile_x7y2_w6beg[10] , \tile_x7y2_w6beg[9] , \tile_x7y2_w6beg[8] , \tile_x7y2_w6beg[7] , \tile_x7y2_w6beg[6] , \tile_x7y2_w6beg[5] , \tile_x7y2_w6beg[4] , \tile_x7y2_w6beg[3] , \tile_x7y2_w6beg[2] , \tile_x7y2_w6beg[1] , \tile_x7y2_w6beg[0] }),
.ww4beg({ \tile_x6y2_ww4beg[15] , \tile_x6y2_ww4beg[14] , \tile_x6y2_ww4beg[13] , \tile_x6y2_ww4beg[12] , \tile_x6y2_ww4beg[11] , \tile_x6y2_ww4beg[10] , \tile_x6y2_ww4beg[9] , \tile_x6y2_ww4beg[8] , \tile_x6y2_ww4beg[7] , \tile_x6y2_ww4beg[6] , \tile_x6y2_ww4beg[5] , \tile_x6y2_ww4beg[4] , \tile_x6y2_ww4beg[3] , \tile_x6y2_ww4beg[2] , \tile_x6y2_ww4beg[1] , \tile_x6y2_ww4beg[0] }),
.ww4end({ \tile_x7y2_ww4beg[15] , \tile_x7y2_ww4beg[14] , \tile_x7y2_ww4beg[13] , \tile_x7y2_ww4beg[12] , \tile_x7y2_ww4beg[11] , \tile_x7y2_ww4beg[10] , \tile_x7y2_ww4beg[9] , \tile_x7y2_ww4beg[8] , \tile_x7y2_ww4beg[7] , \tile_x7y2_ww4beg[6] , \tile_x7y2_ww4beg[5] , \tile_x7y2_ww4beg[4] , \tile_x7y2_ww4beg[3] , \tile_x7y2_ww4beg[2] , \tile_x7y2_ww4beg[1] , \tile_x7y2_ww4beg[0] })
);
lut4ab tile_x6y3_lut4ab (
.ci(tile_x6y4_co),
.co(tile_x6y3_co),
.e1beg({ \tile_x6y3_e1beg[3] , \tile_x6y3_e1beg[2] , \tile_x6y3_e1beg[1] , \tile_x6y3_e1beg[0] }),
.e1end({ \tile_x5y3_e1beg[3] , \tile_x5y3_e1beg[2] , \tile_x5y3_e1beg[1] , \tile_x5y3_e1beg[0] }),
.e2beg({ \tile_x6y3_e2beg[7] , \tile_x6y3_e2beg[6] , \tile_x6y3_e2beg[5] , \tile_x6y3_e2beg[4] , \tile_x6y3_e2beg[3] , \tile_x6y3_e2beg[2] , \tile_x6y3_e2beg[1] , \tile_x6y3_e2beg[0] }),
.e2begb({ \tile_x6y3_e2begb[7] , \tile_x6y3_e2begb[6] , \tile_x6y3_e2begb[5] , \tile_x6y3_e2begb[4] , \tile_x6y3_e2begb[3] , \tile_x6y3_e2begb[2] , \tile_x6y3_e2begb[1] , \tile_x6y3_e2begb[0] }),
.e2end({ \tile_x5y3_e2begb[7] , \tile_x5y3_e2begb[6] , \tile_x5y3_e2begb[5] , \tile_x5y3_e2begb[4] , \tile_x5y3_e2begb[3] , \tile_x5y3_e2begb[2] , \tile_x5y3_e2begb[1] , \tile_x5y3_e2begb[0] }),
.e2mid({ \tile_x5y3_e2beg[7] , \tile_x5y3_e2beg[6] , \tile_x5y3_e2beg[5] , \tile_x5y3_e2beg[4] , \tile_x5y3_e2beg[3] , \tile_x5y3_e2beg[2] , \tile_x5y3_e2beg[1] , \tile_x5y3_e2beg[0] }),
.e6beg({ \tile_x6y3_e6beg[11] , \tile_x6y3_e6beg[10] , \tile_x6y3_e6beg[9] , \tile_x6y3_e6beg[8] , \tile_x6y3_e6beg[7] , \tile_x6y3_e6beg[6] , \tile_x6y3_e6beg[5] , \tile_x6y3_e6beg[4] , \tile_x6y3_e6beg[3] , \tile_x6y3_e6beg[2] , \tile_x6y3_e6beg[1] , \tile_x6y3_e6beg[0] }),
.e6end({ \tile_x5y3_e6beg[11] , \tile_x5y3_e6beg[10] , \tile_x5y3_e6beg[9] , \tile_x5y3_e6beg[8] , \tile_x5y3_e6beg[7] , \tile_x5y3_e6beg[6] , \tile_x5y3_e6beg[5] , \tile_x5y3_e6beg[4] , \tile_x5y3_e6beg[3] , \tile_x5y3_e6beg[2] , \tile_x5y3_e6beg[1] , \tile_x5y3_e6beg[0] }),
.ee4beg({ \tile_x6y3_ee4beg[15] , \tile_x6y3_ee4beg[14] , \tile_x6y3_ee4beg[13] , \tile_x6y3_ee4beg[12] , \tile_x6y3_ee4beg[11] , \tile_x6y3_ee4beg[10] , \tile_x6y3_ee4beg[9] , \tile_x6y3_ee4beg[8] , \tile_x6y3_ee4beg[7] , \tile_x6y3_ee4beg[6] , \tile_x6y3_ee4beg[5] , \tile_x6y3_ee4beg[4] , \tile_x6y3_ee4beg[3] , \tile_x6y3_ee4beg[2] , \tile_x6y3_ee4beg[1] , \tile_x6y3_ee4beg[0] }),
.ee4end({ \tile_x5y3_ee4beg[15] , \tile_x5y3_ee4beg[14] , \tile_x5y3_ee4beg[13] , \tile_x5y3_ee4beg[12] , \tile_x5y3_ee4beg[11] , \tile_x5y3_ee4beg[10] , \tile_x5y3_ee4beg[9] , \tile_x5y3_ee4beg[8] , \tile_x5y3_ee4beg[7] , \tile_x5y3_ee4beg[6] , \tile_x5y3_ee4beg[5] , \tile_x5y3_ee4beg[4] , \tile_x5y3_ee4beg[3] , \tile_x5y3_ee4beg[2] , \tile_x5y3_ee4beg[1] , \tile_x5y3_ee4beg[0] }),
.framedata({ \tile_x5y3_framedata_o[31] , \tile_x5y3_framedata_o[30] , \tile_x5y3_framedata_o[29] , \tile_x5y3_framedata_o[28] , \tile_x5y3_framedata_o[27] , \tile_x5y3_framedata_o[26] , \tile_x5y3_framedata_o[25] , \tile_x5y3_framedata_o[24] , \tile_x5y3_framedata_o[23] , \tile_x5y3_framedata_o[22] , \tile_x5y3_framedata_o[21] , \tile_x5y3_framedata_o[20] , \tile_x5y3_framedata_o[19] , \tile_x5y3_framedata_o[18] , \tile_x5y3_framedata_o[17] , \tile_x5y3_framedata_o[16] , \tile_x5y3_framedata_o[15] , \tile_x5y3_framedata_o[14] , \tile_x5y3_framedata_o[13] , \tile_x5y3_framedata_o[12] , \tile_x5y3_framedata_o[11] , \tile_x5y3_framedata_o[10] , \tile_x5y3_framedata_o[9] , \tile_x5y3_framedata_o[8] , \tile_x5y3_framedata_o[7] , \tile_x5y3_framedata_o[6] , \tile_x5y3_framedata_o[5] , \tile_x5y3_framedata_o[4] , \tile_x5y3_framedata_o[3] , \tile_x5y3_framedata_o[2] , \tile_x5y3_framedata_o[1] , \tile_x5y3_framedata_o[0] }),
.framedata_o({ \tile_x6y3_framedata_o[31] , \tile_x6y3_framedata_o[30] , \tile_x6y3_framedata_o[29] , \tile_x6y3_framedata_o[28] , \tile_x6y3_framedata_o[27] , \tile_x6y3_framedata_o[26] , \tile_x6y3_framedata_o[25] , \tile_x6y3_framedata_o[24] , \tile_x6y3_framedata_o[23] , \tile_x6y3_framedata_o[22] , \tile_x6y3_framedata_o[21] , \tile_x6y3_framedata_o[20] , \tile_x6y3_framedata_o[19] , \tile_x6y3_framedata_o[18] , \tile_x6y3_framedata_o[17] , \tile_x6y3_framedata_o[16] , \tile_x6y3_framedata_o[15] , \tile_x6y3_framedata_o[14] , \tile_x6y3_framedata_o[13] , \tile_x6y3_framedata_o[12] , \tile_x6y3_framedata_o[11] , \tile_x6y3_framedata_o[10] , \tile_x6y3_framedata_o[9] , \tile_x6y3_framedata_o[8] , \tile_x6y3_framedata_o[7] , \tile_x6y3_framedata_o[6] , \tile_x6y3_framedata_o[5] , \tile_x6y3_framedata_o[4] , \tile_x6y3_framedata_o[3] , \tile_x6y3_framedata_o[2] , \tile_x6y3_framedata_o[1] , \tile_x6y3_framedata_o[0] }),
.framestrobe({ \tile_x6y4_framestrobe_o[19] , \tile_x6y4_framestrobe_o[18] , \tile_x6y4_framestrobe_o[17] , \tile_x6y4_framestrobe_o[16] , \tile_x6y4_framestrobe_o[15] , \tile_x6y4_framestrobe_o[14] , \tile_x6y4_framestrobe_o[13] , \tile_x6y4_framestrobe_o[12] , \tile_x6y4_framestrobe_o[11] , \tile_x6y4_framestrobe_o[10] , \tile_x6y4_framestrobe_o[9] , \tile_x6y4_framestrobe_o[8] , \tile_x6y4_framestrobe_o[7] , \tile_x6y4_framestrobe_o[6] , \tile_x6y4_framestrobe_o[5] , \tile_x6y4_framestrobe_o[4] , \tile_x6y4_framestrobe_o[3] , \tile_x6y4_framestrobe_o[2] , \tile_x6y4_framestrobe_o[1] , \tile_x6y4_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y3_framestrobe_o[19] , \tile_x6y3_framestrobe_o[18] , \tile_x6y3_framestrobe_o[17] , \tile_x6y3_framestrobe_o[16] , \tile_x6y3_framestrobe_o[15] , \tile_x6y3_framestrobe_o[14] , \tile_x6y3_framestrobe_o[13] , \tile_x6y3_framestrobe_o[12] , \tile_x6y3_framestrobe_o[11] , \tile_x6y3_framestrobe_o[10] , \tile_x6y3_framestrobe_o[9] , \tile_x6y3_framestrobe_o[8] , \tile_x6y3_framestrobe_o[7] , \tile_x6y3_framestrobe_o[6] , \tile_x6y3_framestrobe_o[5] , \tile_x6y3_framestrobe_o[4] , \tile_x6y3_framestrobe_o[3] , \tile_x6y3_framestrobe_o[2] , \tile_x6y3_framestrobe_o[1] , \tile_x6y3_framestrobe_o[0] }),
.n1beg({ \tile_x6y3_n1beg[3] , \tile_x6y3_n1beg[2] , \tile_x6y3_n1beg[1] , \tile_x6y3_n1beg[0] }),
.n1end({ \tile_x6y4_n1beg[3] , \tile_x6y4_n1beg[2] , \tile_x6y4_n1beg[1] , \tile_x6y4_n1beg[0] }),
.n2beg({ \tile_x6y3_n2beg[7] , \tile_x6y3_n2beg[6] , \tile_x6y3_n2beg[5] , \tile_x6y3_n2beg[4] , \tile_x6y3_n2beg[3] , \tile_x6y3_n2beg[2] , \tile_x6y3_n2beg[1] , \tile_x6y3_n2beg[0] }),
.n2begb({ \tile_x6y3_n2begb[7] , \tile_x6y3_n2begb[6] , \tile_x6y3_n2begb[5] , \tile_x6y3_n2begb[4] , \tile_x6y3_n2begb[3] , \tile_x6y3_n2begb[2] , \tile_x6y3_n2begb[1] , \tile_x6y3_n2begb[0] }),
.n2end({ \tile_x6y4_n2begb[7] , \tile_x6y4_n2begb[6] , \tile_x6y4_n2begb[5] , \tile_x6y4_n2begb[4] , \tile_x6y4_n2begb[3] , \tile_x6y4_n2begb[2] , \tile_x6y4_n2begb[1] , \tile_x6y4_n2begb[0] }),
.n2mid({ \tile_x6y4_n2beg[7] , \tile_x6y4_n2beg[6] , \tile_x6y4_n2beg[5] , \tile_x6y4_n2beg[4] , \tile_x6y4_n2beg[3] , \tile_x6y4_n2beg[2] , \tile_x6y4_n2beg[1] , \tile_x6y4_n2beg[0] }),
.n4beg({ \tile_x6y3_n4beg[15] , \tile_x6y3_n4beg[14] , \tile_x6y3_n4beg[13] , \tile_x6y3_n4beg[12] , \tile_x6y3_n4beg[11] , \tile_x6y3_n4beg[10] , \tile_x6y3_n4beg[9] , \tile_x6y3_n4beg[8] , \tile_x6y3_n4beg[7] , \tile_x6y3_n4beg[6] , \tile_x6y3_n4beg[5] , \tile_x6y3_n4beg[4] , \tile_x6y3_n4beg[3] , \tile_x6y3_n4beg[2] , \tile_x6y3_n4beg[1] , \tile_x6y3_n4beg[0] }),
.n4end({ \tile_x6y4_n4beg[15] , \tile_x6y4_n4beg[14] , \tile_x6y4_n4beg[13] , \tile_x6y4_n4beg[12] , \tile_x6y4_n4beg[11] , \tile_x6y4_n4beg[10] , \tile_x6y4_n4beg[9] , \tile_x6y4_n4beg[8] , \tile_x6y4_n4beg[7] , \tile_x6y4_n4beg[6] , \tile_x6y4_n4beg[5] , \tile_x6y4_n4beg[4] , \tile_x6y4_n4beg[3] , \tile_x6y4_n4beg[2] , \tile_x6y4_n4beg[1] , \tile_x6y4_n4beg[0] }),
.nn4beg({ \tile_x6y3_nn4beg[15] , \tile_x6y3_nn4beg[14] , \tile_x6y3_nn4beg[13] , \tile_x6y3_nn4beg[12] , \tile_x6y3_nn4beg[11] , \tile_x6y3_nn4beg[10] , \tile_x6y3_nn4beg[9] , \tile_x6y3_nn4beg[8] , \tile_x6y3_nn4beg[7] , \tile_x6y3_nn4beg[6] , \tile_x6y3_nn4beg[5] , \tile_x6y3_nn4beg[4] , \tile_x6y3_nn4beg[3] , \tile_x6y3_nn4beg[2] , \tile_x6y3_nn4beg[1] , \tile_x6y3_nn4beg[0] }),
.nn4end({ \tile_x6y4_nn4beg[15] , \tile_x6y4_nn4beg[14] , \tile_x6y4_nn4beg[13] , \tile_x6y4_nn4beg[12] , \tile_x6y4_nn4beg[11] , \tile_x6y4_nn4beg[10] , \tile_x6y4_nn4beg[9] , \tile_x6y4_nn4beg[8] , \tile_x6y4_nn4beg[7] , \tile_x6y4_nn4beg[6] , \tile_x6y4_nn4beg[5] , \tile_x6y4_nn4beg[4] , \tile_x6y4_nn4beg[3] , \tile_x6y4_nn4beg[2] , \tile_x6y4_nn4beg[1] , \tile_x6y4_nn4beg[0] }),
.s1beg({ \tile_x6y3_s1beg[3] , \tile_x6y3_s1beg[2] , \tile_x6y3_s1beg[1] , \tile_x6y3_s1beg[0] }),
.s1end({ \tile_x6y2_s1beg[3] , \tile_x6y2_s1beg[2] , \tile_x6y2_s1beg[1] , \tile_x6y2_s1beg[0] }),
.s2beg({ \tile_x6y3_s2beg[7] , \tile_x6y3_s2beg[6] , \tile_x6y3_s2beg[5] , \tile_x6y3_s2beg[4] , \tile_x6y3_s2beg[3] , \tile_x6y3_s2beg[2] , \tile_x6y3_s2beg[1] , \tile_x6y3_s2beg[0] }),
.s2begb({ \tile_x6y3_s2begb[7] , \tile_x6y3_s2begb[6] , \tile_x6y3_s2begb[5] , \tile_x6y3_s2begb[4] , \tile_x6y3_s2begb[3] , \tile_x6y3_s2begb[2] , \tile_x6y3_s2begb[1] , \tile_x6y3_s2begb[0] }),
.s2end({ \tile_x6y2_s2begb[7] , \tile_x6y2_s2begb[6] , \tile_x6y2_s2begb[5] , \tile_x6y2_s2begb[4] , \tile_x6y2_s2begb[3] , \tile_x6y2_s2begb[2] , \tile_x6y2_s2begb[1] , \tile_x6y2_s2begb[0] }),
.s2mid({ \tile_x6y2_s2beg[7] , \tile_x6y2_s2beg[6] , \tile_x6y2_s2beg[5] , \tile_x6y2_s2beg[4] , \tile_x6y2_s2beg[3] , \tile_x6y2_s2beg[2] , \tile_x6y2_s2beg[1] , \tile_x6y2_s2beg[0] }),
.s4beg({ \tile_x6y3_s4beg[15] , \tile_x6y3_s4beg[14] , \tile_x6y3_s4beg[13] , \tile_x6y3_s4beg[12] , \tile_x6y3_s4beg[11] , \tile_x6y3_s4beg[10] , \tile_x6y3_s4beg[9] , \tile_x6y3_s4beg[8] , \tile_x6y3_s4beg[7] , \tile_x6y3_s4beg[6] , \tile_x6y3_s4beg[5] , \tile_x6y3_s4beg[4] , \tile_x6y3_s4beg[3] , \tile_x6y3_s4beg[2] , \tile_x6y3_s4beg[1] , \tile_x6y3_s4beg[0] }),
.s4end({ \tile_x6y2_s4beg[15] , \tile_x6y2_s4beg[14] , \tile_x6y2_s4beg[13] , \tile_x6y2_s4beg[12] , \tile_x6y2_s4beg[11] , \tile_x6y2_s4beg[10] , \tile_x6y2_s4beg[9] , \tile_x6y2_s4beg[8] , \tile_x6y2_s4beg[7] , \tile_x6y2_s4beg[6] , \tile_x6y2_s4beg[5] , \tile_x6y2_s4beg[4] , \tile_x6y2_s4beg[3] , \tile_x6y2_s4beg[2] , \tile_x6y2_s4beg[1] , \tile_x6y2_s4beg[0] }),
.ss4beg({ \tile_x6y3_ss4beg[15] , \tile_x6y3_ss4beg[14] , \tile_x6y3_ss4beg[13] , \tile_x6y3_ss4beg[12] , \tile_x6y3_ss4beg[11] , \tile_x6y3_ss4beg[10] , \tile_x6y3_ss4beg[9] , \tile_x6y3_ss4beg[8] , \tile_x6y3_ss4beg[7] , \tile_x6y3_ss4beg[6] , \tile_x6y3_ss4beg[5] , \tile_x6y3_ss4beg[4] , \tile_x6y3_ss4beg[3] , \tile_x6y3_ss4beg[2] , \tile_x6y3_ss4beg[1] , \tile_x6y3_ss4beg[0] }),
.ss4end({ \tile_x6y2_ss4beg[15] , \tile_x6y2_ss4beg[14] , \tile_x6y2_ss4beg[13] , \tile_x6y2_ss4beg[12] , \tile_x6y2_ss4beg[11] , \tile_x6y2_ss4beg[10] , \tile_x6y2_ss4beg[9] , \tile_x6y2_ss4beg[8] , \tile_x6y2_ss4beg[7] , \tile_x6y2_ss4beg[6] , \tile_x6y2_ss4beg[5] , \tile_x6y2_ss4beg[4] , \tile_x6y2_ss4beg[3] , \tile_x6y2_ss4beg[2] , \tile_x6y2_ss4beg[1] , \tile_x6y2_ss4beg[0] }),
.userclk(tile_x6y4_userclko),
.userclko(tile_x6y3_userclko),
.w1beg({ \tile_x6y3_w1beg[3] , \tile_x6y3_w1beg[2] , \tile_x6y3_w1beg[1] , \tile_x6y3_w1beg[0] }),
.w1end({ \tile_x7y3_w1beg[3] , \tile_x7y3_w1beg[2] , \tile_x7y3_w1beg[1] , \tile_x7y3_w1beg[0] }),
.w2beg({ \tile_x6y3_w2beg[7] , \tile_x6y3_w2beg[6] , \tile_x6y3_w2beg[5] , \tile_x6y3_w2beg[4] , \tile_x6y3_w2beg[3] , \tile_x6y3_w2beg[2] , \tile_x6y3_w2beg[1] , \tile_x6y3_w2beg[0] }),
.w2begb({ \tile_x6y3_w2begb[7] , \tile_x6y3_w2begb[6] , \tile_x6y3_w2begb[5] , \tile_x6y3_w2begb[4] , \tile_x6y3_w2begb[3] , \tile_x6y3_w2begb[2] , \tile_x6y3_w2begb[1] , \tile_x6y3_w2begb[0] }),
.w2end({ \tile_x7y3_w2begb[7] , \tile_x7y3_w2begb[6] , \tile_x7y3_w2begb[5] , \tile_x7y3_w2begb[4] , \tile_x7y3_w2begb[3] , \tile_x7y3_w2begb[2] , \tile_x7y3_w2begb[1] , \tile_x7y3_w2begb[0] }),
.w2mid({ \tile_x7y3_w2beg[7] , \tile_x7y3_w2beg[6] , \tile_x7y3_w2beg[5] , \tile_x7y3_w2beg[4] , \tile_x7y3_w2beg[3] , \tile_x7y3_w2beg[2] , \tile_x7y3_w2beg[1] , \tile_x7y3_w2beg[0] }),
.w6beg({ \tile_x6y3_w6beg[11] , \tile_x6y3_w6beg[10] , \tile_x6y3_w6beg[9] , \tile_x6y3_w6beg[8] , \tile_x6y3_w6beg[7] , \tile_x6y3_w6beg[6] , \tile_x6y3_w6beg[5] , \tile_x6y3_w6beg[4] , \tile_x6y3_w6beg[3] , \tile_x6y3_w6beg[2] , \tile_x6y3_w6beg[1] , \tile_x6y3_w6beg[0] }),
.w6end({ \tile_x7y3_w6beg[11] , \tile_x7y3_w6beg[10] , \tile_x7y3_w6beg[9] , \tile_x7y3_w6beg[8] , \tile_x7y3_w6beg[7] , \tile_x7y3_w6beg[6] , \tile_x7y3_w6beg[5] , \tile_x7y3_w6beg[4] , \tile_x7y3_w6beg[3] , \tile_x7y3_w6beg[2] , \tile_x7y3_w6beg[1] , \tile_x7y3_w6beg[0] }),
.ww4beg({ \tile_x6y3_ww4beg[15] , \tile_x6y3_ww4beg[14] , \tile_x6y3_ww4beg[13] , \tile_x6y3_ww4beg[12] , \tile_x6y3_ww4beg[11] , \tile_x6y3_ww4beg[10] , \tile_x6y3_ww4beg[9] , \tile_x6y3_ww4beg[8] , \tile_x6y3_ww4beg[7] , \tile_x6y3_ww4beg[6] , \tile_x6y3_ww4beg[5] , \tile_x6y3_ww4beg[4] , \tile_x6y3_ww4beg[3] , \tile_x6y3_ww4beg[2] , \tile_x6y3_ww4beg[1] , \tile_x6y3_ww4beg[0] }),
.ww4end({ \tile_x7y3_ww4beg[15] , \tile_x7y3_ww4beg[14] , \tile_x7y3_ww4beg[13] , \tile_x7y3_ww4beg[12] , \tile_x7y3_ww4beg[11] , \tile_x7y3_ww4beg[10] , \tile_x7y3_ww4beg[9] , \tile_x7y3_ww4beg[8] , \tile_x7y3_ww4beg[7] , \tile_x7y3_ww4beg[6] , \tile_x7y3_ww4beg[5] , \tile_x7y3_ww4beg[4] , \tile_x7y3_ww4beg[3] , \tile_x7y3_ww4beg[2] , \tile_x7y3_ww4beg[1] , \tile_x7y3_ww4beg[0] })
);
lut4ab tile_x6y4_lut4ab (
.ci(tile_x6y5_co),
.co(tile_x6y4_co),
.e1beg({ \tile_x6y4_e1beg[3] , \tile_x6y4_e1beg[2] , \tile_x6y4_e1beg[1] , \tile_x6y4_e1beg[0] }),
.e1end({ \tile_x5y4_e1beg[3] , \tile_x5y4_e1beg[2] , \tile_x5y4_e1beg[1] , \tile_x5y4_e1beg[0] }),
.e2beg({ \tile_x6y4_e2beg[7] , \tile_x6y4_e2beg[6] , \tile_x6y4_e2beg[5] , \tile_x6y4_e2beg[4] , \tile_x6y4_e2beg[3] , \tile_x6y4_e2beg[2] , \tile_x6y4_e2beg[1] , \tile_x6y4_e2beg[0] }),
.e2begb({ \tile_x6y4_e2begb[7] , \tile_x6y4_e2begb[6] , \tile_x6y4_e2begb[5] , \tile_x6y4_e2begb[4] , \tile_x6y4_e2begb[3] , \tile_x6y4_e2begb[2] , \tile_x6y4_e2begb[1] , \tile_x6y4_e2begb[0] }),
.e2end({ \tile_x5y4_e2begb[7] , \tile_x5y4_e2begb[6] , \tile_x5y4_e2begb[5] , \tile_x5y4_e2begb[4] , \tile_x5y4_e2begb[3] , \tile_x5y4_e2begb[2] , \tile_x5y4_e2begb[1] , \tile_x5y4_e2begb[0] }),
.e2mid({ \tile_x5y4_e2beg[7] , \tile_x5y4_e2beg[6] , \tile_x5y4_e2beg[5] , \tile_x5y4_e2beg[4] , \tile_x5y4_e2beg[3] , \tile_x5y4_e2beg[2] , \tile_x5y4_e2beg[1] , \tile_x5y4_e2beg[0] }),
.e6beg({ \tile_x6y4_e6beg[11] , \tile_x6y4_e6beg[10] , \tile_x6y4_e6beg[9] , \tile_x6y4_e6beg[8] , \tile_x6y4_e6beg[7] , \tile_x6y4_e6beg[6] , \tile_x6y4_e6beg[5] , \tile_x6y4_e6beg[4] , \tile_x6y4_e6beg[3] , \tile_x6y4_e6beg[2] , \tile_x6y4_e6beg[1] , \tile_x6y4_e6beg[0] }),
.e6end({ \tile_x5y4_e6beg[11] , \tile_x5y4_e6beg[10] , \tile_x5y4_e6beg[9] , \tile_x5y4_e6beg[8] , \tile_x5y4_e6beg[7] , \tile_x5y4_e6beg[6] , \tile_x5y4_e6beg[5] , \tile_x5y4_e6beg[4] , \tile_x5y4_e6beg[3] , \tile_x5y4_e6beg[2] , \tile_x5y4_e6beg[1] , \tile_x5y4_e6beg[0] }),
.ee4beg({ \tile_x6y4_ee4beg[15] , \tile_x6y4_ee4beg[14] , \tile_x6y4_ee4beg[13] , \tile_x6y4_ee4beg[12] , \tile_x6y4_ee4beg[11] , \tile_x6y4_ee4beg[10] , \tile_x6y4_ee4beg[9] , \tile_x6y4_ee4beg[8] , \tile_x6y4_ee4beg[7] , \tile_x6y4_ee4beg[6] , \tile_x6y4_ee4beg[5] , \tile_x6y4_ee4beg[4] , \tile_x6y4_ee4beg[3] , \tile_x6y4_ee4beg[2] , \tile_x6y4_ee4beg[1] , \tile_x6y4_ee4beg[0] }),
.ee4end({ \tile_x5y4_ee4beg[15] , \tile_x5y4_ee4beg[14] , \tile_x5y4_ee4beg[13] , \tile_x5y4_ee4beg[12] , \tile_x5y4_ee4beg[11] , \tile_x5y4_ee4beg[10] , \tile_x5y4_ee4beg[9] , \tile_x5y4_ee4beg[8] , \tile_x5y4_ee4beg[7] , \tile_x5y4_ee4beg[6] , \tile_x5y4_ee4beg[5] , \tile_x5y4_ee4beg[4] , \tile_x5y4_ee4beg[3] , \tile_x5y4_ee4beg[2] , \tile_x5y4_ee4beg[1] , \tile_x5y4_ee4beg[0] }),
.framedata({ \tile_x5y4_framedata_o[31] , \tile_x5y4_framedata_o[30] , \tile_x5y4_framedata_o[29] , \tile_x5y4_framedata_o[28] , \tile_x5y4_framedata_o[27] , \tile_x5y4_framedata_o[26] , \tile_x5y4_framedata_o[25] , \tile_x5y4_framedata_o[24] , \tile_x5y4_framedata_o[23] , \tile_x5y4_framedata_o[22] , \tile_x5y4_framedata_o[21] , \tile_x5y4_framedata_o[20] , \tile_x5y4_framedata_o[19] , \tile_x5y4_framedata_o[18] , \tile_x5y4_framedata_o[17] , \tile_x5y4_framedata_o[16] , \tile_x5y4_framedata_o[15] , \tile_x5y4_framedata_o[14] , \tile_x5y4_framedata_o[13] , \tile_x5y4_framedata_o[12] , \tile_x5y4_framedata_o[11] , \tile_x5y4_framedata_o[10] , \tile_x5y4_framedata_o[9] , \tile_x5y4_framedata_o[8] , \tile_x5y4_framedata_o[7] , \tile_x5y4_framedata_o[6] , \tile_x5y4_framedata_o[5] , \tile_x5y4_framedata_o[4] , \tile_x5y4_framedata_o[3] , \tile_x5y4_framedata_o[2] , \tile_x5y4_framedata_o[1] , \tile_x5y4_framedata_o[0] }),
.framedata_o({ \tile_x6y4_framedata_o[31] , \tile_x6y4_framedata_o[30] , \tile_x6y4_framedata_o[29] , \tile_x6y4_framedata_o[28] , \tile_x6y4_framedata_o[27] , \tile_x6y4_framedata_o[26] , \tile_x6y4_framedata_o[25] , \tile_x6y4_framedata_o[24] , \tile_x6y4_framedata_o[23] , \tile_x6y4_framedata_o[22] , \tile_x6y4_framedata_o[21] , \tile_x6y4_framedata_o[20] , \tile_x6y4_framedata_o[19] , \tile_x6y4_framedata_o[18] , \tile_x6y4_framedata_o[17] , \tile_x6y4_framedata_o[16] , \tile_x6y4_framedata_o[15] , \tile_x6y4_framedata_o[14] , \tile_x6y4_framedata_o[13] , \tile_x6y4_framedata_o[12] , \tile_x6y4_framedata_o[11] , \tile_x6y4_framedata_o[10] , \tile_x6y4_framedata_o[9] , \tile_x6y4_framedata_o[8] , \tile_x6y4_framedata_o[7] , \tile_x6y4_framedata_o[6] , \tile_x6y4_framedata_o[5] , \tile_x6y4_framedata_o[4] , \tile_x6y4_framedata_o[3] , \tile_x6y4_framedata_o[2] , \tile_x6y4_framedata_o[1] , \tile_x6y4_framedata_o[0] }),
.framestrobe({ \tile_x6y5_framestrobe_o[19] , \tile_x6y5_framestrobe_o[18] , \tile_x6y5_framestrobe_o[17] , \tile_x6y5_framestrobe_o[16] , \tile_x6y5_framestrobe_o[15] , \tile_x6y5_framestrobe_o[14] , \tile_x6y5_framestrobe_o[13] , \tile_x6y5_framestrobe_o[12] , \tile_x6y5_framestrobe_o[11] , \tile_x6y5_framestrobe_o[10] , \tile_x6y5_framestrobe_o[9] , \tile_x6y5_framestrobe_o[8] , \tile_x6y5_framestrobe_o[7] , \tile_x6y5_framestrobe_o[6] , \tile_x6y5_framestrobe_o[5] , \tile_x6y5_framestrobe_o[4] , \tile_x6y5_framestrobe_o[3] , \tile_x6y5_framestrobe_o[2] , \tile_x6y5_framestrobe_o[1] , \tile_x6y5_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y4_framestrobe_o[19] , \tile_x6y4_framestrobe_o[18] , \tile_x6y4_framestrobe_o[17] , \tile_x6y4_framestrobe_o[16] , \tile_x6y4_framestrobe_o[15] , \tile_x6y4_framestrobe_o[14] , \tile_x6y4_framestrobe_o[13] , \tile_x6y4_framestrobe_o[12] , \tile_x6y4_framestrobe_o[11] , \tile_x6y4_framestrobe_o[10] , \tile_x6y4_framestrobe_o[9] , \tile_x6y4_framestrobe_o[8] , \tile_x6y4_framestrobe_o[7] , \tile_x6y4_framestrobe_o[6] , \tile_x6y4_framestrobe_o[5] , \tile_x6y4_framestrobe_o[4] , \tile_x6y4_framestrobe_o[3] , \tile_x6y4_framestrobe_o[2] , \tile_x6y4_framestrobe_o[1] , \tile_x6y4_framestrobe_o[0] }),
.n1beg({ \tile_x6y4_n1beg[3] , \tile_x6y4_n1beg[2] , \tile_x6y4_n1beg[1] , \tile_x6y4_n1beg[0] }),
.n1end({ \tile_x6y5_n1beg[3] , \tile_x6y5_n1beg[2] , \tile_x6y5_n1beg[1] , \tile_x6y5_n1beg[0] }),
.n2beg({ \tile_x6y4_n2beg[7] , \tile_x6y4_n2beg[6] , \tile_x6y4_n2beg[5] , \tile_x6y4_n2beg[4] , \tile_x6y4_n2beg[3] , \tile_x6y4_n2beg[2] , \tile_x6y4_n2beg[1] , \tile_x6y4_n2beg[0] }),
.n2begb({ \tile_x6y4_n2begb[7] , \tile_x6y4_n2begb[6] , \tile_x6y4_n2begb[5] , \tile_x6y4_n2begb[4] , \tile_x6y4_n2begb[3] , \tile_x6y4_n2begb[2] , \tile_x6y4_n2begb[1] , \tile_x6y4_n2begb[0] }),
.n2end({ \tile_x6y5_n2begb[7] , \tile_x6y5_n2begb[6] , \tile_x6y5_n2begb[5] , \tile_x6y5_n2begb[4] , \tile_x6y5_n2begb[3] , \tile_x6y5_n2begb[2] , \tile_x6y5_n2begb[1] , \tile_x6y5_n2begb[0] }),
.n2mid({ \tile_x6y5_n2beg[7] , \tile_x6y5_n2beg[6] , \tile_x6y5_n2beg[5] , \tile_x6y5_n2beg[4] , \tile_x6y5_n2beg[3] , \tile_x6y5_n2beg[2] , \tile_x6y5_n2beg[1] , \tile_x6y5_n2beg[0] }),
.n4beg({ \tile_x6y4_n4beg[15] , \tile_x6y4_n4beg[14] , \tile_x6y4_n4beg[13] , \tile_x6y4_n4beg[12] , \tile_x6y4_n4beg[11] , \tile_x6y4_n4beg[10] , \tile_x6y4_n4beg[9] , \tile_x6y4_n4beg[8] , \tile_x6y4_n4beg[7] , \tile_x6y4_n4beg[6] , \tile_x6y4_n4beg[5] , \tile_x6y4_n4beg[4] , \tile_x6y4_n4beg[3] , \tile_x6y4_n4beg[2] , \tile_x6y4_n4beg[1] , \tile_x6y4_n4beg[0] }),
.n4end({ \tile_x6y5_n4beg[15] , \tile_x6y5_n4beg[14] , \tile_x6y5_n4beg[13] , \tile_x6y5_n4beg[12] , \tile_x6y5_n4beg[11] , \tile_x6y5_n4beg[10] , \tile_x6y5_n4beg[9] , \tile_x6y5_n4beg[8] , \tile_x6y5_n4beg[7] , \tile_x6y5_n4beg[6] , \tile_x6y5_n4beg[5] , \tile_x6y5_n4beg[4] , \tile_x6y5_n4beg[3] , \tile_x6y5_n4beg[2] , \tile_x6y5_n4beg[1] , \tile_x6y5_n4beg[0] }),
.nn4beg({ \tile_x6y4_nn4beg[15] , \tile_x6y4_nn4beg[14] , \tile_x6y4_nn4beg[13] , \tile_x6y4_nn4beg[12] , \tile_x6y4_nn4beg[11] , \tile_x6y4_nn4beg[10] , \tile_x6y4_nn4beg[9] , \tile_x6y4_nn4beg[8] , \tile_x6y4_nn4beg[7] , \tile_x6y4_nn4beg[6] , \tile_x6y4_nn4beg[5] , \tile_x6y4_nn4beg[4] , \tile_x6y4_nn4beg[3] , \tile_x6y4_nn4beg[2] , \tile_x6y4_nn4beg[1] , \tile_x6y4_nn4beg[0] }),
.nn4end({ \tile_x6y5_nn4beg[15] , \tile_x6y5_nn4beg[14] , \tile_x6y5_nn4beg[13] , \tile_x6y5_nn4beg[12] , \tile_x6y5_nn4beg[11] , \tile_x6y5_nn4beg[10] , \tile_x6y5_nn4beg[9] , \tile_x6y5_nn4beg[8] , \tile_x6y5_nn4beg[7] , \tile_x6y5_nn4beg[6] , \tile_x6y5_nn4beg[5] , \tile_x6y5_nn4beg[4] , \tile_x6y5_nn4beg[3] , \tile_x6y5_nn4beg[2] , \tile_x6y5_nn4beg[1] , \tile_x6y5_nn4beg[0] }),
.s1beg({ \tile_x6y4_s1beg[3] , \tile_x6y4_s1beg[2] , \tile_x6y4_s1beg[1] , \tile_x6y4_s1beg[0] }),
.s1end({ \tile_x6y3_s1beg[3] , \tile_x6y3_s1beg[2] , \tile_x6y3_s1beg[1] , \tile_x6y3_s1beg[0] }),
.s2beg({ \tile_x6y4_s2beg[7] , \tile_x6y4_s2beg[6] , \tile_x6y4_s2beg[5] , \tile_x6y4_s2beg[4] , \tile_x6y4_s2beg[3] , \tile_x6y4_s2beg[2] , \tile_x6y4_s2beg[1] , \tile_x6y4_s2beg[0] }),
.s2begb({ \tile_x6y4_s2begb[7] , \tile_x6y4_s2begb[6] , \tile_x6y4_s2begb[5] , \tile_x6y4_s2begb[4] , \tile_x6y4_s2begb[3] , \tile_x6y4_s2begb[2] , \tile_x6y4_s2begb[1] , \tile_x6y4_s2begb[0] }),
.s2end({ \tile_x6y3_s2begb[7] , \tile_x6y3_s2begb[6] , \tile_x6y3_s2begb[5] , \tile_x6y3_s2begb[4] , \tile_x6y3_s2begb[3] , \tile_x6y3_s2begb[2] , \tile_x6y3_s2begb[1] , \tile_x6y3_s2begb[0] }),
.s2mid({ \tile_x6y3_s2beg[7] , \tile_x6y3_s2beg[6] , \tile_x6y3_s2beg[5] , \tile_x6y3_s2beg[4] , \tile_x6y3_s2beg[3] , \tile_x6y3_s2beg[2] , \tile_x6y3_s2beg[1] , \tile_x6y3_s2beg[0] }),
.s4beg({ \tile_x6y4_s4beg[15] , \tile_x6y4_s4beg[14] , \tile_x6y4_s4beg[13] , \tile_x6y4_s4beg[12] , \tile_x6y4_s4beg[11] , \tile_x6y4_s4beg[10] , \tile_x6y4_s4beg[9] , \tile_x6y4_s4beg[8] , \tile_x6y4_s4beg[7] , \tile_x6y4_s4beg[6] , \tile_x6y4_s4beg[5] , \tile_x6y4_s4beg[4] , \tile_x6y4_s4beg[3] , \tile_x6y4_s4beg[2] , \tile_x6y4_s4beg[1] , \tile_x6y4_s4beg[0] }),
.s4end({ \tile_x6y3_s4beg[15] , \tile_x6y3_s4beg[14] , \tile_x6y3_s4beg[13] , \tile_x6y3_s4beg[12] , \tile_x6y3_s4beg[11] , \tile_x6y3_s4beg[10] , \tile_x6y3_s4beg[9] , \tile_x6y3_s4beg[8] , \tile_x6y3_s4beg[7] , \tile_x6y3_s4beg[6] , \tile_x6y3_s4beg[5] , \tile_x6y3_s4beg[4] , \tile_x6y3_s4beg[3] , \tile_x6y3_s4beg[2] , \tile_x6y3_s4beg[1] , \tile_x6y3_s4beg[0] }),
.ss4beg({ \tile_x6y4_ss4beg[15] , \tile_x6y4_ss4beg[14] , \tile_x6y4_ss4beg[13] , \tile_x6y4_ss4beg[12] , \tile_x6y4_ss4beg[11] , \tile_x6y4_ss4beg[10] , \tile_x6y4_ss4beg[9] , \tile_x6y4_ss4beg[8] , \tile_x6y4_ss4beg[7] , \tile_x6y4_ss4beg[6] , \tile_x6y4_ss4beg[5] , \tile_x6y4_ss4beg[4] , \tile_x6y4_ss4beg[3] , \tile_x6y4_ss4beg[2] , \tile_x6y4_ss4beg[1] , \tile_x6y4_ss4beg[0] }),
.ss4end({ \tile_x6y3_ss4beg[15] , \tile_x6y3_ss4beg[14] , \tile_x6y3_ss4beg[13] , \tile_x6y3_ss4beg[12] , \tile_x6y3_ss4beg[11] , \tile_x6y3_ss4beg[10] , \tile_x6y3_ss4beg[9] , \tile_x6y3_ss4beg[8] , \tile_x6y3_ss4beg[7] , \tile_x6y3_ss4beg[6] , \tile_x6y3_ss4beg[5] , \tile_x6y3_ss4beg[4] , \tile_x6y3_ss4beg[3] , \tile_x6y3_ss4beg[2] , \tile_x6y3_ss4beg[1] , \tile_x6y3_ss4beg[0] }),
.userclk(tile_x6y5_userclko),
.userclko(tile_x6y4_userclko),
.w1beg({ \tile_x6y4_w1beg[3] , \tile_x6y4_w1beg[2] , \tile_x6y4_w1beg[1] , \tile_x6y4_w1beg[0] }),
.w1end({ \tile_x7y4_w1beg[3] , \tile_x7y4_w1beg[2] , \tile_x7y4_w1beg[1] , \tile_x7y4_w1beg[0] }),
.w2beg({ \tile_x6y4_w2beg[7] , \tile_x6y4_w2beg[6] , \tile_x6y4_w2beg[5] , \tile_x6y4_w2beg[4] , \tile_x6y4_w2beg[3] , \tile_x6y4_w2beg[2] , \tile_x6y4_w2beg[1] , \tile_x6y4_w2beg[0] }),
.w2begb({ \tile_x6y4_w2begb[7] , \tile_x6y4_w2begb[6] , \tile_x6y4_w2begb[5] , \tile_x6y4_w2begb[4] , \tile_x6y4_w2begb[3] , \tile_x6y4_w2begb[2] , \tile_x6y4_w2begb[1] , \tile_x6y4_w2begb[0] }),
.w2end({ \tile_x7y4_w2begb[7] , \tile_x7y4_w2begb[6] , \tile_x7y4_w2begb[5] , \tile_x7y4_w2begb[4] , \tile_x7y4_w2begb[3] , \tile_x7y4_w2begb[2] , \tile_x7y4_w2begb[1] , \tile_x7y4_w2begb[0] }),
.w2mid({ \tile_x7y4_w2beg[7] , \tile_x7y4_w2beg[6] , \tile_x7y4_w2beg[5] , \tile_x7y4_w2beg[4] , \tile_x7y4_w2beg[3] , \tile_x7y4_w2beg[2] , \tile_x7y4_w2beg[1] , \tile_x7y4_w2beg[0] }),
.w6beg({ \tile_x6y4_w6beg[11] , \tile_x6y4_w6beg[10] , \tile_x6y4_w6beg[9] , \tile_x6y4_w6beg[8] , \tile_x6y4_w6beg[7] , \tile_x6y4_w6beg[6] , \tile_x6y4_w6beg[5] , \tile_x6y4_w6beg[4] , \tile_x6y4_w6beg[3] , \tile_x6y4_w6beg[2] , \tile_x6y4_w6beg[1] , \tile_x6y4_w6beg[0] }),
.w6end({ \tile_x7y4_w6beg[11] , \tile_x7y4_w6beg[10] , \tile_x7y4_w6beg[9] , \tile_x7y4_w6beg[8] , \tile_x7y4_w6beg[7] , \tile_x7y4_w6beg[6] , \tile_x7y4_w6beg[5] , \tile_x7y4_w6beg[4] , \tile_x7y4_w6beg[3] , \tile_x7y4_w6beg[2] , \tile_x7y4_w6beg[1] , \tile_x7y4_w6beg[0] }),
.ww4beg({ \tile_x6y4_ww4beg[15] , \tile_x6y4_ww4beg[14] , \tile_x6y4_ww4beg[13] , \tile_x6y4_ww4beg[12] , \tile_x6y4_ww4beg[11] , \tile_x6y4_ww4beg[10] , \tile_x6y4_ww4beg[9] , \tile_x6y4_ww4beg[8] , \tile_x6y4_ww4beg[7] , \tile_x6y4_ww4beg[6] , \tile_x6y4_ww4beg[5] , \tile_x6y4_ww4beg[4] , \tile_x6y4_ww4beg[3] , \tile_x6y4_ww4beg[2] , \tile_x6y4_ww4beg[1] , \tile_x6y4_ww4beg[0] }),
.ww4end({ \tile_x7y4_ww4beg[15] , \tile_x7y4_ww4beg[14] , \tile_x7y4_ww4beg[13] , \tile_x7y4_ww4beg[12] , \tile_x7y4_ww4beg[11] , \tile_x7y4_ww4beg[10] , \tile_x7y4_ww4beg[9] , \tile_x7y4_ww4beg[8] , \tile_x7y4_ww4beg[7] , \tile_x7y4_ww4beg[6] , \tile_x7y4_ww4beg[5] , \tile_x7y4_ww4beg[4] , \tile_x7y4_ww4beg[3] , \tile_x7y4_ww4beg[2] , \tile_x7y4_ww4beg[1] , \tile_x7y4_ww4beg[0] })
);
lut4ab tile_x6y5_lut4ab (
.ci(tile_x6y6_co),
.co(tile_x6y5_co),
.e1beg({ \tile_x6y5_e1beg[3] , \tile_x6y5_e1beg[2] , \tile_x6y5_e1beg[1] , \tile_x6y5_e1beg[0] }),
.e1end({ \tile_x5y5_e1beg[3] , \tile_x5y5_e1beg[2] , \tile_x5y5_e1beg[1] , \tile_x5y5_e1beg[0] }),
.e2beg({ \tile_x6y5_e2beg[7] , \tile_x6y5_e2beg[6] , \tile_x6y5_e2beg[5] , \tile_x6y5_e2beg[4] , \tile_x6y5_e2beg[3] , \tile_x6y5_e2beg[2] , \tile_x6y5_e2beg[1] , \tile_x6y5_e2beg[0] }),
.e2begb({ \tile_x6y5_e2begb[7] , \tile_x6y5_e2begb[6] , \tile_x6y5_e2begb[5] , \tile_x6y5_e2begb[4] , \tile_x6y5_e2begb[3] , \tile_x6y5_e2begb[2] , \tile_x6y5_e2begb[1] , \tile_x6y5_e2begb[0] }),
.e2end({ \tile_x5y5_e2begb[7] , \tile_x5y5_e2begb[6] , \tile_x5y5_e2begb[5] , \tile_x5y5_e2begb[4] , \tile_x5y5_e2begb[3] , \tile_x5y5_e2begb[2] , \tile_x5y5_e2begb[1] , \tile_x5y5_e2begb[0] }),
.e2mid({ \tile_x5y5_e2beg[7] , \tile_x5y5_e2beg[6] , \tile_x5y5_e2beg[5] , \tile_x5y5_e2beg[4] , \tile_x5y5_e2beg[3] , \tile_x5y5_e2beg[2] , \tile_x5y5_e2beg[1] , \tile_x5y5_e2beg[0] }),
.e6beg({ \tile_x6y5_e6beg[11] , \tile_x6y5_e6beg[10] , \tile_x6y5_e6beg[9] , \tile_x6y5_e6beg[8] , \tile_x6y5_e6beg[7] , \tile_x6y5_e6beg[6] , \tile_x6y5_e6beg[5] , \tile_x6y5_e6beg[4] , \tile_x6y5_e6beg[3] , \tile_x6y5_e6beg[2] , \tile_x6y5_e6beg[1] , \tile_x6y5_e6beg[0] }),
.e6end({ \tile_x5y5_e6beg[11] , \tile_x5y5_e6beg[10] , \tile_x5y5_e6beg[9] , \tile_x5y5_e6beg[8] , \tile_x5y5_e6beg[7] , \tile_x5y5_e6beg[6] , \tile_x5y5_e6beg[5] , \tile_x5y5_e6beg[4] , \tile_x5y5_e6beg[3] , \tile_x5y5_e6beg[2] , \tile_x5y5_e6beg[1] , \tile_x5y5_e6beg[0] }),
.ee4beg({ \tile_x6y5_ee4beg[15] , \tile_x6y5_ee4beg[14] , \tile_x6y5_ee4beg[13] , \tile_x6y5_ee4beg[12] , \tile_x6y5_ee4beg[11] , \tile_x6y5_ee4beg[10] , \tile_x6y5_ee4beg[9] , \tile_x6y5_ee4beg[8] , \tile_x6y5_ee4beg[7] , \tile_x6y5_ee4beg[6] , \tile_x6y5_ee4beg[5] , \tile_x6y5_ee4beg[4] , \tile_x6y5_ee4beg[3] , \tile_x6y5_ee4beg[2] , \tile_x6y5_ee4beg[1] , \tile_x6y5_ee4beg[0] }),
.ee4end({ \tile_x5y5_ee4beg[15] , \tile_x5y5_ee4beg[14] , \tile_x5y5_ee4beg[13] , \tile_x5y5_ee4beg[12] , \tile_x5y5_ee4beg[11] , \tile_x5y5_ee4beg[10] , \tile_x5y5_ee4beg[9] , \tile_x5y5_ee4beg[8] , \tile_x5y5_ee4beg[7] , \tile_x5y5_ee4beg[6] , \tile_x5y5_ee4beg[5] , \tile_x5y5_ee4beg[4] , \tile_x5y5_ee4beg[3] , \tile_x5y5_ee4beg[2] , \tile_x5y5_ee4beg[1] , \tile_x5y5_ee4beg[0] }),
.framedata({ \tile_x5y5_framedata_o[31] , \tile_x5y5_framedata_o[30] , \tile_x5y5_framedata_o[29] , \tile_x5y5_framedata_o[28] , \tile_x5y5_framedata_o[27] , \tile_x5y5_framedata_o[26] , \tile_x5y5_framedata_o[25] , \tile_x5y5_framedata_o[24] , \tile_x5y5_framedata_o[23] , \tile_x5y5_framedata_o[22] , \tile_x5y5_framedata_o[21] , \tile_x5y5_framedata_o[20] , \tile_x5y5_framedata_o[19] , \tile_x5y5_framedata_o[18] , \tile_x5y5_framedata_o[17] , \tile_x5y5_framedata_o[16] , \tile_x5y5_framedata_o[15] , \tile_x5y5_framedata_o[14] , \tile_x5y5_framedata_o[13] , \tile_x5y5_framedata_o[12] , \tile_x5y5_framedata_o[11] , \tile_x5y5_framedata_o[10] , \tile_x5y5_framedata_o[9] , \tile_x5y5_framedata_o[8] , \tile_x5y5_framedata_o[7] , \tile_x5y5_framedata_o[6] , \tile_x5y5_framedata_o[5] , \tile_x5y5_framedata_o[4] , \tile_x5y5_framedata_o[3] , \tile_x5y5_framedata_o[2] , \tile_x5y5_framedata_o[1] , \tile_x5y5_framedata_o[0] }),
.framedata_o({ \tile_x6y5_framedata_o[31] , \tile_x6y5_framedata_o[30] , \tile_x6y5_framedata_o[29] , \tile_x6y5_framedata_o[28] , \tile_x6y5_framedata_o[27] , \tile_x6y5_framedata_o[26] , \tile_x6y5_framedata_o[25] , \tile_x6y5_framedata_o[24] , \tile_x6y5_framedata_o[23] , \tile_x6y5_framedata_o[22] , \tile_x6y5_framedata_o[21] , \tile_x6y5_framedata_o[20] , \tile_x6y5_framedata_o[19] , \tile_x6y5_framedata_o[18] , \tile_x6y5_framedata_o[17] , \tile_x6y5_framedata_o[16] , \tile_x6y5_framedata_o[15] , \tile_x6y5_framedata_o[14] , \tile_x6y5_framedata_o[13] , \tile_x6y5_framedata_o[12] , \tile_x6y5_framedata_o[11] , \tile_x6y5_framedata_o[10] , \tile_x6y5_framedata_o[9] , \tile_x6y5_framedata_o[8] , \tile_x6y5_framedata_o[7] , \tile_x6y5_framedata_o[6] , \tile_x6y5_framedata_o[5] , \tile_x6y5_framedata_o[4] , \tile_x6y5_framedata_o[3] , \tile_x6y5_framedata_o[2] , \tile_x6y5_framedata_o[1] , \tile_x6y5_framedata_o[0] }),
.framestrobe({ \tile_x6y6_framestrobe_o[19] , \tile_x6y6_framestrobe_o[18] , \tile_x6y6_framestrobe_o[17] , \tile_x6y6_framestrobe_o[16] , \tile_x6y6_framestrobe_o[15] , \tile_x6y6_framestrobe_o[14] , \tile_x6y6_framestrobe_o[13] , \tile_x6y6_framestrobe_o[12] , \tile_x6y6_framestrobe_o[11] , \tile_x6y6_framestrobe_o[10] , \tile_x6y6_framestrobe_o[9] , \tile_x6y6_framestrobe_o[8] , \tile_x6y6_framestrobe_o[7] , \tile_x6y6_framestrobe_o[6] , \tile_x6y6_framestrobe_o[5] , \tile_x6y6_framestrobe_o[4] , \tile_x6y6_framestrobe_o[3] , \tile_x6y6_framestrobe_o[2] , \tile_x6y6_framestrobe_o[1] , \tile_x6y6_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y5_framestrobe_o[19] , \tile_x6y5_framestrobe_o[18] , \tile_x6y5_framestrobe_o[17] , \tile_x6y5_framestrobe_o[16] , \tile_x6y5_framestrobe_o[15] , \tile_x6y5_framestrobe_o[14] , \tile_x6y5_framestrobe_o[13] , \tile_x6y5_framestrobe_o[12] , \tile_x6y5_framestrobe_o[11] , \tile_x6y5_framestrobe_o[10] , \tile_x6y5_framestrobe_o[9] , \tile_x6y5_framestrobe_o[8] , \tile_x6y5_framestrobe_o[7] , \tile_x6y5_framestrobe_o[6] , \tile_x6y5_framestrobe_o[5] , \tile_x6y5_framestrobe_o[4] , \tile_x6y5_framestrobe_o[3] , \tile_x6y5_framestrobe_o[2] , \tile_x6y5_framestrobe_o[1] , \tile_x6y5_framestrobe_o[0] }),
.n1beg({ \tile_x6y5_n1beg[3] , \tile_x6y5_n1beg[2] , \tile_x6y5_n1beg[1] , \tile_x6y5_n1beg[0] }),
.n1end({ \tile_x6y6_n1beg[3] , \tile_x6y6_n1beg[2] , \tile_x6y6_n1beg[1] , \tile_x6y6_n1beg[0] }),
.n2beg({ \tile_x6y5_n2beg[7] , \tile_x6y5_n2beg[6] , \tile_x6y5_n2beg[5] , \tile_x6y5_n2beg[4] , \tile_x6y5_n2beg[3] , \tile_x6y5_n2beg[2] , \tile_x6y5_n2beg[1] , \tile_x6y5_n2beg[0] }),
.n2begb({ \tile_x6y5_n2begb[7] , \tile_x6y5_n2begb[6] , \tile_x6y5_n2begb[5] , \tile_x6y5_n2begb[4] , \tile_x6y5_n2begb[3] , \tile_x6y5_n2begb[2] , \tile_x6y5_n2begb[1] , \tile_x6y5_n2begb[0] }),
.n2end({ \tile_x6y6_n2begb[7] , \tile_x6y6_n2begb[6] , \tile_x6y6_n2begb[5] , \tile_x6y6_n2begb[4] , \tile_x6y6_n2begb[3] , \tile_x6y6_n2begb[2] , \tile_x6y6_n2begb[1] , \tile_x6y6_n2begb[0] }),
.n2mid({ \tile_x6y6_n2beg[7] , \tile_x6y6_n2beg[6] , \tile_x6y6_n2beg[5] , \tile_x6y6_n2beg[4] , \tile_x6y6_n2beg[3] , \tile_x6y6_n2beg[2] , \tile_x6y6_n2beg[1] , \tile_x6y6_n2beg[0] }),
.n4beg({ \tile_x6y5_n4beg[15] , \tile_x6y5_n4beg[14] , \tile_x6y5_n4beg[13] , \tile_x6y5_n4beg[12] , \tile_x6y5_n4beg[11] , \tile_x6y5_n4beg[10] , \tile_x6y5_n4beg[9] , \tile_x6y5_n4beg[8] , \tile_x6y5_n4beg[7] , \tile_x6y5_n4beg[6] , \tile_x6y5_n4beg[5] , \tile_x6y5_n4beg[4] , \tile_x6y5_n4beg[3] , \tile_x6y5_n4beg[2] , \tile_x6y5_n4beg[1] , \tile_x6y5_n4beg[0] }),
.n4end({ \tile_x6y6_n4beg[15] , \tile_x6y6_n4beg[14] , \tile_x6y6_n4beg[13] , \tile_x6y6_n4beg[12] , \tile_x6y6_n4beg[11] , \tile_x6y6_n4beg[10] , \tile_x6y6_n4beg[9] , \tile_x6y6_n4beg[8] , \tile_x6y6_n4beg[7] , \tile_x6y6_n4beg[6] , \tile_x6y6_n4beg[5] , \tile_x6y6_n4beg[4] , \tile_x6y6_n4beg[3] , \tile_x6y6_n4beg[2] , \tile_x6y6_n4beg[1] , \tile_x6y6_n4beg[0] }),
.nn4beg({ \tile_x6y5_nn4beg[15] , \tile_x6y5_nn4beg[14] , \tile_x6y5_nn4beg[13] , \tile_x6y5_nn4beg[12] , \tile_x6y5_nn4beg[11] , \tile_x6y5_nn4beg[10] , \tile_x6y5_nn4beg[9] , \tile_x6y5_nn4beg[8] , \tile_x6y5_nn4beg[7] , \tile_x6y5_nn4beg[6] , \tile_x6y5_nn4beg[5] , \tile_x6y5_nn4beg[4] , \tile_x6y5_nn4beg[3] , \tile_x6y5_nn4beg[2] , \tile_x6y5_nn4beg[1] , \tile_x6y5_nn4beg[0] }),
.nn4end({ \tile_x6y6_nn4beg[15] , \tile_x6y6_nn4beg[14] , \tile_x6y6_nn4beg[13] , \tile_x6y6_nn4beg[12] , \tile_x6y6_nn4beg[11] , \tile_x6y6_nn4beg[10] , \tile_x6y6_nn4beg[9] , \tile_x6y6_nn4beg[8] , \tile_x6y6_nn4beg[7] , \tile_x6y6_nn4beg[6] , \tile_x6y6_nn4beg[5] , \tile_x6y6_nn4beg[4] , \tile_x6y6_nn4beg[3] , \tile_x6y6_nn4beg[2] , \tile_x6y6_nn4beg[1] , \tile_x6y6_nn4beg[0] }),
.s1beg({ \tile_x6y5_s1beg[3] , \tile_x6y5_s1beg[2] , \tile_x6y5_s1beg[1] , \tile_x6y5_s1beg[0] }),
.s1end({ \tile_x6y4_s1beg[3] , \tile_x6y4_s1beg[2] , \tile_x6y4_s1beg[1] , \tile_x6y4_s1beg[0] }),
.s2beg({ \tile_x6y5_s2beg[7] , \tile_x6y5_s2beg[6] , \tile_x6y5_s2beg[5] , \tile_x6y5_s2beg[4] , \tile_x6y5_s2beg[3] , \tile_x6y5_s2beg[2] , \tile_x6y5_s2beg[1] , \tile_x6y5_s2beg[0] }),
.s2begb({ \tile_x6y5_s2begb[7] , \tile_x6y5_s2begb[6] , \tile_x6y5_s2begb[5] , \tile_x6y5_s2begb[4] , \tile_x6y5_s2begb[3] , \tile_x6y5_s2begb[2] , \tile_x6y5_s2begb[1] , \tile_x6y5_s2begb[0] }),
.s2end({ \tile_x6y4_s2begb[7] , \tile_x6y4_s2begb[6] , \tile_x6y4_s2begb[5] , \tile_x6y4_s2begb[4] , \tile_x6y4_s2begb[3] , \tile_x6y4_s2begb[2] , \tile_x6y4_s2begb[1] , \tile_x6y4_s2begb[0] }),
.s2mid({ \tile_x6y4_s2beg[7] , \tile_x6y4_s2beg[6] , \tile_x6y4_s2beg[5] , \tile_x6y4_s2beg[4] , \tile_x6y4_s2beg[3] , \tile_x6y4_s2beg[2] , \tile_x6y4_s2beg[1] , \tile_x6y4_s2beg[0] }),
.s4beg({ \tile_x6y5_s4beg[15] , \tile_x6y5_s4beg[14] , \tile_x6y5_s4beg[13] , \tile_x6y5_s4beg[12] , \tile_x6y5_s4beg[11] , \tile_x6y5_s4beg[10] , \tile_x6y5_s4beg[9] , \tile_x6y5_s4beg[8] , \tile_x6y5_s4beg[7] , \tile_x6y5_s4beg[6] , \tile_x6y5_s4beg[5] , \tile_x6y5_s4beg[4] , \tile_x6y5_s4beg[3] , \tile_x6y5_s4beg[2] , \tile_x6y5_s4beg[1] , \tile_x6y5_s4beg[0] }),
.s4end({ \tile_x6y4_s4beg[15] , \tile_x6y4_s4beg[14] , \tile_x6y4_s4beg[13] , \tile_x6y4_s4beg[12] , \tile_x6y4_s4beg[11] , \tile_x6y4_s4beg[10] , \tile_x6y4_s4beg[9] , \tile_x6y4_s4beg[8] , \tile_x6y4_s4beg[7] , \tile_x6y4_s4beg[6] , \tile_x6y4_s4beg[5] , \tile_x6y4_s4beg[4] , \tile_x6y4_s4beg[3] , \tile_x6y4_s4beg[2] , \tile_x6y4_s4beg[1] , \tile_x6y4_s4beg[0] }),
.ss4beg({ \tile_x6y5_ss4beg[15] , \tile_x6y5_ss4beg[14] , \tile_x6y5_ss4beg[13] , \tile_x6y5_ss4beg[12] , \tile_x6y5_ss4beg[11] , \tile_x6y5_ss4beg[10] , \tile_x6y5_ss4beg[9] , \tile_x6y5_ss4beg[8] , \tile_x6y5_ss4beg[7] , \tile_x6y5_ss4beg[6] , \tile_x6y5_ss4beg[5] , \tile_x6y5_ss4beg[4] , \tile_x6y5_ss4beg[3] , \tile_x6y5_ss4beg[2] , \tile_x6y5_ss4beg[1] , \tile_x6y5_ss4beg[0] }),
.ss4end({ \tile_x6y4_ss4beg[15] , \tile_x6y4_ss4beg[14] , \tile_x6y4_ss4beg[13] , \tile_x6y4_ss4beg[12] , \tile_x6y4_ss4beg[11] , \tile_x6y4_ss4beg[10] , \tile_x6y4_ss4beg[9] , \tile_x6y4_ss4beg[8] , \tile_x6y4_ss4beg[7] , \tile_x6y4_ss4beg[6] , \tile_x6y4_ss4beg[5] , \tile_x6y4_ss4beg[4] , \tile_x6y4_ss4beg[3] , \tile_x6y4_ss4beg[2] , \tile_x6y4_ss4beg[1] , \tile_x6y4_ss4beg[0] }),
.userclk(tile_x6y6_userclko),
.userclko(tile_x6y5_userclko),
.w1beg({ \tile_x6y5_w1beg[3] , \tile_x6y5_w1beg[2] , \tile_x6y5_w1beg[1] , \tile_x6y5_w1beg[0] }),
.w1end({ \tile_x7y5_w1beg[3] , \tile_x7y5_w1beg[2] , \tile_x7y5_w1beg[1] , \tile_x7y5_w1beg[0] }),
.w2beg({ \tile_x6y5_w2beg[7] , \tile_x6y5_w2beg[6] , \tile_x6y5_w2beg[5] , \tile_x6y5_w2beg[4] , \tile_x6y5_w2beg[3] , \tile_x6y5_w2beg[2] , \tile_x6y5_w2beg[1] , \tile_x6y5_w2beg[0] }),
.w2begb({ \tile_x6y5_w2begb[7] , \tile_x6y5_w2begb[6] , \tile_x6y5_w2begb[5] , \tile_x6y5_w2begb[4] , \tile_x6y5_w2begb[3] , \tile_x6y5_w2begb[2] , \tile_x6y5_w2begb[1] , \tile_x6y5_w2begb[0] }),
.w2end({ \tile_x7y5_w2begb[7] , \tile_x7y5_w2begb[6] , \tile_x7y5_w2begb[5] , \tile_x7y5_w2begb[4] , \tile_x7y5_w2begb[3] , \tile_x7y5_w2begb[2] , \tile_x7y5_w2begb[1] , \tile_x7y5_w2begb[0] }),
.w2mid({ \tile_x7y5_w2beg[7] , \tile_x7y5_w2beg[6] , \tile_x7y5_w2beg[5] , \tile_x7y5_w2beg[4] , \tile_x7y5_w2beg[3] , \tile_x7y5_w2beg[2] , \tile_x7y5_w2beg[1] , \tile_x7y5_w2beg[0] }),
.w6beg({ \tile_x6y5_w6beg[11] , \tile_x6y5_w6beg[10] , \tile_x6y5_w6beg[9] , \tile_x6y5_w6beg[8] , \tile_x6y5_w6beg[7] , \tile_x6y5_w6beg[6] , \tile_x6y5_w6beg[5] , \tile_x6y5_w6beg[4] , \tile_x6y5_w6beg[3] , \tile_x6y5_w6beg[2] , \tile_x6y5_w6beg[1] , \tile_x6y5_w6beg[0] }),
.w6end({ \tile_x7y5_w6beg[11] , \tile_x7y5_w6beg[10] , \tile_x7y5_w6beg[9] , \tile_x7y5_w6beg[8] , \tile_x7y5_w6beg[7] , \tile_x7y5_w6beg[6] , \tile_x7y5_w6beg[5] , \tile_x7y5_w6beg[4] , \tile_x7y5_w6beg[3] , \tile_x7y5_w6beg[2] , \tile_x7y5_w6beg[1] , \tile_x7y5_w6beg[0] }),
.ww4beg({ \tile_x6y5_ww4beg[15] , \tile_x6y5_ww4beg[14] , \tile_x6y5_ww4beg[13] , \tile_x6y5_ww4beg[12] , \tile_x6y5_ww4beg[11] , \tile_x6y5_ww4beg[10] , \tile_x6y5_ww4beg[9] , \tile_x6y5_ww4beg[8] , \tile_x6y5_ww4beg[7] , \tile_x6y5_ww4beg[6] , \tile_x6y5_ww4beg[5] , \tile_x6y5_ww4beg[4] , \tile_x6y5_ww4beg[3] , \tile_x6y5_ww4beg[2] , \tile_x6y5_ww4beg[1] , \tile_x6y5_ww4beg[0] }),
.ww4end({ \tile_x7y5_ww4beg[15] , \tile_x7y5_ww4beg[14] , \tile_x7y5_ww4beg[13] , \tile_x7y5_ww4beg[12] , \tile_x7y5_ww4beg[11] , \tile_x7y5_ww4beg[10] , \tile_x7y5_ww4beg[9] , \tile_x7y5_ww4beg[8] , \tile_x7y5_ww4beg[7] , \tile_x7y5_ww4beg[6] , \tile_x7y5_ww4beg[5] , \tile_x7y5_ww4beg[4] , \tile_x7y5_ww4beg[3] , \tile_x7y5_ww4beg[2] , \tile_x7y5_ww4beg[1] , \tile_x7y5_ww4beg[0] })
);
lut4ab tile_x6y6_lut4ab (
.ci(tile_x6y7_co),
.co(tile_x6y6_co),
.e1beg({ \tile_x6y6_e1beg[3] , \tile_x6y6_e1beg[2] , \tile_x6y6_e1beg[1] , \tile_x6y6_e1beg[0] }),
.e1end({ \tile_x5y6_e1beg[3] , \tile_x5y6_e1beg[2] , \tile_x5y6_e1beg[1] , \tile_x5y6_e1beg[0] }),
.e2beg({ \tile_x6y6_e2beg[7] , \tile_x6y6_e2beg[6] , \tile_x6y6_e2beg[5] , \tile_x6y6_e2beg[4] , \tile_x6y6_e2beg[3] , \tile_x6y6_e2beg[2] , \tile_x6y6_e2beg[1] , \tile_x6y6_e2beg[0] }),
.e2begb({ \tile_x6y6_e2begb[7] , \tile_x6y6_e2begb[6] , \tile_x6y6_e2begb[5] , \tile_x6y6_e2begb[4] , \tile_x6y6_e2begb[3] , \tile_x6y6_e2begb[2] , \tile_x6y6_e2begb[1] , \tile_x6y6_e2begb[0] }),
.e2end({ \tile_x5y6_e2begb[7] , \tile_x5y6_e2begb[6] , \tile_x5y6_e2begb[5] , \tile_x5y6_e2begb[4] , \tile_x5y6_e2begb[3] , \tile_x5y6_e2begb[2] , \tile_x5y6_e2begb[1] , \tile_x5y6_e2begb[0] }),
.e2mid({ \tile_x5y6_e2beg[7] , \tile_x5y6_e2beg[6] , \tile_x5y6_e2beg[5] , \tile_x5y6_e2beg[4] , \tile_x5y6_e2beg[3] , \tile_x5y6_e2beg[2] , \tile_x5y6_e2beg[1] , \tile_x5y6_e2beg[0] }),
.e6beg({ \tile_x6y6_e6beg[11] , \tile_x6y6_e6beg[10] , \tile_x6y6_e6beg[9] , \tile_x6y6_e6beg[8] , \tile_x6y6_e6beg[7] , \tile_x6y6_e6beg[6] , \tile_x6y6_e6beg[5] , \tile_x6y6_e6beg[4] , \tile_x6y6_e6beg[3] , \tile_x6y6_e6beg[2] , \tile_x6y6_e6beg[1] , \tile_x6y6_e6beg[0] }),
.e6end({ \tile_x5y6_e6beg[11] , \tile_x5y6_e6beg[10] , \tile_x5y6_e6beg[9] , \tile_x5y6_e6beg[8] , \tile_x5y6_e6beg[7] , \tile_x5y6_e6beg[6] , \tile_x5y6_e6beg[5] , \tile_x5y6_e6beg[4] , \tile_x5y6_e6beg[3] , \tile_x5y6_e6beg[2] , \tile_x5y6_e6beg[1] , \tile_x5y6_e6beg[0] }),
.ee4beg({ \tile_x6y6_ee4beg[15] , \tile_x6y6_ee4beg[14] , \tile_x6y6_ee4beg[13] , \tile_x6y6_ee4beg[12] , \tile_x6y6_ee4beg[11] , \tile_x6y6_ee4beg[10] , \tile_x6y6_ee4beg[9] , \tile_x6y6_ee4beg[8] , \tile_x6y6_ee4beg[7] , \tile_x6y6_ee4beg[6] , \tile_x6y6_ee4beg[5] , \tile_x6y6_ee4beg[4] , \tile_x6y6_ee4beg[3] , \tile_x6y6_ee4beg[2] , \tile_x6y6_ee4beg[1] , \tile_x6y6_ee4beg[0] }),
.ee4end({ \tile_x5y6_ee4beg[15] , \tile_x5y6_ee4beg[14] , \tile_x5y6_ee4beg[13] , \tile_x5y6_ee4beg[12] , \tile_x5y6_ee4beg[11] , \tile_x5y6_ee4beg[10] , \tile_x5y6_ee4beg[9] , \tile_x5y6_ee4beg[8] , \tile_x5y6_ee4beg[7] , \tile_x5y6_ee4beg[6] , \tile_x5y6_ee4beg[5] , \tile_x5y6_ee4beg[4] , \tile_x5y6_ee4beg[3] , \tile_x5y6_ee4beg[2] , \tile_x5y6_ee4beg[1] , \tile_x5y6_ee4beg[0] }),
.framedata({ \tile_x5y6_framedata_o[31] , \tile_x5y6_framedata_o[30] , \tile_x5y6_framedata_o[29] , \tile_x5y6_framedata_o[28] , \tile_x5y6_framedata_o[27] , \tile_x5y6_framedata_o[26] , \tile_x5y6_framedata_o[25] , \tile_x5y6_framedata_o[24] , \tile_x5y6_framedata_o[23] , \tile_x5y6_framedata_o[22] , \tile_x5y6_framedata_o[21] , \tile_x5y6_framedata_o[20] , \tile_x5y6_framedata_o[19] , \tile_x5y6_framedata_o[18] , \tile_x5y6_framedata_o[17] , \tile_x5y6_framedata_o[16] , \tile_x5y6_framedata_o[15] , \tile_x5y6_framedata_o[14] , \tile_x5y6_framedata_o[13] , \tile_x5y6_framedata_o[12] , \tile_x5y6_framedata_o[11] , \tile_x5y6_framedata_o[10] , \tile_x5y6_framedata_o[9] , \tile_x5y6_framedata_o[8] , \tile_x5y6_framedata_o[7] , \tile_x5y6_framedata_o[6] , \tile_x5y6_framedata_o[5] , \tile_x5y6_framedata_o[4] , \tile_x5y6_framedata_o[3] , \tile_x5y6_framedata_o[2] , \tile_x5y6_framedata_o[1] , \tile_x5y6_framedata_o[0] }),
.framedata_o({ \tile_x6y6_framedata_o[31] , \tile_x6y6_framedata_o[30] , \tile_x6y6_framedata_o[29] , \tile_x6y6_framedata_o[28] , \tile_x6y6_framedata_o[27] , \tile_x6y6_framedata_o[26] , \tile_x6y6_framedata_o[25] , \tile_x6y6_framedata_o[24] , \tile_x6y6_framedata_o[23] , \tile_x6y6_framedata_o[22] , \tile_x6y6_framedata_o[21] , \tile_x6y6_framedata_o[20] , \tile_x6y6_framedata_o[19] , \tile_x6y6_framedata_o[18] , \tile_x6y6_framedata_o[17] , \tile_x6y6_framedata_o[16] , \tile_x6y6_framedata_o[15] , \tile_x6y6_framedata_o[14] , \tile_x6y6_framedata_o[13] , \tile_x6y6_framedata_o[12] , \tile_x6y6_framedata_o[11] , \tile_x6y6_framedata_o[10] , \tile_x6y6_framedata_o[9] , \tile_x6y6_framedata_o[8] , \tile_x6y6_framedata_o[7] , \tile_x6y6_framedata_o[6] , \tile_x6y6_framedata_o[5] , \tile_x6y6_framedata_o[4] , \tile_x6y6_framedata_o[3] , \tile_x6y6_framedata_o[2] , \tile_x6y6_framedata_o[1] , \tile_x6y6_framedata_o[0] }),
.framestrobe({ \tile_x6y7_framestrobe_o[19] , \tile_x6y7_framestrobe_o[18] , \tile_x6y7_framestrobe_o[17] , \tile_x6y7_framestrobe_o[16] , \tile_x6y7_framestrobe_o[15] , \tile_x6y7_framestrobe_o[14] , \tile_x6y7_framestrobe_o[13] , \tile_x6y7_framestrobe_o[12] , \tile_x6y7_framestrobe_o[11] , \tile_x6y7_framestrobe_o[10] , \tile_x6y7_framestrobe_o[9] , \tile_x6y7_framestrobe_o[8] , \tile_x6y7_framestrobe_o[7] , \tile_x6y7_framestrobe_o[6] , \tile_x6y7_framestrobe_o[5] , \tile_x6y7_framestrobe_o[4] , \tile_x6y7_framestrobe_o[3] , \tile_x6y7_framestrobe_o[2] , \tile_x6y7_framestrobe_o[1] , \tile_x6y7_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y6_framestrobe_o[19] , \tile_x6y6_framestrobe_o[18] , \tile_x6y6_framestrobe_o[17] , \tile_x6y6_framestrobe_o[16] , \tile_x6y6_framestrobe_o[15] , \tile_x6y6_framestrobe_o[14] , \tile_x6y6_framestrobe_o[13] , \tile_x6y6_framestrobe_o[12] , \tile_x6y6_framestrobe_o[11] , \tile_x6y6_framestrobe_o[10] , \tile_x6y6_framestrobe_o[9] , \tile_x6y6_framestrobe_o[8] , \tile_x6y6_framestrobe_o[7] , \tile_x6y6_framestrobe_o[6] , \tile_x6y6_framestrobe_o[5] , \tile_x6y6_framestrobe_o[4] , \tile_x6y6_framestrobe_o[3] , \tile_x6y6_framestrobe_o[2] , \tile_x6y6_framestrobe_o[1] , \tile_x6y6_framestrobe_o[0] }),
.n1beg({ \tile_x6y6_n1beg[3] , \tile_x6y6_n1beg[2] , \tile_x6y6_n1beg[1] , \tile_x6y6_n1beg[0] }),
.n1end({ \tile_x6y7_n1beg[3] , \tile_x6y7_n1beg[2] , \tile_x6y7_n1beg[1] , \tile_x6y7_n1beg[0] }),
.n2beg({ \tile_x6y6_n2beg[7] , \tile_x6y6_n2beg[6] , \tile_x6y6_n2beg[5] , \tile_x6y6_n2beg[4] , \tile_x6y6_n2beg[3] , \tile_x6y6_n2beg[2] , \tile_x6y6_n2beg[1] , \tile_x6y6_n2beg[0] }),
.n2begb({ \tile_x6y6_n2begb[7] , \tile_x6y6_n2begb[6] , \tile_x6y6_n2begb[5] , \tile_x6y6_n2begb[4] , \tile_x6y6_n2begb[3] , \tile_x6y6_n2begb[2] , \tile_x6y6_n2begb[1] , \tile_x6y6_n2begb[0] }),
.n2end({ \tile_x6y7_n2begb[7] , \tile_x6y7_n2begb[6] , \tile_x6y7_n2begb[5] , \tile_x6y7_n2begb[4] , \tile_x6y7_n2begb[3] , \tile_x6y7_n2begb[2] , \tile_x6y7_n2begb[1] , \tile_x6y7_n2begb[0] }),
.n2mid({ \tile_x6y7_n2beg[7] , \tile_x6y7_n2beg[6] , \tile_x6y7_n2beg[5] , \tile_x6y7_n2beg[4] , \tile_x6y7_n2beg[3] , \tile_x6y7_n2beg[2] , \tile_x6y7_n2beg[1] , \tile_x6y7_n2beg[0] }),
.n4beg({ \tile_x6y6_n4beg[15] , \tile_x6y6_n4beg[14] , \tile_x6y6_n4beg[13] , \tile_x6y6_n4beg[12] , \tile_x6y6_n4beg[11] , \tile_x6y6_n4beg[10] , \tile_x6y6_n4beg[9] , \tile_x6y6_n4beg[8] , \tile_x6y6_n4beg[7] , \tile_x6y6_n4beg[6] , \tile_x6y6_n4beg[5] , \tile_x6y6_n4beg[4] , \tile_x6y6_n4beg[3] , \tile_x6y6_n4beg[2] , \tile_x6y6_n4beg[1] , \tile_x6y6_n4beg[0] }),
.n4end({ \tile_x6y7_n4beg[15] , \tile_x6y7_n4beg[14] , \tile_x6y7_n4beg[13] , \tile_x6y7_n4beg[12] , \tile_x6y7_n4beg[11] , \tile_x6y7_n4beg[10] , \tile_x6y7_n4beg[9] , \tile_x6y7_n4beg[8] , \tile_x6y7_n4beg[7] , \tile_x6y7_n4beg[6] , \tile_x6y7_n4beg[5] , \tile_x6y7_n4beg[4] , \tile_x6y7_n4beg[3] , \tile_x6y7_n4beg[2] , \tile_x6y7_n4beg[1] , \tile_x6y7_n4beg[0] }),
.nn4beg({ \tile_x6y6_nn4beg[15] , \tile_x6y6_nn4beg[14] , \tile_x6y6_nn4beg[13] , \tile_x6y6_nn4beg[12] , \tile_x6y6_nn4beg[11] , \tile_x6y6_nn4beg[10] , \tile_x6y6_nn4beg[9] , \tile_x6y6_nn4beg[8] , \tile_x6y6_nn4beg[7] , \tile_x6y6_nn4beg[6] , \tile_x6y6_nn4beg[5] , \tile_x6y6_nn4beg[4] , \tile_x6y6_nn4beg[3] , \tile_x6y6_nn4beg[2] , \tile_x6y6_nn4beg[1] , \tile_x6y6_nn4beg[0] }),
.nn4end({ \tile_x6y7_nn4beg[15] , \tile_x6y7_nn4beg[14] , \tile_x6y7_nn4beg[13] , \tile_x6y7_nn4beg[12] , \tile_x6y7_nn4beg[11] , \tile_x6y7_nn4beg[10] , \tile_x6y7_nn4beg[9] , \tile_x6y7_nn4beg[8] , \tile_x6y7_nn4beg[7] , \tile_x6y7_nn4beg[6] , \tile_x6y7_nn4beg[5] , \tile_x6y7_nn4beg[4] , \tile_x6y7_nn4beg[3] , \tile_x6y7_nn4beg[2] , \tile_x6y7_nn4beg[1] , \tile_x6y7_nn4beg[0] }),
.s1beg({ \tile_x6y6_s1beg[3] , \tile_x6y6_s1beg[2] , \tile_x6y6_s1beg[1] , \tile_x6y6_s1beg[0] }),
.s1end({ \tile_x6y5_s1beg[3] , \tile_x6y5_s1beg[2] , \tile_x6y5_s1beg[1] , \tile_x6y5_s1beg[0] }),
.s2beg({ \tile_x6y6_s2beg[7] , \tile_x6y6_s2beg[6] , \tile_x6y6_s2beg[5] , \tile_x6y6_s2beg[4] , \tile_x6y6_s2beg[3] , \tile_x6y6_s2beg[2] , \tile_x6y6_s2beg[1] , \tile_x6y6_s2beg[0] }),
.s2begb({ \tile_x6y6_s2begb[7] , \tile_x6y6_s2begb[6] , \tile_x6y6_s2begb[5] , \tile_x6y6_s2begb[4] , \tile_x6y6_s2begb[3] , \tile_x6y6_s2begb[2] , \tile_x6y6_s2begb[1] , \tile_x6y6_s2begb[0] }),
.s2end({ \tile_x6y5_s2begb[7] , \tile_x6y5_s2begb[6] , \tile_x6y5_s2begb[5] , \tile_x6y5_s2begb[4] , \tile_x6y5_s2begb[3] , \tile_x6y5_s2begb[2] , \tile_x6y5_s2begb[1] , \tile_x6y5_s2begb[0] }),
.s2mid({ \tile_x6y5_s2beg[7] , \tile_x6y5_s2beg[6] , \tile_x6y5_s2beg[5] , \tile_x6y5_s2beg[4] , \tile_x6y5_s2beg[3] , \tile_x6y5_s2beg[2] , \tile_x6y5_s2beg[1] , \tile_x6y5_s2beg[0] }),
.s4beg({ \tile_x6y6_s4beg[15] , \tile_x6y6_s4beg[14] , \tile_x6y6_s4beg[13] , \tile_x6y6_s4beg[12] , \tile_x6y6_s4beg[11] , \tile_x6y6_s4beg[10] , \tile_x6y6_s4beg[9] , \tile_x6y6_s4beg[8] , \tile_x6y6_s4beg[7] , \tile_x6y6_s4beg[6] , \tile_x6y6_s4beg[5] , \tile_x6y6_s4beg[4] , \tile_x6y6_s4beg[3] , \tile_x6y6_s4beg[2] , \tile_x6y6_s4beg[1] , \tile_x6y6_s4beg[0] }),
.s4end({ \tile_x6y5_s4beg[15] , \tile_x6y5_s4beg[14] , \tile_x6y5_s4beg[13] , \tile_x6y5_s4beg[12] , \tile_x6y5_s4beg[11] , \tile_x6y5_s4beg[10] , \tile_x6y5_s4beg[9] , \tile_x6y5_s4beg[8] , \tile_x6y5_s4beg[7] , \tile_x6y5_s4beg[6] , \tile_x6y5_s4beg[5] , \tile_x6y5_s4beg[4] , \tile_x6y5_s4beg[3] , \tile_x6y5_s4beg[2] , \tile_x6y5_s4beg[1] , \tile_x6y5_s4beg[0] }),
.ss4beg({ \tile_x6y6_ss4beg[15] , \tile_x6y6_ss4beg[14] , \tile_x6y6_ss4beg[13] , \tile_x6y6_ss4beg[12] , \tile_x6y6_ss4beg[11] , \tile_x6y6_ss4beg[10] , \tile_x6y6_ss4beg[9] , \tile_x6y6_ss4beg[8] , \tile_x6y6_ss4beg[7] , \tile_x6y6_ss4beg[6] , \tile_x6y6_ss4beg[5] , \tile_x6y6_ss4beg[4] , \tile_x6y6_ss4beg[3] , \tile_x6y6_ss4beg[2] , \tile_x6y6_ss4beg[1] , \tile_x6y6_ss4beg[0] }),
.ss4end({ \tile_x6y5_ss4beg[15] , \tile_x6y5_ss4beg[14] , \tile_x6y5_ss4beg[13] , \tile_x6y5_ss4beg[12] , \tile_x6y5_ss4beg[11] , \tile_x6y5_ss4beg[10] , \tile_x6y5_ss4beg[9] , \tile_x6y5_ss4beg[8] , \tile_x6y5_ss4beg[7] , \tile_x6y5_ss4beg[6] , \tile_x6y5_ss4beg[5] , \tile_x6y5_ss4beg[4] , \tile_x6y5_ss4beg[3] , \tile_x6y5_ss4beg[2] , \tile_x6y5_ss4beg[1] , \tile_x6y5_ss4beg[0] }),
.userclk(tile_x6y7_userclko),
.userclko(tile_x6y6_userclko),
.w1beg({ \tile_x6y6_w1beg[3] , \tile_x6y6_w1beg[2] , \tile_x6y6_w1beg[1] , \tile_x6y6_w1beg[0] }),
.w1end({ \tile_x7y6_w1beg[3] , \tile_x7y6_w1beg[2] , \tile_x7y6_w1beg[1] , \tile_x7y6_w1beg[0] }),
.w2beg({ \tile_x6y6_w2beg[7] , \tile_x6y6_w2beg[6] , \tile_x6y6_w2beg[5] , \tile_x6y6_w2beg[4] , \tile_x6y6_w2beg[3] , \tile_x6y6_w2beg[2] , \tile_x6y6_w2beg[1] , \tile_x6y6_w2beg[0] }),
.w2begb({ \tile_x6y6_w2begb[7] , \tile_x6y6_w2begb[6] , \tile_x6y6_w2begb[5] , \tile_x6y6_w2begb[4] , \tile_x6y6_w2begb[3] , \tile_x6y6_w2begb[2] , \tile_x6y6_w2begb[1] , \tile_x6y6_w2begb[0] }),
.w2end({ \tile_x7y6_w2begb[7] , \tile_x7y6_w2begb[6] , \tile_x7y6_w2begb[5] , \tile_x7y6_w2begb[4] , \tile_x7y6_w2begb[3] , \tile_x7y6_w2begb[2] , \tile_x7y6_w2begb[1] , \tile_x7y6_w2begb[0] }),
.w2mid({ \tile_x7y6_w2beg[7] , \tile_x7y6_w2beg[6] , \tile_x7y6_w2beg[5] , \tile_x7y6_w2beg[4] , \tile_x7y6_w2beg[3] , \tile_x7y6_w2beg[2] , \tile_x7y6_w2beg[1] , \tile_x7y6_w2beg[0] }),
.w6beg({ \tile_x6y6_w6beg[11] , \tile_x6y6_w6beg[10] , \tile_x6y6_w6beg[9] , \tile_x6y6_w6beg[8] , \tile_x6y6_w6beg[7] , \tile_x6y6_w6beg[6] , \tile_x6y6_w6beg[5] , \tile_x6y6_w6beg[4] , \tile_x6y6_w6beg[3] , \tile_x6y6_w6beg[2] , \tile_x6y6_w6beg[1] , \tile_x6y6_w6beg[0] }),
.w6end({ \tile_x7y6_w6beg[11] , \tile_x7y6_w6beg[10] , \tile_x7y6_w6beg[9] , \tile_x7y6_w6beg[8] , \tile_x7y6_w6beg[7] , \tile_x7y6_w6beg[6] , \tile_x7y6_w6beg[5] , \tile_x7y6_w6beg[4] , \tile_x7y6_w6beg[3] , \tile_x7y6_w6beg[2] , \tile_x7y6_w6beg[1] , \tile_x7y6_w6beg[0] }),
.ww4beg({ \tile_x6y6_ww4beg[15] , \tile_x6y6_ww4beg[14] , \tile_x6y6_ww4beg[13] , \tile_x6y6_ww4beg[12] , \tile_x6y6_ww4beg[11] , \tile_x6y6_ww4beg[10] , \tile_x6y6_ww4beg[9] , \tile_x6y6_ww4beg[8] , \tile_x6y6_ww4beg[7] , \tile_x6y6_ww4beg[6] , \tile_x6y6_ww4beg[5] , \tile_x6y6_ww4beg[4] , \tile_x6y6_ww4beg[3] , \tile_x6y6_ww4beg[2] , \tile_x6y6_ww4beg[1] , \tile_x6y6_ww4beg[0] }),
.ww4end({ \tile_x7y6_ww4beg[15] , \tile_x7y6_ww4beg[14] , \tile_x7y6_ww4beg[13] , \tile_x7y6_ww4beg[12] , \tile_x7y6_ww4beg[11] , \tile_x7y6_ww4beg[10] , \tile_x7y6_ww4beg[9] , \tile_x7y6_ww4beg[8] , \tile_x7y6_ww4beg[7] , \tile_x7y6_ww4beg[6] , \tile_x7y6_ww4beg[5] , \tile_x7y6_ww4beg[4] , \tile_x7y6_ww4beg[3] , \tile_x7y6_ww4beg[2] , \tile_x7y6_ww4beg[1] , \tile_x7y6_ww4beg[0] })
);
lut4ab tile_x6y7_lut4ab (
.ci(tile_x6y8_co),
.co(tile_x6y7_co),
.e1beg({ \tile_x6y7_e1beg[3] , \tile_x6y7_e1beg[2] , \tile_x6y7_e1beg[1] , \tile_x6y7_e1beg[0] }),
.e1end({ \tile_x5y7_e1beg[3] , \tile_x5y7_e1beg[2] , \tile_x5y7_e1beg[1] , \tile_x5y7_e1beg[0] }),
.e2beg({ \tile_x6y7_e2beg[7] , \tile_x6y7_e2beg[6] , \tile_x6y7_e2beg[5] , \tile_x6y7_e2beg[4] , \tile_x6y7_e2beg[3] , \tile_x6y7_e2beg[2] , \tile_x6y7_e2beg[1] , \tile_x6y7_e2beg[0] }),
.e2begb({ \tile_x6y7_e2begb[7] , \tile_x6y7_e2begb[6] , \tile_x6y7_e2begb[5] , \tile_x6y7_e2begb[4] , \tile_x6y7_e2begb[3] , \tile_x6y7_e2begb[2] , \tile_x6y7_e2begb[1] , \tile_x6y7_e2begb[0] }),
.e2end({ \tile_x5y7_e2begb[7] , \tile_x5y7_e2begb[6] , \tile_x5y7_e2begb[5] , \tile_x5y7_e2begb[4] , \tile_x5y7_e2begb[3] , \tile_x5y7_e2begb[2] , \tile_x5y7_e2begb[1] , \tile_x5y7_e2begb[0] }),
.e2mid({ \tile_x5y7_e2beg[7] , \tile_x5y7_e2beg[6] , \tile_x5y7_e2beg[5] , \tile_x5y7_e2beg[4] , \tile_x5y7_e2beg[3] , \tile_x5y7_e2beg[2] , \tile_x5y7_e2beg[1] , \tile_x5y7_e2beg[0] }),
.e6beg({ \tile_x6y7_e6beg[11] , \tile_x6y7_e6beg[10] , \tile_x6y7_e6beg[9] , \tile_x6y7_e6beg[8] , \tile_x6y7_e6beg[7] , \tile_x6y7_e6beg[6] , \tile_x6y7_e6beg[5] , \tile_x6y7_e6beg[4] , \tile_x6y7_e6beg[3] , \tile_x6y7_e6beg[2] , \tile_x6y7_e6beg[1] , \tile_x6y7_e6beg[0] }),
.e6end({ \tile_x5y7_e6beg[11] , \tile_x5y7_e6beg[10] , \tile_x5y7_e6beg[9] , \tile_x5y7_e6beg[8] , \tile_x5y7_e6beg[7] , \tile_x5y7_e6beg[6] , \tile_x5y7_e6beg[5] , \tile_x5y7_e6beg[4] , \tile_x5y7_e6beg[3] , \tile_x5y7_e6beg[2] , \tile_x5y7_e6beg[1] , \tile_x5y7_e6beg[0] }),
.ee4beg({ \tile_x6y7_ee4beg[15] , \tile_x6y7_ee4beg[14] , \tile_x6y7_ee4beg[13] , \tile_x6y7_ee4beg[12] , \tile_x6y7_ee4beg[11] , \tile_x6y7_ee4beg[10] , \tile_x6y7_ee4beg[9] , \tile_x6y7_ee4beg[8] , \tile_x6y7_ee4beg[7] , \tile_x6y7_ee4beg[6] , \tile_x6y7_ee4beg[5] , \tile_x6y7_ee4beg[4] , \tile_x6y7_ee4beg[3] , \tile_x6y7_ee4beg[2] , \tile_x6y7_ee4beg[1] , \tile_x6y7_ee4beg[0] }),
.ee4end({ \tile_x5y7_ee4beg[15] , \tile_x5y7_ee4beg[14] , \tile_x5y7_ee4beg[13] , \tile_x5y7_ee4beg[12] , \tile_x5y7_ee4beg[11] , \tile_x5y7_ee4beg[10] , \tile_x5y7_ee4beg[9] , \tile_x5y7_ee4beg[8] , \tile_x5y7_ee4beg[7] , \tile_x5y7_ee4beg[6] , \tile_x5y7_ee4beg[5] , \tile_x5y7_ee4beg[4] , \tile_x5y7_ee4beg[3] , \tile_x5y7_ee4beg[2] , \tile_x5y7_ee4beg[1] , \tile_x5y7_ee4beg[0] }),
.framedata({ \tile_x5y7_framedata_o[31] , \tile_x5y7_framedata_o[30] , \tile_x5y7_framedata_o[29] , \tile_x5y7_framedata_o[28] , \tile_x5y7_framedata_o[27] , \tile_x5y7_framedata_o[26] , \tile_x5y7_framedata_o[25] , \tile_x5y7_framedata_o[24] , \tile_x5y7_framedata_o[23] , \tile_x5y7_framedata_o[22] , \tile_x5y7_framedata_o[21] , \tile_x5y7_framedata_o[20] , \tile_x5y7_framedata_o[19] , \tile_x5y7_framedata_o[18] , \tile_x5y7_framedata_o[17] , \tile_x5y7_framedata_o[16] , \tile_x5y7_framedata_o[15] , \tile_x5y7_framedata_o[14] , \tile_x5y7_framedata_o[13] , \tile_x5y7_framedata_o[12] , \tile_x5y7_framedata_o[11] , \tile_x5y7_framedata_o[10] , \tile_x5y7_framedata_o[9] , \tile_x5y7_framedata_o[8] , \tile_x5y7_framedata_o[7] , \tile_x5y7_framedata_o[6] , \tile_x5y7_framedata_o[5] , \tile_x5y7_framedata_o[4] , \tile_x5y7_framedata_o[3] , \tile_x5y7_framedata_o[2] , \tile_x5y7_framedata_o[1] , \tile_x5y7_framedata_o[0] }),
.framedata_o({ \tile_x6y7_framedata_o[31] , \tile_x6y7_framedata_o[30] , \tile_x6y7_framedata_o[29] , \tile_x6y7_framedata_o[28] , \tile_x6y7_framedata_o[27] , \tile_x6y7_framedata_o[26] , \tile_x6y7_framedata_o[25] , \tile_x6y7_framedata_o[24] , \tile_x6y7_framedata_o[23] , \tile_x6y7_framedata_o[22] , \tile_x6y7_framedata_o[21] , \tile_x6y7_framedata_o[20] , \tile_x6y7_framedata_o[19] , \tile_x6y7_framedata_o[18] , \tile_x6y7_framedata_o[17] , \tile_x6y7_framedata_o[16] , \tile_x6y7_framedata_o[15] , \tile_x6y7_framedata_o[14] , \tile_x6y7_framedata_o[13] , \tile_x6y7_framedata_o[12] , \tile_x6y7_framedata_o[11] , \tile_x6y7_framedata_o[10] , \tile_x6y7_framedata_o[9] , \tile_x6y7_framedata_o[8] , \tile_x6y7_framedata_o[7] , \tile_x6y7_framedata_o[6] , \tile_x6y7_framedata_o[5] , \tile_x6y7_framedata_o[4] , \tile_x6y7_framedata_o[3] , \tile_x6y7_framedata_o[2] , \tile_x6y7_framedata_o[1] , \tile_x6y7_framedata_o[0] }),
.framestrobe({ \tile_x6y8_framestrobe_o[19] , \tile_x6y8_framestrobe_o[18] , \tile_x6y8_framestrobe_o[17] , \tile_x6y8_framestrobe_o[16] , \tile_x6y8_framestrobe_o[15] , \tile_x6y8_framestrobe_o[14] , \tile_x6y8_framestrobe_o[13] , \tile_x6y8_framestrobe_o[12] , \tile_x6y8_framestrobe_o[11] , \tile_x6y8_framestrobe_o[10] , \tile_x6y8_framestrobe_o[9] , \tile_x6y8_framestrobe_o[8] , \tile_x6y8_framestrobe_o[7] , \tile_x6y8_framestrobe_o[6] , \tile_x6y8_framestrobe_o[5] , \tile_x6y8_framestrobe_o[4] , \tile_x6y8_framestrobe_o[3] , \tile_x6y8_framestrobe_o[2] , \tile_x6y8_framestrobe_o[1] , \tile_x6y8_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y7_framestrobe_o[19] , \tile_x6y7_framestrobe_o[18] , \tile_x6y7_framestrobe_o[17] , \tile_x6y7_framestrobe_o[16] , \tile_x6y7_framestrobe_o[15] , \tile_x6y7_framestrobe_o[14] , \tile_x6y7_framestrobe_o[13] , \tile_x6y7_framestrobe_o[12] , \tile_x6y7_framestrobe_o[11] , \tile_x6y7_framestrobe_o[10] , \tile_x6y7_framestrobe_o[9] , \tile_x6y7_framestrobe_o[8] , \tile_x6y7_framestrobe_o[7] , \tile_x6y7_framestrobe_o[6] , \tile_x6y7_framestrobe_o[5] , \tile_x6y7_framestrobe_o[4] , \tile_x6y7_framestrobe_o[3] , \tile_x6y7_framestrobe_o[2] , \tile_x6y7_framestrobe_o[1] , \tile_x6y7_framestrobe_o[0] }),
.n1beg({ \tile_x6y7_n1beg[3] , \tile_x6y7_n1beg[2] , \tile_x6y7_n1beg[1] , \tile_x6y7_n1beg[0] }),
.n1end({ \tile_x6y8_n1beg[3] , \tile_x6y8_n1beg[2] , \tile_x6y8_n1beg[1] , \tile_x6y8_n1beg[0] }),
.n2beg({ \tile_x6y7_n2beg[7] , \tile_x6y7_n2beg[6] , \tile_x6y7_n2beg[5] , \tile_x6y7_n2beg[4] , \tile_x6y7_n2beg[3] , \tile_x6y7_n2beg[2] , \tile_x6y7_n2beg[1] , \tile_x6y7_n2beg[0] }),
.n2begb({ \tile_x6y7_n2begb[7] , \tile_x6y7_n2begb[6] , \tile_x6y7_n2begb[5] , \tile_x6y7_n2begb[4] , \tile_x6y7_n2begb[3] , \tile_x6y7_n2begb[2] , \tile_x6y7_n2begb[1] , \tile_x6y7_n2begb[0] }),
.n2end({ \tile_x6y8_n2begb[7] , \tile_x6y8_n2begb[6] , \tile_x6y8_n2begb[5] , \tile_x6y8_n2begb[4] , \tile_x6y8_n2begb[3] , \tile_x6y8_n2begb[2] , \tile_x6y8_n2begb[1] , \tile_x6y8_n2begb[0] }),
.n2mid({ \tile_x6y8_n2beg[7] , \tile_x6y8_n2beg[6] , \tile_x6y8_n2beg[5] , \tile_x6y8_n2beg[4] , \tile_x6y8_n2beg[3] , \tile_x6y8_n2beg[2] , \tile_x6y8_n2beg[1] , \tile_x6y8_n2beg[0] }),
.n4beg({ \tile_x6y7_n4beg[15] , \tile_x6y7_n4beg[14] , \tile_x6y7_n4beg[13] , \tile_x6y7_n4beg[12] , \tile_x6y7_n4beg[11] , \tile_x6y7_n4beg[10] , \tile_x6y7_n4beg[9] , \tile_x6y7_n4beg[8] , \tile_x6y7_n4beg[7] , \tile_x6y7_n4beg[6] , \tile_x6y7_n4beg[5] , \tile_x6y7_n4beg[4] , \tile_x6y7_n4beg[3] , \tile_x6y7_n4beg[2] , \tile_x6y7_n4beg[1] , \tile_x6y7_n4beg[0] }),
.n4end({ \tile_x6y8_n4beg[15] , \tile_x6y8_n4beg[14] , \tile_x6y8_n4beg[13] , \tile_x6y8_n4beg[12] , \tile_x6y8_n4beg[11] , \tile_x6y8_n4beg[10] , \tile_x6y8_n4beg[9] , \tile_x6y8_n4beg[8] , \tile_x6y8_n4beg[7] , \tile_x6y8_n4beg[6] , \tile_x6y8_n4beg[5] , \tile_x6y8_n4beg[4] , \tile_x6y8_n4beg[3] , \tile_x6y8_n4beg[2] , \tile_x6y8_n4beg[1] , \tile_x6y8_n4beg[0] }),
.nn4beg({ \tile_x6y7_nn4beg[15] , \tile_x6y7_nn4beg[14] , \tile_x6y7_nn4beg[13] , \tile_x6y7_nn4beg[12] , \tile_x6y7_nn4beg[11] , \tile_x6y7_nn4beg[10] , \tile_x6y7_nn4beg[9] , \tile_x6y7_nn4beg[8] , \tile_x6y7_nn4beg[7] , \tile_x6y7_nn4beg[6] , \tile_x6y7_nn4beg[5] , \tile_x6y7_nn4beg[4] , \tile_x6y7_nn4beg[3] , \tile_x6y7_nn4beg[2] , \tile_x6y7_nn4beg[1] , \tile_x6y7_nn4beg[0] }),
.nn4end({ \tile_x6y8_nn4beg[15] , \tile_x6y8_nn4beg[14] , \tile_x6y8_nn4beg[13] , \tile_x6y8_nn4beg[12] , \tile_x6y8_nn4beg[11] , \tile_x6y8_nn4beg[10] , \tile_x6y8_nn4beg[9] , \tile_x6y8_nn4beg[8] , \tile_x6y8_nn4beg[7] , \tile_x6y8_nn4beg[6] , \tile_x6y8_nn4beg[5] , \tile_x6y8_nn4beg[4] , \tile_x6y8_nn4beg[3] , \tile_x6y8_nn4beg[2] , \tile_x6y8_nn4beg[1] , \tile_x6y8_nn4beg[0] }),
.s1beg({ \tile_x6y7_s1beg[3] , \tile_x6y7_s1beg[2] , \tile_x6y7_s1beg[1] , \tile_x6y7_s1beg[0] }),
.s1end({ \tile_x6y6_s1beg[3] , \tile_x6y6_s1beg[2] , \tile_x6y6_s1beg[1] , \tile_x6y6_s1beg[0] }),
.s2beg({ \tile_x6y7_s2beg[7] , \tile_x6y7_s2beg[6] , \tile_x6y7_s2beg[5] , \tile_x6y7_s2beg[4] , \tile_x6y7_s2beg[3] , \tile_x6y7_s2beg[2] , \tile_x6y7_s2beg[1] , \tile_x6y7_s2beg[0] }),
.s2begb({ \tile_x6y7_s2begb[7] , \tile_x6y7_s2begb[6] , \tile_x6y7_s2begb[5] , \tile_x6y7_s2begb[4] , \tile_x6y7_s2begb[3] , \tile_x6y7_s2begb[2] , \tile_x6y7_s2begb[1] , \tile_x6y7_s2begb[0] }),
.s2end({ \tile_x6y6_s2begb[7] , \tile_x6y6_s2begb[6] , \tile_x6y6_s2begb[5] , \tile_x6y6_s2begb[4] , \tile_x6y6_s2begb[3] , \tile_x6y6_s2begb[2] , \tile_x6y6_s2begb[1] , \tile_x6y6_s2begb[0] }),
.s2mid({ \tile_x6y6_s2beg[7] , \tile_x6y6_s2beg[6] , \tile_x6y6_s2beg[5] , \tile_x6y6_s2beg[4] , \tile_x6y6_s2beg[3] , \tile_x6y6_s2beg[2] , \tile_x6y6_s2beg[1] , \tile_x6y6_s2beg[0] }),
.s4beg({ \tile_x6y7_s4beg[15] , \tile_x6y7_s4beg[14] , \tile_x6y7_s4beg[13] , \tile_x6y7_s4beg[12] , \tile_x6y7_s4beg[11] , \tile_x6y7_s4beg[10] , \tile_x6y7_s4beg[9] , \tile_x6y7_s4beg[8] , \tile_x6y7_s4beg[7] , \tile_x6y7_s4beg[6] , \tile_x6y7_s4beg[5] , \tile_x6y7_s4beg[4] , \tile_x6y7_s4beg[3] , \tile_x6y7_s4beg[2] , \tile_x6y7_s4beg[1] , \tile_x6y7_s4beg[0] }),
.s4end({ \tile_x6y6_s4beg[15] , \tile_x6y6_s4beg[14] , \tile_x6y6_s4beg[13] , \tile_x6y6_s4beg[12] , \tile_x6y6_s4beg[11] , \tile_x6y6_s4beg[10] , \tile_x6y6_s4beg[9] , \tile_x6y6_s4beg[8] , \tile_x6y6_s4beg[7] , \tile_x6y6_s4beg[6] , \tile_x6y6_s4beg[5] , \tile_x6y6_s4beg[4] , \tile_x6y6_s4beg[3] , \tile_x6y6_s4beg[2] , \tile_x6y6_s4beg[1] , \tile_x6y6_s4beg[0] }),
.ss4beg({ \tile_x6y7_ss4beg[15] , \tile_x6y7_ss4beg[14] , \tile_x6y7_ss4beg[13] , \tile_x6y7_ss4beg[12] , \tile_x6y7_ss4beg[11] , \tile_x6y7_ss4beg[10] , \tile_x6y7_ss4beg[9] , \tile_x6y7_ss4beg[8] , \tile_x6y7_ss4beg[7] , \tile_x6y7_ss4beg[6] , \tile_x6y7_ss4beg[5] , \tile_x6y7_ss4beg[4] , \tile_x6y7_ss4beg[3] , \tile_x6y7_ss4beg[2] , \tile_x6y7_ss4beg[1] , \tile_x6y7_ss4beg[0] }),
.ss4end({ \tile_x6y6_ss4beg[15] , \tile_x6y6_ss4beg[14] , \tile_x6y6_ss4beg[13] , \tile_x6y6_ss4beg[12] , \tile_x6y6_ss4beg[11] , \tile_x6y6_ss4beg[10] , \tile_x6y6_ss4beg[9] , \tile_x6y6_ss4beg[8] , \tile_x6y6_ss4beg[7] , \tile_x6y6_ss4beg[6] , \tile_x6y6_ss4beg[5] , \tile_x6y6_ss4beg[4] , \tile_x6y6_ss4beg[3] , \tile_x6y6_ss4beg[2] , \tile_x6y6_ss4beg[1] , \tile_x6y6_ss4beg[0] }),
.userclk(tile_x6y8_userclko),
.userclko(tile_x6y7_userclko),
.w1beg({ \tile_x6y7_w1beg[3] , \tile_x6y7_w1beg[2] , \tile_x6y7_w1beg[1] , \tile_x6y7_w1beg[0] }),
.w1end({ \tile_x7y7_w1beg[3] , \tile_x7y7_w1beg[2] , \tile_x7y7_w1beg[1] , \tile_x7y7_w1beg[0] }),
.w2beg({ \tile_x6y7_w2beg[7] , \tile_x6y7_w2beg[6] , \tile_x6y7_w2beg[5] , \tile_x6y7_w2beg[4] , \tile_x6y7_w2beg[3] , \tile_x6y7_w2beg[2] , \tile_x6y7_w2beg[1] , \tile_x6y7_w2beg[0] }),
.w2begb({ \tile_x6y7_w2begb[7] , \tile_x6y7_w2begb[6] , \tile_x6y7_w2begb[5] , \tile_x6y7_w2begb[4] , \tile_x6y7_w2begb[3] , \tile_x6y7_w2begb[2] , \tile_x6y7_w2begb[1] , \tile_x6y7_w2begb[0] }),
.w2end({ \tile_x7y7_w2begb[7] , \tile_x7y7_w2begb[6] , \tile_x7y7_w2begb[5] , \tile_x7y7_w2begb[4] , \tile_x7y7_w2begb[3] , \tile_x7y7_w2begb[2] , \tile_x7y7_w2begb[1] , \tile_x7y7_w2begb[0] }),
.w2mid({ \tile_x7y7_w2beg[7] , \tile_x7y7_w2beg[6] , \tile_x7y7_w2beg[5] , \tile_x7y7_w2beg[4] , \tile_x7y7_w2beg[3] , \tile_x7y7_w2beg[2] , \tile_x7y7_w2beg[1] , \tile_x7y7_w2beg[0] }),
.w6beg({ \tile_x6y7_w6beg[11] , \tile_x6y7_w6beg[10] , \tile_x6y7_w6beg[9] , \tile_x6y7_w6beg[8] , \tile_x6y7_w6beg[7] , \tile_x6y7_w6beg[6] , \tile_x6y7_w6beg[5] , \tile_x6y7_w6beg[4] , \tile_x6y7_w6beg[3] , \tile_x6y7_w6beg[2] , \tile_x6y7_w6beg[1] , \tile_x6y7_w6beg[0] }),
.w6end({ \tile_x7y7_w6beg[11] , \tile_x7y7_w6beg[10] , \tile_x7y7_w6beg[9] , \tile_x7y7_w6beg[8] , \tile_x7y7_w6beg[7] , \tile_x7y7_w6beg[6] , \tile_x7y7_w6beg[5] , \tile_x7y7_w6beg[4] , \tile_x7y7_w6beg[3] , \tile_x7y7_w6beg[2] , \tile_x7y7_w6beg[1] , \tile_x7y7_w6beg[0] }),
.ww4beg({ \tile_x6y7_ww4beg[15] , \tile_x6y7_ww4beg[14] , \tile_x6y7_ww4beg[13] , \tile_x6y7_ww4beg[12] , \tile_x6y7_ww4beg[11] , \tile_x6y7_ww4beg[10] , \tile_x6y7_ww4beg[9] , \tile_x6y7_ww4beg[8] , \tile_x6y7_ww4beg[7] , \tile_x6y7_ww4beg[6] , \tile_x6y7_ww4beg[5] , \tile_x6y7_ww4beg[4] , \tile_x6y7_ww4beg[3] , \tile_x6y7_ww4beg[2] , \tile_x6y7_ww4beg[1] , \tile_x6y7_ww4beg[0] }),
.ww4end({ \tile_x7y7_ww4beg[15] , \tile_x7y7_ww4beg[14] , \tile_x7y7_ww4beg[13] , \tile_x7y7_ww4beg[12] , \tile_x7y7_ww4beg[11] , \tile_x7y7_ww4beg[10] , \tile_x7y7_ww4beg[9] , \tile_x7y7_ww4beg[8] , \tile_x7y7_ww4beg[7] , \tile_x7y7_ww4beg[6] , \tile_x7y7_ww4beg[5] , \tile_x7y7_ww4beg[4] , \tile_x7y7_ww4beg[3] , \tile_x7y7_ww4beg[2] , \tile_x7y7_ww4beg[1] , \tile_x7y7_ww4beg[0] })
);
lut4ab tile_x6y8_lut4ab (
.ci(tile_x6y9_co),
.co(tile_x6y8_co),
.e1beg({ \tile_x6y8_e1beg[3] , \tile_x6y8_e1beg[2] , \tile_x6y8_e1beg[1] , \tile_x6y8_e1beg[0] }),
.e1end({ \tile_x5y8_e1beg[3] , \tile_x5y8_e1beg[2] , \tile_x5y8_e1beg[1] , \tile_x5y8_e1beg[0] }),
.e2beg({ \tile_x6y8_e2beg[7] , \tile_x6y8_e2beg[6] , \tile_x6y8_e2beg[5] , \tile_x6y8_e2beg[4] , \tile_x6y8_e2beg[3] , \tile_x6y8_e2beg[2] , \tile_x6y8_e2beg[1] , \tile_x6y8_e2beg[0] }),
.e2begb({ \tile_x6y8_e2begb[7] , \tile_x6y8_e2begb[6] , \tile_x6y8_e2begb[5] , \tile_x6y8_e2begb[4] , \tile_x6y8_e2begb[3] , \tile_x6y8_e2begb[2] , \tile_x6y8_e2begb[1] , \tile_x6y8_e2begb[0] }),
.e2end({ \tile_x5y8_e2begb[7] , \tile_x5y8_e2begb[6] , \tile_x5y8_e2begb[5] , \tile_x5y8_e2begb[4] , \tile_x5y8_e2begb[3] , \tile_x5y8_e2begb[2] , \tile_x5y8_e2begb[1] , \tile_x5y8_e2begb[0] }),
.e2mid({ \tile_x5y8_e2beg[7] , \tile_x5y8_e2beg[6] , \tile_x5y8_e2beg[5] , \tile_x5y8_e2beg[4] , \tile_x5y8_e2beg[3] , \tile_x5y8_e2beg[2] , \tile_x5y8_e2beg[1] , \tile_x5y8_e2beg[0] }),
.e6beg({ \tile_x6y8_e6beg[11] , \tile_x6y8_e6beg[10] , \tile_x6y8_e6beg[9] , \tile_x6y8_e6beg[8] , \tile_x6y8_e6beg[7] , \tile_x6y8_e6beg[6] , \tile_x6y8_e6beg[5] , \tile_x6y8_e6beg[4] , \tile_x6y8_e6beg[3] , \tile_x6y8_e6beg[2] , \tile_x6y8_e6beg[1] , \tile_x6y8_e6beg[0] }),
.e6end({ \tile_x5y8_e6beg[11] , \tile_x5y8_e6beg[10] , \tile_x5y8_e6beg[9] , \tile_x5y8_e6beg[8] , \tile_x5y8_e6beg[7] , \tile_x5y8_e6beg[6] , \tile_x5y8_e6beg[5] , \tile_x5y8_e6beg[4] , \tile_x5y8_e6beg[3] , \tile_x5y8_e6beg[2] , \tile_x5y8_e6beg[1] , \tile_x5y8_e6beg[0] }),
.ee4beg({ \tile_x6y8_ee4beg[15] , \tile_x6y8_ee4beg[14] , \tile_x6y8_ee4beg[13] , \tile_x6y8_ee4beg[12] , \tile_x6y8_ee4beg[11] , \tile_x6y8_ee4beg[10] , \tile_x6y8_ee4beg[9] , \tile_x6y8_ee4beg[8] , \tile_x6y8_ee4beg[7] , \tile_x6y8_ee4beg[6] , \tile_x6y8_ee4beg[5] , \tile_x6y8_ee4beg[4] , \tile_x6y8_ee4beg[3] , \tile_x6y8_ee4beg[2] , \tile_x6y8_ee4beg[1] , \tile_x6y8_ee4beg[0] }),
.ee4end({ \tile_x5y8_ee4beg[15] , \tile_x5y8_ee4beg[14] , \tile_x5y8_ee4beg[13] , \tile_x5y8_ee4beg[12] , \tile_x5y8_ee4beg[11] , \tile_x5y8_ee4beg[10] , \tile_x5y8_ee4beg[9] , \tile_x5y8_ee4beg[8] , \tile_x5y8_ee4beg[7] , \tile_x5y8_ee4beg[6] , \tile_x5y8_ee4beg[5] , \tile_x5y8_ee4beg[4] , \tile_x5y8_ee4beg[3] , \tile_x5y8_ee4beg[2] , \tile_x5y8_ee4beg[1] , \tile_x5y8_ee4beg[0] }),
.framedata({ \tile_x5y8_framedata_o[31] , \tile_x5y8_framedata_o[30] , \tile_x5y8_framedata_o[29] , \tile_x5y8_framedata_o[28] , \tile_x5y8_framedata_o[27] , \tile_x5y8_framedata_o[26] , \tile_x5y8_framedata_o[25] , \tile_x5y8_framedata_o[24] , \tile_x5y8_framedata_o[23] , \tile_x5y8_framedata_o[22] , \tile_x5y8_framedata_o[21] , \tile_x5y8_framedata_o[20] , \tile_x5y8_framedata_o[19] , \tile_x5y8_framedata_o[18] , \tile_x5y8_framedata_o[17] , \tile_x5y8_framedata_o[16] , \tile_x5y8_framedata_o[15] , \tile_x5y8_framedata_o[14] , \tile_x5y8_framedata_o[13] , \tile_x5y8_framedata_o[12] , \tile_x5y8_framedata_o[11] , \tile_x5y8_framedata_o[10] , \tile_x5y8_framedata_o[9] , \tile_x5y8_framedata_o[8] , \tile_x5y8_framedata_o[7] , \tile_x5y8_framedata_o[6] , \tile_x5y8_framedata_o[5] , \tile_x5y8_framedata_o[4] , \tile_x5y8_framedata_o[3] , \tile_x5y8_framedata_o[2] , \tile_x5y8_framedata_o[1] , \tile_x5y8_framedata_o[0] }),
.framedata_o({ \tile_x6y8_framedata_o[31] , \tile_x6y8_framedata_o[30] , \tile_x6y8_framedata_o[29] , \tile_x6y8_framedata_o[28] , \tile_x6y8_framedata_o[27] , \tile_x6y8_framedata_o[26] , \tile_x6y8_framedata_o[25] , \tile_x6y8_framedata_o[24] , \tile_x6y8_framedata_o[23] , \tile_x6y8_framedata_o[22] , \tile_x6y8_framedata_o[21] , \tile_x6y8_framedata_o[20] , \tile_x6y8_framedata_o[19] , \tile_x6y8_framedata_o[18] , \tile_x6y8_framedata_o[17] , \tile_x6y8_framedata_o[16] , \tile_x6y8_framedata_o[15] , \tile_x6y8_framedata_o[14] , \tile_x6y8_framedata_o[13] , \tile_x6y8_framedata_o[12] , \tile_x6y8_framedata_o[11] , \tile_x6y8_framedata_o[10] , \tile_x6y8_framedata_o[9] , \tile_x6y8_framedata_o[8] , \tile_x6y8_framedata_o[7] , \tile_x6y8_framedata_o[6] , \tile_x6y8_framedata_o[5] , \tile_x6y8_framedata_o[4] , \tile_x6y8_framedata_o[3] , \tile_x6y8_framedata_o[2] , \tile_x6y8_framedata_o[1] , \tile_x6y8_framedata_o[0] }),
.framestrobe({ \tile_x6y9_framestrobe_o[19] , \tile_x6y9_framestrobe_o[18] , \tile_x6y9_framestrobe_o[17] , \tile_x6y9_framestrobe_o[16] , \tile_x6y9_framestrobe_o[15] , \tile_x6y9_framestrobe_o[14] , \tile_x6y9_framestrobe_o[13] , \tile_x6y9_framestrobe_o[12] , \tile_x6y9_framestrobe_o[11] , \tile_x6y9_framestrobe_o[10] , \tile_x6y9_framestrobe_o[9] , \tile_x6y9_framestrobe_o[8] , \tile_x6y9_framestrobe_o[7] , \tile_x6y9_framestrobe_o[6] , \tile_x6y9_framestrobe_o[5] , \tile_x6y9_framestrobe_o[4] , \tile_x6y9_framestrobe_o[3] , \tile_x6y9_framestrobe_o[2] , \tile_x6y9_framestrobe_o[1] , \tile_x6y9_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y8_framestrobe_o[19] , \tile_x6y8_framestrobe_o[18] , \tile_x6y8_framestrobe_o[17] , \tile_x6y8_framestrobe_o[16] , \tile_x6y8_framestrobe_o[15] , \tile_x6y8_framestrobe_o[14] , \tile_x6y8_framestrobe_o[13] , \tile_x6y8_framestrobe_o[12] , \tile_x6y8_framestrobe_o[11] , \tile_x6y8_framestrobe_o[10] , \tile_x6y8_framestrobe_o[9] , \tile_x6y8_framestrobe_o[8] , \tile_x6y8_framestrobe_o[7] , \tile_x6y8_framestrobe_o[6] , \tile_x6y8_framestrobe_o[5] , \tile_x6y8_framestrobe_o[4] , \tile_x6y8_framestrobe_o[3] , \tile_x6y8_framestrobe_o[2] , \tile_x6y8_framestrobe_o[1] , \tile_x6y8_framestrobe_o[0] }),
.n1beg({ \tile_x6y8_n1beg[3] , \tile_x6y8_n1beg[2] , \tile_x6y8_n1beg[1] , \tile_x6y8_n1beg[0] }),
.n1end({ \tile_x6y9_n1beg[3] , \tile_x6y9_n1beg[2] , \tile_x6y9_n1beg[1] , \tile_x6y9_n1beg[0] }),
.n2beg({ \tile_x6y8_n2beg[7] , \tile_x6y8_n2beg[6] , \tile_x6y8_n2beg[5] , \tile_x6y8_n2beg[4] , \tile_x6y8_n2beg[3] , \tile_x6y8_n2beg[2] , \tile_x6y8_n2beg[1] , \tile_x6y8_n2beg[0] }),
.n2begb({ \tile_x6y8_n2begb[7] , \tile_x6y8_n2begb[6] , \tile_x6y8_n2begb[5] , \tile_x6y8_n2begb[4] , \tile_x6y8_n2begb[3] , \tile_x6y8_n2begb[2] , \tile_x6y8_n2begb[1] , \tile_x6y8_n2begb[0] }),
.n2end({ \tile_x6y9_n2begb[7] , \tile_x6y9_n2begb[6] , \tile_x6y9_n2begb[5] , \tile_x6y9_n2begb[4] , \tile_x6y9_n2begb[3] , \tile_x6y9_n2begb[2] , \tile_x6y9_n2begb[1] , \tile_x6y9_n2begb[0] }),
.n2mid({ \tile_x6y9_n2beg[7] , \tile_x6y9_n2beg[6] , \tile_x6y9_n2beg[5] , \tile_x6y9_n2beg[4] , \tile_x6y9_n2beg[3] , \tile_x6y9_n2beg[2] , \tile_x6y9_n2beg[1] , \tile_x6y9_n2beg[0] }),
.n4beg({ \tile_x6y8_n4beg[15] , \tile_x6y8_n4beg[14] , \tile_x6y8_n4beg[13] , \tile_x6y8_n4beg[12] , \tile_x6y8_n4beg[11] , \tile_x6y8_n4beg[10] , \tile_x6y8_n4beg[9] , \tile_x6y8_n4beg[8] , \tile_x6y8_n4beg[7] , \tile_x6y8_n4beg[6] , \tile_x6y8_n4beg[5] , \tile_x6y8_n4beg[4] , \tile_x6y8_n4beg[3] , \tile_x6y8_n4beg[2] , \tile_x6y8_n4beg[1] , \tile_x6y8_n4beg[0] }),
.n4end({ \tile_x6y9_n4beg[15] , \tile_x6y9_n4beg[14] , \tile_x6y9_n4beg[13] , \tile_x6y9_n4beg[12] , \tile_x6y9_n4beg[11] , \tile_x6y9_n4beg[10] , \tile_x6y9_n4beg[9] , \tile_x6y9_n4beg[8] , \tile_x6y9_n4beg[7] , \tile_x6y9_n4beg[6] , \tile_x6y9_n4beg[5] , \tile_x6y9_n4beg[4] , \tile_x6y9_n4beg[3] , \tile_x6y9_n4beg[2] , \tile_x6y9_n4beg[1] , \tile_x6y9_n4beg[0] }),
.nn4beg({ \tile_x6y8_nn4beg[15] , \tile_x6y8_nn4beg[14] , \tile_x6y8_nn4beg[13] , \tile_x6y8_nn4beg[12] , \tile_x6y8_nn4beg[11] , \tile_x6y8_nn4beg[10] , \tile_x6y8_nn4beg[9] , \tile_x6y8_nn4beg[8] , \tile_x6y8_nn4beg[7] , \tile_x6y8_nn4beg[6] , \tile_x6y8_nn4beg[5] , \tile_x6y8_nn4beg[4] , \tile_x6y8_nn4beg[3] , \tile_x6y8_nn4beg[2] , \tile_x6y8_nn4beg[1] , \tile_x6y8_nn4beg[0] }),
.nn4end({ \tile_x6y9_nn4beg[15] , \tile_x6y9_nn4beg[14] , \tile_x6y9_nn4beg[13] , \tile_x6y9_nn4beg[12] , \tile_x6y9_nn4beg[11] , \tile_x6y9_nn4beg[10] , \tile_x6y9_nn4beg[9] , \tile_x6y9_nn4beg[8] , \tile_x6y9_nn4beg[7] , \tile_x6y9_nn4beg[6] , \tile_x6y9_nn4beg[5] , \tile_x6y9_nn4beg[4] , \tile_x6y9_nn4beg[3] , \tile_x6y9_nn4beg[2] , \tile_x6y9_nn4beg[1] , \tile_x6y9_nn4beg[0] }),
.s1beg({ \tile_x6y8_s1beg[3] , \tile_x6y8_s1beg[2] , \tile_x6y8_s1beg[1] , \tile_x6y8_s1beg[0] }),
.s1end({ \tile_x6y7_s1beg[3] , \tile_x6y7_s1beg[2] , \tile_x6y7_s1beg[1] , \tile_x6y7_s1beg[0] }),
.s2beg({ \tile_x6y8_s2beg[7] , \tile_x6y8_s2beg[6] , \tile_x6y8_s2beg[5] , \tile_x6y8_s2beg[4] , \tile_x6y8_s2beg[3] , \tile_x6y8_s2beg[2] , \tile_x6y8_s2beg[1] , \tile_x6y8_s2beg[0] }),
.s2begb({ \tile_x6y8_s2begb[7] , \tile_x6y8_s2begb[6] , \tile_x6y8_s2begb[5] , \tile_x6y8_s2begb[4] , \tile_x6y8_s2begb[3] , \tile_x6y8_s2begb[2] , \tile_x6y8_s2begb[1] , \tile_x6y8_s2begb[0] }),
.s2end({ \tile_x6y7_s2begb[7] , \tile_x6y7_s2begb[6] , \tile_x6y7_s2begb[5] , \tile_x6y7_s2begb[4] , \tile_x6y7_s2begb[3] , \tile_x6y7_s2begb[2] , \tile_x6y7_s2begb[1] , \tile_x6y7_s2begb[0] }),
.s2mid({ \tile_x6y7_s2beg[7] , \tile_x6y7_s2beg[6] , \tile_x6y7_s2beg[5] , \tile_x6y7_s2beg[4] , \tile_x6y7_s2beg[3] , \tile_x6y7_s2beg[2] , \tile_x6y7_s2beg[1] , \tile_x6y7_s2beg[0] }),
.s4beg({ \tile_x6y8_s4beg[15] , \tile_x6y8_s4beg[14] , \tile_x6y8_s4beg[13] , \tile_x6y8_s4beg[12] , \tile_x6y8_s4beg[11] , \tile_x6y8_s4beg[10] , \tile_x6y8_s4beg[9] , \tile_x6y8_s4beg[8] , \tile_x6y8_s4beg[7] , \tile_x6y8_s4beg[6] , \tile_x6y8_s4beg[5] , \tile_x6y8_s4beg[4] , \tile_x6y8_s4beg[3] , \tile_x6y8_s4beg[2] , \tile_x6y8_s4beg[1] , \tile_x6y8_s4beg[0] }),
.s4end({ \tile_x6y7_s4beg[15] , \tile_x6y7_s4beg[14] , \tile_x6y7_s4beg[13] , \tile_x6y7_s4beg[12] , \tile_x6y7_s4beg[11] , \tile_x6y7_s4beg[10] , \tile_x6y7_s4beg[9] , \tile_x6y7_s4beg[8] , \tile_x6y7_s4beg[7] , \tile_x6y7_s4beg[6] , \tile_x6y7_s4beg[5] , \tile_x6y7_s4beg[4] , \tile_x6y7_s4beg[3] , \tile_x6y7_s4beg[2] , \tile_x6y7_s4beg[1] , \tile_x6y7_s4beg[0] }),
.ss4beg({ \tile_x6y8_ss4beg[15] , \tile_x6y8_ss4beg[14] , \tile_x6y8_ss4beg[13] , \tile_x6y8_ss4beg[12] , \tile_x6y8_ss4beg[11] , \tile_x6y8_ss4beg[10] , \tile_x6y8_ss4beg[9] , \tile_x6y8_ss4beg[8] , \tile_x6y8_ss4beg[7] , \tile_x6y8_ss4beg[6] , \tile_x6y8_ss4beg[5] , \tile_x6y8_ss4beg[4] , \tile_x6y8_ss4beg[3] , \tile_x6y8_ss4beg[2] , \tile_x6y8_ss4beg[1] , \tile_x6y8_ss4beg[0] }),
.ss4end({ \tile_x6y7_ss4beg[15] , \tile_x6y7_ss4beg[14] , \tile_x6y7_ss4beg[13] , \tile_x6y7_ss4beg[12] , \tile_x6y7_ss4beg[11] , \tile_x6y7_ss4beg[10] , \tile_x6y7_ss4beg[9] , \tile_x6y7_ss4beg[8] , \tile_x6y7_ss4beg[7] , \tile_x6y7_ss4beg[6] , \tile_x6y7_ss4beg[5] , \tile_x6y7_ss4beg[4] , \tile_x6y7_ss4beg[3] , \tile_x6y7_ss4beg[2] , \tile_x6y7_ss4beg[1] , \tile_x6y7_ss4beg[0] }),
.userclk(tile_x6y9_userclko),
.userclko(tile_x6y8_userclko),
.w1beg({ \tile_x6y8_w1beg[3] , \tile_x6y8_w1beg[2] , \tile_x6y8_w1beg[1] , \tile_x6y8_w1beg[0] }),
.w1end({ \tile_x7y8_w1beg[3] , \tile_x7y8_w1beg[2] , \tile_x7y8_w1beg[1] , \tile_x7y8_w1beg[0] }),
.w2beg({ \tile_x6y8_w2beg[7] , \tile_x6y8_w2beg[6] , \tile_x6y8_w2beg[5] , \tile_x6y8_w2beg[4] , \tile_x6y8_w2beg[3] , \tile_x6y8_w2beg[2] , \tile_x6y8_w2beg[1] , \tile_x6y8_w2beg[0] }),
.w2begb({ \tile_x6y8_w2begb[7] , \tile_x6y8_w2begb[6] , \tile_x6y8_w2begb[5] , \tile_x6y8_w2begb[4] , \tile_x6y8_w2begb[3] , \tile_x6y8_w2begb[2] , \tile_x6y8_w2begb[1] , \tile_x6y8_w2begb[0] }),
.w2end({ \tile_x7y8_w2begb[7] , \tile_x7y8_w2begb[6] , \tile_x7y8_w2begb[5] , \tile_x7y8_w2begb[4] , \tile_x7y8_w2begb[3] , \tile_x7y8_w2begb[2] , \tile_x7y8_w2begb[1] , \tile_x7y8_w2begb[0] }),
.w2mid({ \tile_x7y8_w2beg[7] , \tile_x7y8_w2beg[6] , \tile_x7y8_w2beg[5] , \tile_x7y8_w2beg[4] , \tile_x7y8_w2beg[3] , \tile_x7y8_w2beg[2] , \tile_x7y8_w2beg[1] , \tile_x7y8_w2beg[0] }),
.w6beg({ \tile_x6y8_w6beg[11] , \tile_x6y8_w6beg[10] , \tile_x6y8_w6beg[9] , \tile_x6y8_w6beg[8] , \tile_x6y8_w6beg[7] , \tile_x6y8_w6beg[6] , \tile_x6y8_w6beg[5] , \tile_x6y8_w6beg[4] , \tile_x6y8_w6beg[3] , \tile_x6y8_w6beg[2] , \tile_x6y8_w6beg[1] , \tile_x6y8_w6beg[0] }),
.w6end({ \tile_x7y8_w6beg[11] , \tile_x7y8_w6beg[10] , \tile_x7y8_w6beg[9] , \tile_x7y8_w6beg[8] , \tile_x7y8_w6beg[7] , \tile_x7y8_w6beg[6] , \tile_x7y8_w6beg[5] , \tile_x7y8_w6beg[4] , \tile_x7y8_w6beg[3] , \tile_x7y8_w6beg[2] , \tile_x7y8_w6beg[1] , \tile_x7y8_w6beg[0] }),
.ww4beg({ \tile_x6y8_ww4beg[15] , \tile_x6y8_ww4beg[14] , \tile_x6y8_ww4beg[13] , \tile_x6y8_ww4beg[12] , \tile_x6y8_ww4beg[11] , \tile_x6y8_ww4beg[10] , \tile_x6y8_ww4beg[9] , \tile_x6y8_ww4beg[8] , \tile_x6y8_ww4beg[7] , \tile_x6y8_ww4beg[6] , \tile_x6y8_ww4beg[5] , \tile_x6y8_ww4beg[4] , \tile_x6y8_ww4beg[3] , \tile_x6y8_ww4beg[2] , \tile_x6y8_ww4beg[1] , \tile_x6y8_ww4beg[0] }),
.ww4end({ \tile_x7y8_ww4beg[15] , \tile_x7y8_ww4beg[14] , \tile_x7y8_ww4beg[13] , \tile_x7y8_ww4beg[12] , \tile_x7y8_ww4beg[11] , \tile_x7y8_ww4beg[10] , \tile_x7y8_ww4beg[9] , \tile_x7y8_ww4beg[8] , \tile_x7y8_ww4beg[7] , \tile_x7y8_ww4beg[6] , \tile_x7y8_ww4beg[5] , \tile_x7y8_ww4beg[4] , \tile_x7y8_ww4beg[3] , \tile_x7y8_ww4beg[2] , \tile_x7y8_ww4beg[1] , \tile_x7y8_ww4beg[0] })
);
lut4ab tile_x6y9_lut4ab (
.ci(tile_x6y10_co),
.co(tile_x6y9_co),
.e1beg({ \tile_x6y9_e1beg[3] , \tile_x6y9_e1beg[2] , \tile_x6y9_e1beg[1] , \tile_x6y9_e1beg[0] }),
.e1end({ \tile_x5y9_e1beg[3] , \tile_x5y9_e1beg[2] , \tile_x5y9_e1beg[1] , \tile_x5y9_e1beg[0] }),
.e2beg({ \tile_x6y9_e2beg[7] , \tile_x6y9_e2beg[6] , \tile_x6y9_e2beg[5] , \tile_x6y9_e2beg[4] , \tile_x6y9_e2beg[3] , \tile_x6y9_e2beg[2] , \tile_x6y9_e2beg[1] , \tile_x6y9_e2beg[0] }),
.e2begb({ \tile_x6y9_e2begb[7] , \tile_x6y9_e2begb[6] , \tile_x6y9_e2begb[5] , \tile_x6y9_e2begb[4] , \tile_x6y9_e2begb[3] , \tile_x6y9_e2begb[2] , \tile_x6y9_e2begb[1] , \tile_x6y9_e2begb[0] }),
.e2end({ \tile_x5y9_e2begb[7] , \tile_x5y9_e2begb[6] , \tile_x5y9_e2begb[5] , \tile_x5y9_e2begb[4] , \tile_x5y9_e2begb[3] , \tile_x5y9_e2begb[2] , \tile_x5y9_e2begb[1] , \tile_x5y9_e2begb[0] }),
.e2mid({ \tile_x5y9_e2beg[7] , \tile_x5y9_e2beg[6] , \tile_x5y9_e2beg[5] , \tile_x5y9_e2beg[4] , \tile_x5y9_e2beg[3] , \tile_x5y9_e2beg[2] , \tile_x5y9_e2beg[1] , \tile_x5y9_e2beg[0] }),
.e6beg({ \tile_x6y9_e6beg[11] , \tile_x6y9_e6beg[10] , \tile_x6y9_e6beg[9] , \tile_x6y9_e6beg[8] , \tile_x6y9_e6beg[7] , \tile_x6y9_e6beg[6] , \tile_x6y9_e6beg[5] , \tile_x6y9_e6beg[4] , \tile_x6y9_e6beg[3] , \tile_x6y9_e6beg[2] , \tile_x6y9_e6beg[1] , \tile_x6y9_e6beg[0] }),
.e6end({ \tile_x5y9_e6beg[11] , \tile_x5y9_e6beg[10] , \tile_x5y9_e6beg[9] , \tile_x5y9_e6beg[8] , \tile_x5y9_e6beg[7] , \tile_x5y9_e6beg[6] , \tile_x5y9_e6beg[5] , \tile_x5y9_e6beg[4] , \tile_x5y9_e6beg[3] , \tile_x5y9_e6beg[2] , \tile_x5y9_e6beg[1] , \tile_x5y9_e6beg[0] }),
.ee4beg({ \tile_x6y9_ee4beg[15] , \tile_x6y9_ee4beg[14] , \tile_x6y9_ee4beg[13] , \tile_x6y9_ee4beg[12] , \tile_x6y9_ee4beg[11] , \tile_x6y9_ee4beg[10] , \tile_x6y9_ee4beg[9] , \tile_x6y9_ee4beg[8] , \tile_x6y9_ee4beg[7] , \tile_x6y9_ee4beg[6] , \tile_x6y9_ee4beg[5] , \tile_x6y9_ee4beg[4] , \tile_x6y9_ee4beg[3] , \tile_x6y9_ee4beg[2] , \tile_x6y9_ee4beg[1] , \tile_x6y9_ee4beg[0] }),
.ee4end({ \tile_x5y9_ee4beg[15] , \tile_x5y9_ee4beg[14] , \tile_x5y9_ee4beg[13] , \tile_x5y9_ee4beg[12] , \tile_x5y9_ee4beg[11] , \tile_x5y9_ee4beg[10] , \tile_x5y9_ee4beg[9] , \tile_x5y9_ee4beg[8] , \tile_x5y9_ee4beg[7] , \tile_x5y9_ee4beg[6] , \tile_x5y9_ee4beg[5] , \tile_x5y9_ee4beg[4] , \tile_x5y9_ee4beg[3] , \tile_x5y9_ee4beg[2] , \tile_x5y9_ee4beg[1] , \tile_x5y9_ee4beg[0] }),
.framedata({ \tile_x5y9_framedata_o[31] , \tile_x5y9_framedata_o[30] , \tile_x5y9_framedata_o[29] , \tile_x5y9_framedata_o[28] , \tile_x5y9_framedata_o[27] , \tile_x5y9_framedata_o[26] , \tile_x5y9_framedata_o[25] , \tile_x5y9_framedata_o[24] , \tile_x5y9_framedata_o[23] , \tile_x5y9_framedata_o[22] , \tile_x5y9_framedata_o[21] , \tile_x5y9_framedata_o[20] , \tile_x5y9_framedata_o[19] , \tile_x5y9_framedata_o[18] , \tile_x5y9_framedata_o[17] , \tile_x5y9_framedata_o[16] , \tile_x5y9_framedata_o[15] , \tile_x5y9_framedata_o[14] , \tile_x5y9_framedata_o[13] , \tile_x5y9_framedata_o[12] , \tile_x5y9_framedata_o[11] , \tile_x5y9_framedata_o[10] , \tile_x5y9_framedata_o[9] , \tile_x5y9_framedata_o[8] , \tile_x5y9_framedata_o[7] , \tile_x5y9_framedata_o[6] , \tile_x5y9_framedata_o[5] , \tile_x5y9_framedata_o[4] , \tile_x5y9_framedata_o[3] , \tile_x5y9_framedata_o[2] , \tile_x5y9_framedata_o[1] , \tile_x5y9_framedata_o[0] }),
.framedata_o({ \tile_x6y9_framedata_o[31] , \tile_x6y9_framedata_o[30] , \tile_x6y9_framedata_o[29] , \tile_x6y9_framedata_o[28] , \tile_x6y9_framedata_o[27] , \tile_x6y9_framedata_o[26] , \tile_x6y9_framedata_o[25] , \tile_x6y9_framedata_o[24] , \tile_x6y9_framedata_o[23] , \tile_x6y9_framedata_o[22] , \tile_x6y9_framedata_o[21] , \tile_x6y9_framedata_o[20] , \tile_x6y9_framedata_o[19] , \tile_x6y9_framedata_o[18] , \tile_x6y9_framedata_o[17] , \tile_x6y9_framedata_o[16] , \tile_x6y9_framedata_o[15] , \tile_x6y9_framedata_o[14] , \tile_x6y9_framedata_o[13] , \tile_x6y9_framedata_o[12] , \tile_x6y9_framedata_o[11] , \tile_x6y9_framedata_o[10] , \tile_x6y9_framedata_o[9] , \tile_x6y9_framedata_o[8] , \tile_x6y9_framedata_o[7] , \tile_x6y9_framedata_o[6] , \tile_x6y9_framedata_o[5] , \tile_x6y9_framedata_o[4] , \tile_x6y9_framedata_o[3] , \tile_x6y9_framedata_o[2] , \tile_x6y9_framedata_o[1] , \tile_x6y9_framedata_o[0] }),
.framestrobe({ \tile_x6y10_framestrobe_o[19] , \tile_x6y10_framestrobe_o[18] , \tile_x6y10_framestrobe_o[17] , \tile_x6y10_framestrobe_o[16] , \tile_x6y10_framestrobe_o[15] , \tile_x6y10_framestrobe_o[14] , \tile_x6y10_framestrobe_o[13] , \tile_x6y10_framestrobe_o[12] , \tile_x6y10_framestrobe_o[11] , \tile_x6y10_framestrobe_o[10] , \tile_x6y10_framestrobe_o[9] , \tile_x6y10_framestrobe_o[8] , \tile_x6y10_framestrobe_o[7] , \tile_x6y10_framestrobe_o[6] , \tile_x6y10_framestrobe_o[5] , \tile_x6y10_framestrobe_o[4] , \tile_x6y10_framestrobe_o[3] , \tile_x6y10_framestrobe_o[2] , \tile_x6y10_framestrobe_o[1] , \tile_x6y10_framestrobe_o[0] }),
.framestrobe_o({ \tile_x6y9_framestrobe_o[19] , \tile_x6y9_framestrobe_o[18] , \tile_x6y9_framestrobe_o[17] , \tile_x6y9_framestrobe_o[16] , \tile_x6y9_framestrobe_o[15] , \tile_x6y9_framestrobe_o[14] , \tile_x6y9_framestrobe_o[13] , \tile_x6y9_framestrobe_o[12] , \tile_x6y9_framestrobe_o[11] , \tile_x6y9_framestrobe_o[10] , \tile_x6y9_framestrobe_o[9] , \tile_x6y9_framestrobe_o[8] , \tile_x6y9_framestrobe_o[7] , \tile_x6y9_framestrobe_o[6] , \tile_x6y9_framestrobe_o[5] , \tile_x6y9_framestrobe_o[4] , \tile_x6y9_framestrobe_o[3] , \tile_x6y9_framestrobe_o[2] , \tile_x6y9_framestrobe_o[1] , \tile_x6y9_framestrobe_o[0] }),
.n1beg({ \tile_x6y9_n1beg[3] , \tile_x6y9_n1beg[2] , \tile_x6y9_n1beg[1] , \tile_x6y9_n1beg[0] }),
.n1end({ \tile_x6y10_n1beg[3] , \tile_x6y10_n1beg[2] , \tile_x6y10_n1beg[1] , \tile_x6y10_n1beg[0] }),
.n2beg({ \tile_x6y9_n2beg[7] , \tile_x6y9_n2beg[6] , \tile_x6y9_n2beg[5] , \tile_x6y9_n2beg[4] , \tile_x6y9_n2beg[3] , \tile_x6y9_n2beg[2] , \tile_x6y9_n2beg[1] , \tile_x6y9_n2beg[0] }),
.n2begb({ \tile_x6y9_n2begb[7] , \tile_x6y9_n2begb[6] , \tile_x6y9_n2begb[5] , \tile_x6y9_n2begb[4] , \tile_x6y9_n2begb[3] , \tile_x6y9_n2begb[2] , \tile_x6y9_n2begb[1] , \tile_x6y9_n2begb[0] }),
.n2end({ \tile_x6y10_n2begb[7] , \tile_x6y10_n2begb[6] , \tile_x6y10_n2begb[5] , \tile_x6y10_n2begb[4] , \tile_x6y10_n2begb[3] , \tile_x6y10_n2begb[2] , \tile_x6y10_n2begb[1] , \tile_x6y10_n2begb[0] }),
.n2mid({ \tile_x6y10_n2beg[7] , \tile_x6y10_n2beg[6] , \tile_x6y10_n2beg[5] , \tile_x6y10_n2beg[4] , \tile_x6y10_n2beg[3] , \tile_x6y10_n2beg[2] , \tile_x6y10_n2beg[1] , \tile_x6y10_n2beg[0] }),
.n4beg({ \tile_x6y9_n4beg[15] , \tile_x6y9_n4beg[14] , \tile_x6y9_n4beg[13] , \tile_x6y9_n4beg[12] , \tile_x6y9_n4beg[11] , \tile_x6y9_n4beg[10] , \tile_x6y9_n4beg[9] , \tile_x6y9_n4beg[8] , \tile_x6y9_n4beg[7] , \tile_x6y9_n4beg[6] , \tile_x6y9_n4beg[5] , \tile_x6y9_n4beg[4] , \tile_x6y9_n4beg[3] , \tile_x6y9_n4beg[2] , \tile_x6y9_n4beg[1] , \tile_x6y9_n4beg[0] }),
.n4end({ \tile_x6y10_n4beg[15] , \tile_x6y10_n4beg[14] , \tile_x6y10_n4beg[13] , \tile_x6y10_n4beg[12] , \tile_x6y10_n4beg[11] , \tile_x6y10_n4beg[10] , \tile_x6y10_n4beg[9] , \tile_x6y10_n4beg[8] , \tile_x6y10_n4beg[7] , \tile_x6y10_n4beg[6] , \tile_x6y10_n4beg[5] , \tile_x6y10_n4beg[4] , \tile_x6y10_n4beg[3] , \tile_x6y10_n4beg[2] , \tile_x6y10_n4beg[1] , \tile_x6y10_n4beg[0] }),
.nn4beg({ \tile_x6y9_nn4beg[15] , \tile_x6y9_nn4beg[14] , \tile_x6y9_nn4beg[13] , \tile_x6y9_nn4beg[12] , \tile_x6y9_nn4beg[11] , \tile_x6y9_nn4beg[10] , \tile_x6y9_nn4beg[9] , \tile_x6y9_nn4beg[8] , \tile_x6y9_nn4beg[7] , \tile_x6y9_nn4beg[6] , \tile_x6y9_nn4beg[5] , \tile_x6y9_nn4beg[4] , \tile_x6y9_nn4beg[3] , \tile_x6y9_nn4beg[2] , \tile_x6y9_nn4beg[1] , \tile_x6y9_nn4beg[0] }),
.nn4end({ \tile_x6y10_nn4beg[15] , \tile_x6y10_nn4beg[14] , \tile_x6y10_nn4beg[13] , \tile_x6y10_nn4beg[12] , \tile_x6y10_nn4beg[11] , \tile_x6y10_nn4beg[10] , \tile_x6y10_nn4beg[9] , \tile_x6y10_nn4beg[8] , \tile_x6y10_nn4beg[7] , \tile_x6y10_nn4beg[6] , \tile_x6y10_nn4beg[5] , \tile_x6y10_nn4beg[4] , \tile_x6y10_nn4beg[3] , \tile_x6y10_nn4beg[2] , \tile_x6y10_nn4beg[1] , \tile_x6y10_nn4beg[0] }),
.s1beg({ \tile_x6y9_s1beg[3] , \tile_x6y9_s1beg[2] , \tile_x6y9_s1beg[1] , \tile_x6y9_s1beg[0] }),
.s1end({ \tile_x6y8_s1beg[3] , \tile_x6y8_s1beg[2] , \tile_x6y8_s1beg[1] , \tile_x6y8_s1beg[0] }),
.s2beg({ \tile_x6y9_s2beg[7] , \tile_x6y9_s2beg[6] , \tile_x6y9_s2beg[5] , \tile_x6y9_s2beg[4] , \tile_x6y9_s2beg[3] , \tile_x6y9_s2beg[2] , \tile_x6y9_s2beg[1] , \tile_x6y9_s2beg[0] }),
.s2begb({ \tile_x6y9_s2begb[7] , \tile_x6y9_s2begb[6] , \tile_x6y9_s2begb[5] , \tile_x6y9_s2begb[4] , \tile_x6y9_s2begb[3] , \tile_x6y9_s2begb[2] , \tile_x6y9_s2begb[1] , \tile_x6y9_s2begb[0] }),
.s2end({ \tile_x6y8_s2begb[7] , \tile_x6y8_s2begb[6] , \tile_x6y8_s2begb[5] , \tile_x6y8_s2begb[4] , \tile_x6y8_s2begb[3] , \tile_x6y8_s2begb[2] , \tile_x6y8_s2begb[1] , \tile_x6y8_s2begb[0] }),
.s2mid({ \tile_x6y8_s2beg[7] , \tile_x6y8_s2beg[6] , \tile_x6y8_s2beg[5] , \tile_x6y8_s2beg[4] , \tile_x6y8_s2beg[3] , \tile_x6y8_s2beg[2] , \tile_x6y8_s2beg[1] , \tile_x6y8_s2beg[0] }),
.s4beg({ \tile_x6y9_s4beg[15] , \tile_x6y9_s4beg[14] , \tile_x6y9_s4beg[13] , \tile_x6y9_s4beg[12] , \tile_x6y9_s4beg[11] , \tile_x6y9_s4beg[10] , \tile_x6y9_s4beg[9] , \tile_x6y9_s4beg[8] , \tile_x6y9_s4beg[7] , \tile_x6y9_s4beg[6] , \tile_x6y9_s4beg[5] , \tile_x6y9_s4beg[4] , \tile_x6y9_s4beg[3] , \tile_x6y9_s4beg[2] , \tile_x6y9_s4beg[1] , \tile_x6y9_s4beg[0] }),
.s4end({ \tile_x6y8_s4beg[15] , \tile_x6y8_s4beg[14] , \tile_x6y8_s4beg[13] , \tile_x6y8_s4beg[12] , \tile_x6y8_s4beg[11] , \tile_x6y8_s4beg[10] , \tile_x6y8_s4beg[9] , \tile_x6y8_s4beg[8] , \tile_x6y8_s4beg[7] , \tile_x6y8_s4beg[6] , \tile_x6y8_s4beg[5] , \tile_x6y8_s4beg[4] , \tile_x6y8_s4beg[3] , \tile_x6y8_s4beg[2] , \tile_x6y8_s4beg[1] , \tile_x6y8_s4beg[0] }),
.ss4beg({ \tile_x6y9_ss4beg[15] , \tile_x6y9_ss4beg[14] , \tile_x6y9_ss4beg[13] , \tile_x6y9_ss4beg[12] , \tile_x6y9_ss4beg[11] , \tile_x6y9_ss4beg[10] , \tile_x6y9_ss4beg[9] , \tile_x6y9_ss4beg[8] , \tile_x6y9_ss4beg[7] , \tile_x6y9_ss4beg[6] , \tile_x6y9_ss4beg[5] , \tile_x6y9_ss4beg[4] , \tile_x6y9_ss4beg[3] , \tile_x6y9_ss4beg[2] , \tile_x6y9_ss4beg[1] , \tile_x6y9_ss4beg[0] }),
.ss4end({ \tile_x6y8_ss4beg[15] , \tile_x6y8_ss4beg[14] , \tile_x6y8_ss4beg[13] , \tile_x6y8_ss4beg[12] , \tile_x6y8_ss4beg[11] , \tile_x6y8_ss4beg[10] , \tile_x6y8_ss4beg[9] , \tile_x6y8_ss4beg[8] , \tile_x6y8_ss4beg[7] , \tile_x6y8_ss4beg[6] , \tile_x6y8_ss4beg[5] , \tile_x6y8_ss4beg[4] , \tile_x6y8_ss4beg[3] , \tile_x6y8_ss4beg[2] , \tile_x6y8_ss4beg[1] , \tile_x6y8_ss4beg[0] }),
.userclk(tile_x6y10_userclko),
.userclko(tile_x6y9_userclko),
.w1beg({ \tile_x6y9_w1beg[3] , \tile_x6y9_w1beg[2] , \tile_x6y9_w1beg[1] , \tile_x6y9_w1beg[0] }),
.w1end({ \tile_x7y9_w1beg[3] , \tile_x7y9_w1beg[2] , \tile_x7y9_w1beg[1] , \tile_x7y9_w1beg[0] }),
.w2beg({ \tile_x6y9_w2beg[7] , \tile_x6y9_w2beg[6] , \tile_x6y9_w2beg[5] , \tile_x6y9_w2beg[4] , \tile_x6y9_w2beg[3] , \tile_x6y9_w2beg[2] , \tile_x6y9_w2beg[1] , \tile_x6y9_w2beg[0] }),
.w2begb({ \tile_x6y9_w2begb[7] , \tile_x6y9_w2begb[6] , \tile_x6y9_w2begb[5] , \tile_x6y9_w2begb[4] , \tile_x6y9_w2begb[3] , \tile_x6y9_w2begb[2] , \tile_x6y9_w2begb[1] , \tile_x6y9_w2begb[0] }),
.w2end({ \tile_x7y9_w2begb[7] , \tile_x7y9_w2begb[6] , \tile_x7y9_w2begb[5] , \tile_x7y9_w2begb[4] , \tile_x7y9_w2begb[3] , \tile_x7y9_w2begb[2] , \tile_x7y9_w2begb[1] , \tile_x7y9_w2begb[0] }),
.w2mid({ \tile_x7y9_w2beg[7] , \tile_x7y9_w2beg[6] , \tile_x7y9_w2beg[5] , \tile_x7y9_w2beg[4] , \tile_x7y9_w2beg[3] , \tile_x7y9_w2beg[2] , \tile_x7y9_w2beg[1] , \tile_x7y9_w2beg[0] }),
.w6beg({ \tile_x6y9_w6beg[11] , \tile_x6y9_w6beg[10] , \tile_x6y9_w6beg[9] , \tile_x6y9_w6beg[8] , \tile_x6y9_w6beg[7] , \tile_x6y9_w6beg[6] , \tile_x6y9_w6beg[5] , \tile_x6y9_w6beg[4] , \tile_x6y9_w6beg[3] , \tile_x6y9_w6beg[2] , \tile_x6y9_w6beg[1] , \tile_x6y9_w6beg[0] }),
.w6end({ \tile_x7y9_w6beg[11] , \tile_x7y9_w6beg[10] , \tile_x7y9_w6beg[9] , \tile_x7y9_w6beg[8] , \tile_x7y9_w6beg[7] , \tile_x7y9_w6beg[6] , \tile_x7y9_w6beg[5] , \tile_x7y9_w6beg[4] , \tile_x7y9_w6beg[3] , \tile_x7y9_w6beg[2] , \tile_x7y9_w6beg[1] , \tile_x7y9_w6beg[0] }),
.ww4beg({ \tile_x6y9_ww4beg[15] , \tile_x6y9_ww4beg[14] , \tile_x6y9_ww4beg[13] , \tile_x6y9_ww4beg[12] , \tile_x6y9_ww4beg[11] , \tile_x6y9_ww4beg[10] , \tile_x6y9_ww4beg[9] , \tile_x6y9_ww4beg[8] , \tile_x6y9_ww4beg[7] , \tile_x6y9_ww4beg[6] , \tile_x6y9_ww4beg[5] , \tile_x6y9_ww4beg[4] , \tile_x6y9_ww4beg[3] , \tile_x6y9_ww4beg[2] , \tile_x6y9_ww4beg[1] , \tile_x6y9_ww4beg[0] }),
.ww4end({ \tile_x7y9_ww4beg[15] , \tile_x7y9_ww4beg[14] , \tile_x7y9_ww4beg[13] , \tile_x7y9_ww4beg[12] , \tile_x7y9_ww4beg[11] , \tile_x7y9_ww4beg[10] , \tile_x7y9_ww4beg[9] , \tile_x7y9_ww4beg[8] , \tile_x7y9_ww4beg[7] , \tile_x7y9_ww4beg[6] , \tile_x7y9_ww4beg[5] , \tile_x7y9_ww4beg[4] , \tile_x7y9_ww4beg[3] , \tile_x7y9_ww4beg[2] , \tile_x7y9_ww4beg[1] , \tile_x7y9_ww4beg[0] })
);
n_term_single tile_x7y0_n_term_single (
.ci(tile_x7y1_co),
.framestrobe({ \tile_x7y1_framestrobe_o[19] , \tile_x7y1_framestrobe_o[18] , \tile_x7y1_framestrobe_o[17] , \tile_x7y1_framestrobe_o[16] , \tile_x7y1_framestrobe_o[15] , \tile_x7y1_framestrobe_o[14] , \tile_x7y1_framestrobe_o[13] , \tile_x7y1_framestrobe_o[12] , \tile_x7y1_framestrobe_o[11] , \tile_x7y1_framestrobe_o[10] , \tile_x7y1_framestrobe_o[9] , \tile_x7y1_framestrobe_o[8] , \tile_x7y1_framestrobe_o[7] , \tile_x7y1_framestrobe_o[6] , \tile_x7y1_framestrobe_o[5] , \tile_x7y1_framestrobe_o[4] , \tile_x7y1_framestrobe_o[3] , \tile_x7y1_framestrobe_o[2] , \tile_x7y1_framestrobe_o[1] , \tile_x7y1_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y0_framestrobe_o[19] , \tile_x7y0_framestrobe_o[18] , \tile_x7y0_framestrobe_o[17] , \tile_x7y0_framestrobe_o[16] , \tile_x7y0_framestrobe_o[15] , \tile_x7y0_framestrobe_o[14] , \tile_x7y0_framestrobe_o[13] , \tile_x7y0_framestrobe_o[12] , \tile_x7y0_framestrobe_o[11] , \tile_x7y0_framestrobe_o[10] , \tile_x7y0_framestrobe_o[9] , \tile_x7y0_framestrobe_o[8] , \tile_x7y0_framestrobe_o[7] , \tile_x7y0_framestrobe_o[6] , \tile_x7y0_framestrobe_o[5] , \tile_x7y0_framestrobe_o[4] , \tile_x7y0_framestrobe_o[3] , \tile_x7y0_framestrobe_o[2] , \tile_x7y0_framestrobe_o[1] , \tile_x7y0_framestrobe_o[0] }),
.n1end({ \tile_x7y1_n1beg[3] , \tile_x7y1_n1beg[2] , \tile_x7y1_n1beg[1] , \tile_x7y1_n1beg[0] }),
.n2end({ \tile_x7y1_n2begb[7] , \tile_x7y1_n2begb[6] , \tile_x7y1_n2begb[5] , \tile_x7y1_n2begb[4] , \tile_x7y1_n2begb[3] , \tile_x7y1_n2begb[2] , \tile_x7y1_n2begb[1] , \tile_x7y1_n2begb[0] }),
.n2mid({ \tile_x7y1_n2beg[7] , \tile_x7y1_n2beg[6] , \tile_x7y1_n2beg[5] , \tile_x7y1_n2beg[4] , \tile_x7y1_n2beg[3] , \tile_x7y1_n2beg[2] , \tile_x7y1_n2beg[1] , \tile_x7y1_n2beg[0] }),
.n4end({ \tile_x7y1_n4beg[15] , \tile_x7y1_n4beg[14] , \tile_x7y1_n4beg[13] , \tile_x7y1_n4beg[12] , \tile_x7y1_n4beg[11] , \tile_x7y1_n4beg[10] , \tile_x7y1_n4beg[9] , \tile_x7y1_n4beg[8] , \tile_x7y1_n4beg[7] , \tile_x7y1_n4beg[6] , \tile_x7y1_n4beg[5] , \tile_x7y1_n4beg[4] , \tile_x7y1_n4beg[3] , \tile_x7y1_n4beg[2] , \tile_x7y1_n4beg[1] , \tile_x7y1_n4beg[0] }),
.nn4end({ \tile_x7y1_nn4beg[15] , \tile_x7y1_nn4beg[14] , \tile_x7y1_nn4beg[13] , \tile_x7y1_nn4beg[12] , \tile_x7y1_nn4beg[11] , \tile_x7y1_nn4beg[10] , \tile_x7y1_nn4beg[9] , \tile_x7y1_nn4beg[8] , \tile_x7y1_nn4beg[7] , \tile_x7y1_nn4beg[6] , \tile_x7y1_nn4beg[5] , \tile_x7y1_nn4beg[4] , \tile_x7y1_nn4beg[3] , \tile_x7y1_nn4beg[2] , \tile_x7y1_nn4beg[1] , \tile_x7y1_nn4beg[0] }),
.s1beg({ \tile_x7y0_s1beg[3] , \tile_x7y0_s1beg[2] , \tile_x7y0_s1beg[1] , \tile_x7y0_s1beg[0] }),
.s2beg({ \tile_x7y0_s2beg[7] , \tile_x7y0_s2beg[6] , \tile_x7y0_s2beg[5] , \tile_x7y0_s2beg[4] , \tile_x7y0_s2beg[3] , \tile_x7y0_s2beg[2] , \tile_x7y0_s2beg[1] , \tile_x7y0_s2beg[0] }),
.s2begb({ \tile_x7y0_s2begb[7] , \tile_x7y0_s2begb[6] , \tile_x7y0_s2begb[5] , \tile_x7y0_s2begb[4] , \tile_x7y0_s2begb[3] , \tile_x7y0_s2begb[2] , \tile_x7y0_s2begb[1] , \tile_x7y0_s2begb[0] }),
.s4beg({ \tile_x7y0_s4beg[15] , \tile_x7y0_s4beg[14] , \tile_x7y0_s4beg[13] , \tile_x7y0_s4beg[12] , \tile_x7y0_s4beg[11] , \tile_x7y0_s4beg[10] , \tile_x7y0_s4beg[9] , \tile_x7y0_s4beg[8] , \tile_x7y0_s4beg[7] , \tile_x7y0_s4beg[6] , \tile_x7y0_s4beg[5] , \tile_x7y0_s4beg[4] , \tile_x7y0_s4beg[3] , \tile_x7y0_s4beg[2] , \tile_x7y0_s4beg[1] , \tile_x7y0_s4beg[0] }),
.ss4beg({ \tile_x7y0_ss4beg[15] , \tile_x7y0_ss4beg[14] , \tile_x7y0_ss4beg[13] , \tile_x7y0_ss4beg[12] , \tile_x7y0_ss4beg[11] , \tile_x7y0_ss4beg[10] , \tile_x7y0_ss4beg[9] , \tile_x7y0_ss4beg[8] , \tile_x7y0_ss4beg[7] , \tile_x7y0_ss4beg[6] , \tile_x7y0_ss4beg[5] , \tile_x7y0_ss4beg[4] , \tile_x7y0_ss4beg[3] , \tile_x7y0_ss4beg[2] , \tile_x7y0_ss4beg[1] , \tile_x7y0_ss4beg[0] }),
.userclk(tile_x7y1_userclko),
.userclko(tile_x7y0_userclko)
);
lut4ab tile_x7y10_lut4ab (
.ci(tile_x7y11_co),
.co(tile_x7y10_co),
.e1beg({ \tile_x7y10_e1beg[3] , \tile_x7y10_e1beg[2] , \tile_x7y10_e1beg[1] , \tile_x7y10_e1beg[0] }),
.e1end({ \tile_x6y10_e1beg[3] , \tile_x6y10_e1beg[2] , \tile_x6y10_e1beg[1] , \tile_x6y10_e1beg[0] }),
.e2beg({ \tile_x7y10_e2beg[7] , \tile_x7y10_e2beg[6] , \tile_x7y10_e2beg[5] , \tile_x7y10_e2beg[4] , \tile_x7y10_e2beg[3] , \tile_x7y10_e2beg[2] , \tile_x7y10_e2beg[1] , \tile_x7y10_e2beg[0] }),
.e2begb({ \tile_x7y10_e2begb[7] , \tile_x7y10_e2begb[6] , \tile_x7y10_e2begb[5] , \tile_x7y10_e2begb[4] , \tile_x7y10_e2begb[3] , \tile_x7y10_e2begb[2] , \tile_x7y10_e2begb[1] , \tile_x7y10_e2begb[0] }),
.e2end({ \tile_x6y10_e2begb[7] , \tile_x6y10_e2begb[6] , \tile_x6y10_e2begb[5] , \tile_x6y10_e2begb[4] , \tile_x6y10_e2begb[3] , \tile_x6y10_e2begb[2] , \tile_x6y10_e2begb[1] , \tile_x6y10_e2begb[0] }),
.e2mid({ \tile_x6y10_e2beg[7] , \tile_x6y10_e2beg[6] , \tile_x6y10_e2beg[5] , \tile_x6y10_e2beg[4] , \tile_x6y10_e2beg[3] , \tile_x6y10_e2beg[2] , \tile_x6y10_e2beg[1] , \tile_x6y10_e2beg[0] }),
.e6beg({ \tile_x7y10_e6beg[11] , \tile_x7y10_e6beg[10] , \tile_x7y10_e6beg[9] , \tile_x7y10_e6beg[8] , \tile_x7y10_e6beg[7] , \tile_x7y10_e6beg[6] , \tile_x7y10_e6beg[5] , \tile_x7y10_e6beg[4] , \tile_x7y10_e6beg[3] , \tile_x7y10_e6beg[2] , \tile_x7y10_e6beg[1] , \tile_x7y10_e6beg[0] }),
.e6end({ \tile_x6y10_e6beg[11] , \tile_x6y10_e6beg[10] , \tile_x6y10_e6beg[9] , \tile_x6y10_e6beg[8] , \tile_x6y10_e6beg[7] , \tile_x6y10_e6beg[6] , \tile_x6y10_e6beg[5] , \tile_x6y10_e6beg[4] , \tile_x6y10_e6beg[3] , \tile_x6y10_e6beg[2] , \tile_x6y10_e6beg[1] , \tile_x6y10_e6beg[0] }),
.ee4beg({ \tile_x7y10_ee4beg[15] , \tile_x7y10_ee4beg[14] , \tile_x7y10_ee4beg[13] , \tile_x7y10_ee4beg[12] , \tile_x7y10_ee4beg[11] , \tile_x7y10_ee4beg[10] , \tile_x7y10_ee4beg[9] , \tile_x7y10_ee4beg[8] , \tile_x7y10_ee4beg[7] , \tile_x7y10_ee4beg[6] , \tile_x7y10_ee4beg[5] , \tile_x7y10_ee4beg[4] , \tile_x7y10_ee4beg[3] , \tile_x7y10_ee4beg[2] , \tile_x7y10_ee4beg[1] , \tile_x7y10_ee4beg[0] }),
.ee4end({ \tile_x6y10_ee4beg[15] , \tile_x6y10_ee4beg[14] , \tile_x6y10_ee4beg[13] , \tile_x6y10_ee4beg[12] , \tile_x6y10_ee4beg[11] , \tile_x6y10_ee4beg[10] , \tile_x6y10_ee4beg[9] , \tile_x6y10_ee4beg[8] , \tile_x6y10_ee4beg[7] , \tile_x6y10_ee4beg[6] , \tile_x6y10_ee4beg[5] , \tile_x6y10_ee4beg[4] , \tile_x6y10_ee4beg[3] , \tile_x6y10_ee4beg[2] , \tile_x6y10_ee4beg[1] , \tile_x6y10_ee4beg[0] }),
.framedata({ \tile_x6y10_framedata_o[31] , \tile_x6y10_framedata_o[30] , \tile_x6y10_framedata_o[29] , \tile_x6y10_framedata_o[28] , \tile_x6y10_framedata_o[27] , \tile_x6y10_framedata_o[26] , \tile_x6y10_framedata_o[25] , \tile_x6y10_framedata_o[24] , \tile_x6y10_framedata_o[23] , \tile_x6y10_framedata_o[22] , \tile_x6y10_framedata_o[21] , \tile_x6y10_framedata_o[20] , \tile_x6y10_framedata_o[19] , \tile_x6y10_framedata_o[18] , \tile_x6y10_framedata_o[17] , \tile_x6y10_framedata_o[16] , \tile_x6y10_framedata_o[15] , \tile_x6y10_framedata_o[14] , \tile_x6y10_framedata_o[13] , \tile_x6y10_framedata_o[12] , \tile_x6y10_framedata_o[11] , \tile_x6y10_framedata_o[10] , \tile_x6y10_framedata_o[9] , \tile_x6y10_framedata_o[8] , \tile_x6y10_framedata_o[7] , \tile_x6y10_framedata_o[6] , \tile_x6y10_framedata_o[5] , \tile_x6y10_framedata_o[4] , \tile_x6y10_framedata_o[3] , \tile_x6y10_framedata_o[2] , \tile_x6y10_framedata_o[1] , \tile_x6y10_framedata_o[0] }),
.framedata_o({ \tile_x7y10_framedata_o[31] , \tile_x7y10_framedata_o[30] , \tile_x7y10_framedata_o[29] , \tile_x7y10_framedata_o[28] , \tile_x7y10_framedata_o[27] , \tile_x7y10_framedata_o[26] , \tile_x7y10_framedata_o[25] , \tile_x7y10_framedata_o[24] , \tile_x7y10_framedata_o[23] , \tile_x7y10_framedata_o[22] , \tile_x7y10_framedata_o[21] , \tile_x7y10_framedata_o[20] , \tile_x7y10_framedata_o[19] , \tile_x7y10_framedata_o[18] , \tile_x7y10_framedata_o[17] , \tile_x7y10_framedata_o[16] , \tile_x7y10_framedata_o[15] , \tile_x7y10_framedata_o[14] , \tile_x7y10_framedata_o[13] , \tile_x7y10_framedata_o[12] , \tile_x7y10_framedata_o[11] , \tile_x7y10_framedata_o[10] , \tile_x7y10_framedata_o[9] , \tile_x7y10_framedata_o[8] , \tile_x7y10_framedata_o[7] , \tile_x7y10_framedata_o[6] , \tile_x7y10_framedata_o[5] , \tile_x7y10_framedata_o[4] , \tile_x7y10_framedata_o[3] , \tile_x7y10_framedata_o[2] , \tile_x7y10_framedata_o[1] , \tile_x7y10_framedata_o[0] }),
.framestrobe({ \tile_x7y11_framestrobe_o[19] , \tile_x7y11_framestrobe_o[18] , \tile_x7y11_framestrobe_o[17] , \tile_x7y11_framestrobe_o[16] , \tile_x7y11_framestrobe_o[15] , \tile_x7y11_framestrobe_o[14] , \tile_x7y11_framestrobe_o[13] , \tile_x7y11_framestrobe_o[12] , \tile_x7y11_framestrobe_o[11] , \tile_x7y11_framestrobe_o[10] , \tile_x7y11_framestrobe_o[9] , \tile_x7y11_framestrobe_o[8] , \tile_x7y11_framestrobe_o[7] , \tile_x7y11_framestrobe_o[6] , \tile_x7y11_framestrobe_o[5] , \tile_x7y11_framestrobe_o[4] , \tile_x7y11_framestrobe_o[3] , \tile_x7y11_framestrobe_o[2] , \tile_x7y11_framestrobe_o[1] , \tile_x7y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y10_framestrobe_o[19] , \tile_x7y10_framestrobe_o[18] , \tile_x7y10_framestrobe_o[17] , \tile_x7y10_framestrobe_o[16] , \tile_x7y10_framestrobe_o[15] , \tile_x7y10_framestrobe_o[14] , \tile_x7y10_framestrobe_o[13] , \tile_x7y10_framestrobe_o[12] , \tile_x7y10_framestrobe_o[11] , \tile_x7y10_framestrobe_o[10] , \tile_x7y10_framestrobe_o[9] , \tile_x7y10_framestrobe_o[8] , \tile_x7y10_framestrobe_o[7] , \tile_x7y10_framestrobe_o[6] , \tile_x7y10_framestrobe_o[5] , \tile_x7y10_framestrobe_o[4] , \tile_x7y10_framestrobe_o[3] , \tile_x7y10_framestrobe_o[2] , \tile_x7y10_framestrobe_o[1] , \tile_x7y10_framestrobe_o[0] }),
.n1beg({ \tile_x7y10_n1beg[3] , \tile_x7y10_n1beg[2] , \tile_x7y10_n1beg[1] , \tile_x7y10_n1beg[0] }),
.n1end({ \tile_x7y11_n1beg[3] , \tile_x7y11_n1beg[2] , \tile_x7y11_n1beg[1] , \tile_x7y11_n1beg[0] }),
.n2beg({ \tile_x7y10_n2beg[7] , \tile_x7y10_n2beg[6] , \tile_x7y10_n2beg[5] , \tile_x7y10_n2beg[4] , \tile_x7y10_n2beg[3] , \tile_x7y10_n2beg[2] , \tile_x7y10_n2beg[1] , \tile_x7y10_n2beg[0] }),
.n2begb({ \tile_x7y10_n2begb[7] , \tile_x7y10_n2begb[6] , \tile_x7y10_n2begb[5] , \tile_x7y10_n2begb[4] , \tile_x7y10_n2begb[3] , \tile_x7y10_n2begb[2] , \tile_x7y10_n2begb[1] , \tile_x7y10_n2begb[0] }),
.n2end({ \tile_x7y11_n2begb[7] , \tile_x7y11_n2begb[6] , \tile_x7y11_n2begb[5] , \tile_x7y11_n2begb[4] , \tile_x7y11_n2begb[3] , \tile_x7y11_n2begb[2] , \tile_x7y11_n2begb[1] , \tile_x7y11_n2begb[0] }),
.n2mid({ \tile_x7y11_n2beg[7] , \tile_x7y11_n2beg[6] , \tile_x7y11_n2beg[5] , \tile_x7y11_n2beg[4] , \tile_x7y11_n2beg[3] , \tile_x7y11_n2beg[2] , \tile_x7y11_n2beg[1] , \tile_x7y11_n2beg[0] }),
.n4beg({ \tile_x7y10_n4beg[15] , \tile_x7y10_n4beg[14] , \tile_x7y10_n4beg[13] , \tile_x7y10_n4beg[12] , \tile_x7y10_n4beg[11] , \tile_x7y10_n4beg[10] , \tile_x7y10_n4beg[9] , \tile_x7y10_n4beg[8] , \tile_x7y10_n4beg[7] , \tile_x7y10_n4beg[6] , \tile_x7y10_n4beg[5] , \tile_x7y10_n4beg[4] , \tile_x7y10_n4beg[3] , \tile_x7y10_n4beg[2] , \tile_x7y10_n4beg[1] , \tile_x7y10_n4beg[0] }),
.n4end({ \tile_x7y11_n4beg[15] , \tile_x7y11_n4beg[14] , \tile_x7y11_n4beg[13] , \tile_x7y11_n4beg[12] , \tile_x7y11_n4beg[11] , \tile_x7y11_n4beg[10] , \tile_x7y11_n4beg[9] , \tile_x7y11_n4beg[8] , \tile_x7y11_n4beg[7] , \tile_x7y11_n4beg[6] , \tile_x7y11_n4beg[5] , \tile_x7y11_n4beg[4] , \tile_x7y11_n4beg[3] , \tile_x7y11_n4beg[2] , \tile_x7y11_n4beg[1] , \tile_x7y11_n4beg[0] }),
.nn4beg({ \tile_x7y10_nn4beg[15] , \tile_x7y10_nn4beg[14] , \tile_x7y10_nn4beg[13] , \tile_x7y10_nn4beg[12] , \tile_x7y10_nn4beg[11] , \tile_x7y10_nn4beg[10] , \tile_x7y10_nn4beg[9] , \tile_x7y10_nn4beg[8] , \tile_x7y10_nn4beg[7] , \tile_x7y10_nn4beg[6] , \tile_x7y10_nn4beg[5] , \tile_x7y10_nn4beg[4] , \tile_x7y10_nn4beg[3] , \tile_x7y10_nn4beg[2] , \tile_x7y10_nn4beg[1] , \tile_x7y10_nn4beg[0] }),
.nn4end({ \tile_x7y11_nn4beg[15] , \tile_x7y11_nn4beg[14] , \tile_x7y11_nn4beg[13] , \tile_x7y11_nn4beg[12] , \tile_x7y11_nn4beg[11] , \tile_x7y11_nn4beg[10] , \tile_x7y11_nn4beg[9] , \tile_x7y11_nn4beg[8] , \tile_x7y11_nn4beg[7] , \tile_x7y11_nn4beg[6] , \tile_x7y11_nn4beg[5] , \tile_x7y11_nn4beg[4] , \tile_x7y11_nn4beg[3] , \tile_x7y11_nn4beg[2] , \tile_x7y11_nn4beg[1] , \tile_x7y11_nn4beg[0] }),
.s1beg({ \tile_x7y10_s1beg[3] , \tile_x7y10_s1beg[2] , \tile_x7y10_s1beg[1] , \tile_x7y10_s1beg[0] }),
.s1end({ \tile_x7y9_s1beg[3] , \tile_x7y9_s1beg[2] , \tile_x7y9_s1beg[1] , \tile_x7y9_s1beg[0] }),
.s2beg({ \tile_x7y10_s2beg[7] , \tile_x7y10_s2beg[6] , \tile_x7y10_s2beg[5] , \tile_x7y10_s2beg[4] , \tile_x7y10_s2beg[3] , \tile_x7y10_s2beg[2] , \tile_x7y10_s2beg[1] , \tile_x7y10_s2beg[0] }),
.s2begb({ \tile_x7y10_s2begb[7] , \tile_x7y10_s2begb[6] , \tile_x7y10_s2begb[5] , \tile_x7y10_s2begb[4] , \tile_x7y10_s2begb[3] , \tile_x7y10_s2begb[2] , \tile_x7y10_s2begb[1] , \tile_x7y10_s2begb[0] }),
.s2end({ \tile_x7y9_s2begb[7] , \tile_x7y9_s2begb[6] , \tile_x7y9_s2begb[5] , \tile_x7y9_s2begb[4] , \tile_x7y9_s2begb[3] , \tile_x7y9_s2begb[2] , \tile_x7y9_s2begb[1] , \tile_x7y9_s2begb[0] }),
.s2mid({ \tile_x7y9_s2beg[7] , \tile_x7y9_s2beg[6] , \tile_x7y9_s2beg[5] , \tile_x7y9_s2beg[4] , \tile_x7y9_s2beg[3] , \tile_x7y9_s2beg[2] , \tile_x7y9_s2beg[1] , \tile_x7y9_s2beg[0] }),
.s4beg({ \tile_x7y10_s4beg[15] , \tile_x7y10_s4beg[14] , \tile_x7y10_s4beg[13] , \tile_x7y10_s4beg[12] , \tile_x7y10_s4beg[11] , \tile_x7y10_s4beg[10] , \tile_x7y10_s4beg[9] , \tile_x7y10_s4beg[8] , \tile_x7y10_s4beg[7] , \tile_x7y10_s4beg[6] , \tile_x7y10_s4beg[5] , \tile_x7y10_s4beg[4] , \tile_x7y10_s4beg[3] , \tile_x7y10_s4beg[2] , \tile_x7y10_s4beg[1] , \tile_x7y10_s4beg[0] }),
.s4end({ \tile_x7y9_s4beg[15] , \tile_x7y9_s4beg[14] , \tile_x7y9_s4beg[13] , \tile_x7y9_s4beg[12] , \tile_x7y9_s4beg[11] , \tile_x7y9_s4beg[10] , \tile_x7y9_s4beg[9] , \tile_x7y9_s4beg[8] , \tile_x7y9_s4beg[7] , \tile_x7y9_s4beg[6] , \tile_x7y9_s4beg[5] , \tile_x7y9_s4beg[4] , \tile_x7y9_s4beg[3] , \tile_x7y9_s4beg[2] , \tile_x7y9_s4beg[1] , \tile_x7y9_s4beg[0] }),
.ss4beg({ \tile_x7y10_ss4beg[15] , \tile_x7y10_ss4beg[14] , \tile_x7y10_ss4beg[13] , \tile_x7y10_ss4beg[12] , \tile_x7y10_ss4beg[11] , \tile_x7y10_ss4beg[10] , \tile_x7y10_ss4beg[9] , \tile_x7y10_ss4beg[8] , \tile_x7y10_ss4beg[7] , \tile_x7y10_ss4beg[6] , \tile_x7y10_ss4beg[5] , \tile_x7y10_ss4beg[4] , \tile_x7y10_ss4beg[3] , \tile_x7y10_ss4beg[2] , \tile_x7y10_ss4beg[1] , \tile_x7y10_ss4beg[0] }),
.ss4end({ \tile_x7y9_ss4beg[15] , \tile_x7y9_ss4beg[14] , \tile_x7y9_ss4beg[13] , \tile_x7y9_ss4beg[12] , \tile_x7y9_ss4beg[11] , \tile_x7y9_ss4beg[10] , \tile_x7y9_ss4beg[9] , \tile_x7y9_ss4beg[8] , \tile_x7y9_ss4beg[7] , \tile_x7y9_ss4beg[6] , \tile_x7y9_ss4beg[5] , \tile_x7y9_ss4beg[4] , \tile_x7y9_ss4beg[3] , \tile_x7y9_ss4beg[2] , \tile_x7y9_ss4beg[1] , \tile_x7y9_ss4beg[0] }),
.userclk(tile_x7y11_userclko),
.userclko(tile_x7y10_userclko),
.w1beg({ \tile_x7y10_w1beg[3] , \tile_x7y10_w1beg[2] , \tile_x7y10_w1beg[1] , \tile_x7y10_w1beg[0] }),
.w1end({ \tile_x8y10_w1beg[3] , \tile_x8y10_w1beg[2] , \tile_x8y10_w1beg[1] , \tile_x8y10_w1beg[0] }),
.w2beg({ \tile_x7y10_w2beg[7] , \tile_x7y10_w2beg[6] , \tile_x7y10_w2beg[5] , \tile_x7y10_w2beg[4] , \tile_x7y10_w2beg[3] , \tile_x7y10_w2beg[2] , \tile_x7y10_w2beg[1] , \tile_x7y10_w2beg[0] }),
.w2begb({ \tile_x7y10_w2begb[7] , \tile_x7y10_w2begb[6] , \tile_x7y10_w2begb[5] , \tile_x7y10_w2begb[4] , \tile_x7y10_w2begb[3] , \tile_x7y10_w2begb[2] , \tile_x7y10_w2begb[1] , \tile_x7y10_w2begb[0] }),
.w2end({ \tile_x8y10_w2begb[7] , \tile_x8y10_w2begb[6] , \tile_x8y10_w2begb[5] , \tile_x8y10_w2begb[4] , \tile_x8y10_w2begb[3] , \tile_x8y10_w2begb[2] , \tile_x8y10_w2begb[1] , \tile_x8y10_w2begb[0] }),
.w2mid({ \tile_x8y10_w2beg[7] , \tile_x8y10_w2beg[6] , \tile_x8y10_w2beg[5] , \tile_x8y10_w2beg[4] , \tile_x8y10_w2beg[3] , \tile_x8y10_w2beg[2] , \tile_x8y10_w2beg[1] , \tile_x8y10_w2beg[0] }),
.w6beg({ \tile_x7y10_w6beg[11] , \tile_x7y10_w6beg[10] , \tile_x7y10_w6beg[9] , \tile_x7y10_w6beg[8] , \tile_x7y10_w6beg[7] , \tile_x7y10_w6beg[6] , \tile_x7y10_w6beg[5] , \tile_x7y10_w6beg[4] , \tile_x7y10_w6beg[3] , \tile_x7y10_w6beg[2] , \tile_x7y10_w6beg[1] , \tile_x7y10_w6beg[0] }),
.w6end({ \tile_x8y10_w6beg[11] , \tile_x8y10_w6beg[10] , \tile_x8y10_w6beg[9] , \tile_x8y10_w6beg[8] , \tile_x8y10_w6beg[7] , \tile_x8y10_w6beg[6] , \tile_x8y10_w6beg[5] , \tile_x8y10_w6beg[4] , \tile_x8y10_w6beg[3] , \tile_x8y10_w6beg[2] , \tile_x8y10_w6beg[1] , \tile_x8y10_w6beg[0] }),
.ww4beg({ \tile_x7y10_ww4beg[15] , \tile_x7y10_ww4beg[14] , \tile_x7y10_ww4beg[13] , \tile_x7y10_ww4beg[12] , \tile_x7y10_ww4beg[11] , \tile_x7y10_ww4beg[10] , \tile_x7y10_ww4beg[9] , \tile_x7y10_ww4beg[8] , \tile_x7y10_ww4beg[7] , \tile_x7y10_ww4beg[6] , \tile_x7y10_ww4beg[5] , \tile_x7y10_ww4beg[4] , \tile_x7y10_ww4beg[3] , \tile_x7y10_ww4beg[2] , \tile_x7y10_ww4beg[1] , \tile_x7y10_ww4beg[0] }),
.ww4end({ \tile_x8y10_ww4beg[15] , \tile_x8y10_ww4beg[14] , \tile_x8y10_ww4beg[13] , \tile_x8y10_ww4beg[12] , \tile_x8y10_ww4beg[11] , \tile_x8y10_ww4beg[10] , \tile_x8y10_ww4beg[9] , \tile_x8y10_ww4beg[8] , \tile_x8y10_ww4beg[7] , \tile_x8y10_ww4beg[6] , \tile_x8y10_ww4beg[5] , \tile_x8y10_ww4beg[4] , \tile_x8y10_ww4beg[3] , \tile_x8y10_ww4beg[2] , \tile_x8y10_ww4beg[1] , \tile_x8y10_ww4beg[0] })
);
lut4ab tile_x7y11_lut4ab (
.ci(tile_x7y12_co),
.co(tile_x7y11_co),
.e1beg({ \tile_x7y11_e1beg[3] , \tile_x7y11_e1beg[2] , \tile_x7y11_e1beg[1] , \tile_x7y11_e1beg[0] }),
.e1end({ \tile_x6y11_e1beg[3] , \tile_x6y11_e1beg[2] , \tile_x6y11_e1beg[1] , \tile_x6y11_e1beg[0] }),
.e2beg({ \tile_x7y11_e2beg[7] , \tile_x7y11_e2beg[6] , \tile_x7y11_e2beg[5] , \tile_x7y11_e2beg[4] , \tile_x7y11_e2beg[3] , \tile_x7y11_e2beg[2] , \tile_x7y11_e2beg[1] , \tile_x7y11_e2beg[0] }),
.e2begb({ \tile_x7y11_e2begb[7] , \tile_x7y11_e2begb[6] , \tile_x7y11_e2begb[5] , \tile_x7y11_e2begb[4] , \tile_x7y11_e2begb[3] , \tile_x7y11_e2begb[2] , \tile_x7y11_e2begb[1] , \tile_x7y11_e2begb[0] }),
.e2end({ \tile_x6y11_e2begb[7] , \tile_x6y11_e2begb[6] , \tile_x6y11_e2begb[5] , \tile_x6y11_e2begb[4] , \tile_x6y11_e2begb[3] , \tile_x6y11_e2begb[2] , \tile_x6y11_e2begb[1] , \tile_x6y11_e2begb[0] }),
.e2mid({ \tile_x6y11_e2beg[7] , \tile_x6y11_e2beg[6] , \tile_x6y11_e2beg[5] , \tile_x6y11_e2beg[4] , \tile_x6y11_e2beg[3] , \tile_x6y11_e2beg[2] , \tile_x6y11_e2beg[1] , \tile_x6y11_e2beg[0] }),
.e6beg({ \tile_x7y11_e6beg[11] , \tile_x7y11_e6beg[10] , \tile_x7y11_e6beg[9] , \tile_x7y11_e6beg[8] , \tile_x7y11_e6beg[7] , \tile_x7y11_e6beg[6] , \tile_x7y11_e6beg[5] , \tile_x7y11_e6beg[4] , \tile_x7y11_e6beg[3] , \tile_x7y11_e6beg[2] , \tile_x7y11_e6beg[1] , \tile_x7y11_e6beg[0] }),
.e6end({ \tile_x6y11_e6beg[11] , \tile_x6y11_e6beg[10] , \tile_x6y11_e6beg[9] , \tile_x6y11_e6beg[8] , \tile_x6y11_e6beg[7] , \tile_x6y11_e6beg[6] , \tile_x6y11_e6beg[5] , \tile_x6y11_e6beg[4] , \tile_x6y11_e6beg[3] , \tile_x6y11_e6beg[2] , \tile_x6y11_e6beg[1] , \tile_x6y11_e6beg[0] }),
.ee4beg({ \tile_x7y11_ee4beg[15] , \tile_x7y11_ee4beg[14] , \tile_x7y11_ee4beg[13] , \tile_x7y11_ee4beg[12] , \tile_x7y11_ee4beg[11] , \tile_x7y11_ee4beg[10] , \tile_x7y11_ee4beg[9] , \tile_x7y11_ee4beg[8] , \tile_x7y11_ee4beg[7] , \tile_x7y11_ee4beg[6] , \tile_x7y11_ee4beg[5] , \tile_x7y11_ee4beg[4] , \tile_x7y11_ee4beg[3] , \tile_x7y11_ee4beg[2] , \tile_x7y11_ee4beg[1] , \tile_x7y11_ee4beg[0] }),
.ee4end({ \tile_x6y11_ee4beg[15] , \tile_x6y11_ee4beg[14] , \tile_x6y11_ee4beg[13] , \tile_x6y11_ee4beg[12] , \tile_x6y11_ee4beg[11] , \tile_x6y11_ee4beg[10] , \tile_x6y11_ee4beg[9] , \tile_x6y11_ee4beg[8] , \tile_x6y11_ee4beg[7] , \tile_x6y11_ee4beg[6] , \tile_x6y11_ee4beg[5] , \tile_x6y11_ee4beg[4] , \tile_x6y11_ee4beg[3] , \tile_x6y11_ee4beg[2] , \tile_x6y11_ee4beg[1] , \tile_x6y11_ee4beg[0] }),
.framedata({ \tile_x6y11_framedata_o[31] , \tile_x6y11_framedata_o[30] , \tile_x6y11_framedata_o[29] , \tile_x6y11_framedata_o[28] , \tile_x6y11_framedata_o[27] , \tile_x6y11_framedata_o[26] , \tile_x6y11_framedata_o[25] , \tile_x6y11_framedata_o[24] , \tile_x6y11_framedata_o[23] , \tile_x6y11_framedata_o[22] , \tile_x6y11_framedata_o[21] , \tile_x6y11_framedata_o[20] , \tile_x6y11_framedata_o[19] , \tile_x6y11_framedata_o[18] , \tile_x6y11_framedata_o[17] , \tile_x6y11_framedata_o[16] , \tile_x6y11_framedata_o[15] , \tile_x6y11_framedata_o[14] , \tile_x6y11_framedata_o[13] , \tile_x6y11_framedata_o[12] , \tile_x6y11_framedata_o[11] , \tile_x6y11_framedata_o[10] , \tile_x6y11_framedata_o[9] , \tile_x6y11_framedata_o[8] , \tile_x6y11_framedata_o[7] , \tile_x6y11_framedata_o[6] , \tile_x6y11_framedata_o[5] , \tile_x6y11_framedata_o[4] , \tile_x6y11_framedata_o[3] , \tile_x6y11_framedata_o[2] , \tile_x6y11_framedata_o[1] , \tile_x6y11_framedata_o[0] }),
.framedata_o({ \tile_x7y11_framedata_o[31] , \tile_x7y11_framedata_o[30] , \tile_x7y11_framedata_o[29] , \tile_x7y11_framedata_o[28] , \tile_x7y11_framedata_o[27] , \tile_x7y11_framedata_o[26] , \tile_x7y11_framedata_o[25] , \tile_x7y11_framedata_o[24] , \tile_x7y11_framedata_o[23] , \tile_x7y11_framedata_o[22] , \tile_x7y11_framedata_o[21] , \tile_x7y11_framedata_o[20] , \tile_x7y11_framedata_o[19] , \tile_x7y11_framedata_o[18] , \tile_x7y11_framedata_o[17] , \tile_x7y11_framedata_o[16] , \tile_x7y11_framedata_o[15] , \tile_x7y11_framedata_o[14] , \tile_x7y11_framedata_o[13] , \tile_x7y11_framedata_o[12] , \tile_x7y11_framedata_o[11] , \tile_x7y11_framedata_o[10] , \tile_x7y11_framedata_o[9] , \tile_x7y11_framedata_o[8] , \tile_x7y11_framedata_o[7] , \tile_x7y11_framedata_o[6] , \tile_x7y11_framedata_o[5] , \tile_x7y11_framedata_o[4] , \tile_x7y11_framedata_o[3] , \tile_x7y11_framedata_o[2] , \tile_x7y11_framedata_o[1] , \tile_x7y11_framedata_o[0] }),
.framestrobe({ \tile_x7y12_framestrobe_o[19] , \tile_x7y12_framestrobe_o[18] , \tile_x7y12_framestrobe_o[17] , \tile_x7y12_framestrobe_o[16] , \tile_x7y12_framestrobe_o[15] , \tile_x7y12_framestrobe_o[14] , \tile_x7y12_framestrobe_o[13] , \tile_x7y12_framestrobe_o[12] , \tile_x7y12_framestrobe_o[11] , \tile_x7y12_framestrobe_o[10] , \tile_x7y12_framestrobe_o[9] , \tile_x7y12_framestrobe_o[8] , \tile_x7y12_framestrobe_o[7] , \tile_x7y12_framestrobe_o[6] , \tile_x7y12_framestrobe_o[5] , \tile_x7y12_framestrobe_o[4] , \tile_x7y12_framestrobe_o[3] , \tile_x7y12_framestrobe_o[2] , \tile_x7y12_framestrobe_o[1] , \tile_x7y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y11_framestrobe_o[19] , \tile_x7y11_framestrobe_o[18] , \tile_x7y11_framestrobe_o[17] , \tile_x7y11_framestrobe_o[16] , \tile_x7y11_framestrobe_o[15] , \tile_x7y11_framestrobe_o[14] , \tile_x7y11_framestrobe_o[13] , \tile_x7y11_framestrobe_o[12] , \tile_x7y11_framestrobe_o[11] , \tile_x7y11_framestrobe_o[10] , \tile_x7y11_framestrobe_o[9] , \tile_x7y11_framestrobe_o[8] , \tile_x7y11_framestrobe_o[7] , \tile_x7y11_framestrobe_o[6] , \tile_x7y11_framestrobe_o[5] , \tile_x7y11_framestrobe_o[4] , \tile_x7y11_framestrobe_o[3] , \tile_x7y11_framestrobe_o[2] , \tile_x7y11_framestrobe_o[1] , \tile_x7y11_framestrobe_o[0] }),
.n1beg({ \tile_x7y11_n1beg[3] , \tile_x7y11_n1beg[2] , \tile_x7y11_n1beg[1] , \tile_x7y11_n1beg[0] }),
.n1end({ \tile_x7y12_n1beg[3] , \tile_x7y12_n1beg[2] , \tile_x7y12_n1beg[1] , \tile_x7y12_n1beg[0] }),
.n2beg({ \tile_x7y11_n2beg[7] , \tile_x7y11_n2beg[6] , \tile_x7y11_n2beg[5] , \tile_x7y11_n2beg[4] , \tile_x7y11_n2beg[3] , \tile_x7y11_n2beg[2] , \tile_x7y11_n2beg[1] , \tile_x7y11_n2beg[0] }),
.n2begb({ \tile_x7y11_n2begb[7] , \tile_x7y11_n2begb[6] , \tile_x7y11_n2begb[5] , \tile_x7y11_n2begb[4] , \tile_x7y11_n2begb[3] , \tile_x7y11_n2begb[2] , \tile_x7y11_n2begb[1] , \tile_x7y11_n2begb[0] }),
.n2end({ \tile_x7y12_n2begb[7] , \tile_x7y12_n2begb[6] , \tile_x7y12_n2begb[5] , \tile_x7y12_n2begb[4] , \tile_x7y12_n2begb[3] , \tile_x7y12_n2begb[2] , \tile_x7y12_n2begb[1] , \tile_x7y12_n2begb[0] }),
.n2mid({ \tile_x7y12_n2beg[7] , \tile_x7y12_n2beg[6] , \tile_x7y12_n2beg[5] , \tile_x7y12_n2beg[4] , \tile_x7y12_n2beg[3] , \tile_x7y12_n2beg[2] , \tile_x7y12_n2beg[1] , \tile_x7y12_n2beg[0] }),
.n4beg({ \tile_x7y11_n4beg[15] , \tile_x7y11_n4beg[14] , \tile_x7y11_n4beg[13] , \tile_x7y11_n4beg[12] , \tile_x7y11_n4beg[11] , \tile_x7y11_n4beg[10] , \tile_x7y11_n4beg[9] , \tile_x7y11_n4beg[8] , \tile_x7y11_n4beg[7] , \tile_x7y11_n4beg[6] , \tile_x7y11_n4beg[5] , \tile_x7y11_n4beg[4] , \tile_x7y11_n4beg[3] , \tile_x7y11_n4beg[2] , \tile_x7y11_n4beg[1] , \tile_x7y11_n4beg[0] }),
.n4end({ \tile_x7y12_n4beg[15] , \tile_x7y12_n4beg[14] , \tile_x7y12_n4beg[13] , \tile_x7y12_n4beg[12] , \tile_x7y12_n4beg[11] , \tile_x7y12_n4beg[10] , \tile_x7y12_n4beg[9] , \tile_x7y12_n4beg[8] , \tile_x7y12_n4beg[7] , \tile_x7y12_n4beg[6] , \tile_x7y12_n4beg[5] , \tile_x7y12_n4beg[4] , \tile_x7y12_n4beg[3] , \tile_x7y12_n4beg[2] , \tile_x7y12_n4beg[1] , \tile_x7y12_n4beg[0] }),
.nn4beg({ \tile_x7y11_nn4beg[15] , \tile_x7y11_nn4beg[14] , \tile_x7y11_nn4beg[13] , \tile_x7y11_nn4beg[12] , \tile_x7y11_nn4beg[11] , \tile_x7y11_nn4beg[10] , \tile_x7y11_nn4beg[9] , \tile_x7y11_nn4beg[8] , \tile_x7y11_nn4beg[7] , \tile_x7y11_nn4beg[6] , \tile_x7y11_nn4beg[5] , \tile_x7y11_nn4beg[4] , \tile_x7y11_nn4beg[3] , \tile_x7y11_nn4beg[2] , \tile_x7y11_nn4beg[1] , \tile_x7y11_nn4beg[0] }),
.nn4end({ \tile_x7y12_nn4beg[15] , \tile_x7y12_nn4beg[14] , \tile_x7y12_nn4beg[13] , \tile_x7y12_nn4beg[12] , \tile_x7y12_nn4beg[11] , \tile_x7y12_nn4beg[10] , \tile_x7y12_nn4beg[9] , \tile_x7y12_nn4beg[8] , \tile_x7y12_nn4beg[7] , \tile_x7y12_nn4beg[6] , \tile_x7y12_nn4beg[5] , \tile_x7y12_nn4beg[4] , \tile_x7y12_nn4beg[3] , \tile_x7y12_nn4beg[2] , \tile_x7y12_nn4beg[1] , \tile_x7y12_nn4beg[0] }),
.s1beg({ \tile_x7y11_s1beg[3] , \tile_x7y11_s1beg[2] , \tile_x7y11_s1beg[1] , \tile_x7y11_s1beg[0] }),
.s1end({ \tile_x7y10_s1beg[3] , \tile_x7y10_s1beg[2] , \tile_x7y10_s1beg[1] , \tile_x7y10_s1beg[0] }),
.s2beg({ \tile_x7y11_s2beg[7] , \tile_x7y11_s2beg[6] , \tile_x7y11_s2beg[5] , \tile_x7y11_s2beg[4] , \tile_x7y11_s2beg[3] , \tile_x7y11_s2beg[2] , \tile_x7y11_s2beg[1] , \tile_x7y11_s2beg[0] }),
.s2begb({ \tile_x7y11_s2begb[7] , \tile_x7y11_s2begb[6] , \tile_x7y11_s2begb[5] , \tile_x7y11_s2begb[4] , \tile_x7y11_s2begb[3] , \tile_x7y11_s2begb[2] , \tile_x7y11_s2begb[1] , \tile_x7y11_s2begb[0] }),
.s2end({ \tile_x7y10_s2begb[7] , \tile_x7y10_s2begb[6] , \tile_x7y10_s2begb[5] , \tile_x7y10_s2begb[4] , \tile_x7y10_s2begb[3] , \tile_x7y10_s2begb[2] , \tile_x7y10_s2begb[1] , \tile_x7y10_s2begb[0] }),
.s2mid({ \tile_x7y10_s2beg[7] , \tile_x7y10_s2beg[6] , \tile_x7y10_s2beg[5] , \tile_x7y10_s2beg[4] , \tile_x7y10_s2beg[3] , \tile_x7y10_s2beg[2] , \tile_x7y10_s2beg[1] , \tile_x7y10_s2beg[0] }),
.s4beg({ \tile_x7y11_s4beg[15] , \tile_x7y11_s4beg[14] , \tile_x7y11_s4beg[13] , \tile_x7y11_s4beg[12] , \tile_x7y11_s4beg[11] , \tile_x7y11_s4beg[10] , \tile_x7y11_s4beg[9] , \tile_x7y11_s4beg[8] , \tile_x7y11_s4beg[7] , \tile_x7y11_s4beg[6] , \tile_x7y11_s4beg[5] , \tile_x7y11_s4beg[4] , \tile_x7y11_s4beg[3] , \tile_x7y11_s4beg[2] , \tile_x7y11_s4beg[1] , \tile_x7y11_s4beg[0] }),
.s4end({ \tile_x7y10_s4beg[15] , \tile_x7y10_s4beg[14] , \tile_x7y10_s4beg[13] , \tile_x7y10_s4beg[12] , \tile_x7y10_s4beg[11] , \tile_x7y10_s4beg[10] , \tile_x7y10_s4beg[9] , \tile_x7y10_s4beg[8] , \tile_x7y10_s4beg[7] , \tile_x7y10_s4beg[6] , \tile_x7y10_s4beg[5] , \tile_x7y10_s4beg[4] , \tile_x7y10_s4beg[3] , \tile_x7y10_s4beg[2] , \tile_x7y10_s4beg[1] , \tile_x7y10_s4beg[0] }),
.ss4beg({ \tile_x7y11_ss4beg[15] , \tile_x7y11_ss4beg[14] , \tile_x7y11_ss4beg[13] , \tile_x7y11_ss4beg[12] , \tile_x7y11_ss4beg[11] , \tile_x7y11_ss4beg[10] , \tile_x7y11_ss4beg[9] , \tile_x7y11_ss4beg[8] , \tile_x7y11_ss4beg[7] , \tile_x7y11_ss4beg[6] , \tile_x7y11_ss4beg[5] , \tile_x7y11_ss4beg[4] , \tile_x7y11_ss4beg[3] , \tile_x7y11_ss4beg[2] , \tile_x7y11_ss4beg[1] , \tile_x7y11_ss4beg[0] }),
.ss4end({ \tile_x7y10_ss4beg[15] , \tile_x7y10_ss4beg[14] , \tile_x7y10_ss4beg[13] , \tile_x7y10_ss4beg[12] , \tile_x7y10_ss4beg[11] , \tile_x7y10_ss4beg[10] , \tile_x7y10_ss4beg[9] , \tile_x7y10_ss4beg[8] , \tile_x7y10_ss4beg[7] , \tile_x7y10_ss4beg[6] , \tile_x7y10_ss4beg[5] , \tile_x7y10_ss4beg[4] , \tile_x7y10_ss4beg[3] , \tile_x7y10_ss4beg[2] , \tile_x7y10_ss4beg[1] , \tile_x7y10_ss4beg[0] }),
.userclk(tile_x7y12_userclko),
.userclko(tile_x7y11_userclko),
.w1beg({ \tile_x7y11_w1beg[3] , \tile_x7y11_w1beg[2] , \tile_x7y11_w1beg[1] , \tile_x7y11_w1beg[0] }),
.w1end({ \tile_x8y11_w1beg[3] , \tile_x8y11_w1beg[2] , \tile_x8y11_w1beg[1] , \tile_x8y11_w1beg[0] }),
.w2beg({ \tile_x7y11_w2beg[7] , \tile_x7y11_w2beg[6] , \tile_x7y11_w2beg[5] , \tile_x7y11_w2beg[4] , \tile_x7y11_w2beg[3] , \tile_x7y11_w2beg[2] , \tile_x7y11_w2beg[1] , \tile_x7y11_w2beg[0] }),
.w2begb({ \tile_x7y11_w2begb[7] , \tile_x7y11_w2begb[6] , \tile_x7y11_w2begb[5] , \tile_x7y11_w2begb[4] , \tile_x7y11_w2begb[3] , \tile_x7y11_w2begb[2] , \tile_x7y11_w2begb[1] , \tile_x7y11_w2begb[0] }),
.w2end({ \tile_x8y11_w2begb[7] , \tile_x8y11_w2begb[6] , \tile_x8y11_w2begb[5] , \tile_x8y11_w2begb[4] , \tile_x8y11_w2begb[3] , \tile_x8y11_w2begb[2] , \tile_x8y11_w2begb[1] , \tile_x8y11_w2begb[0] }),
.w2mid({ \tile_x8y11_w2beg[7] , \tile_x8y11_w2beg[6] , \tile_x8y11_w2beg[5] , \tile_x8y11_w2beg[4] , \tile_x8y11_w2beg[3] , \tile_x8y11_w2beg[2] , \tile_x8y11_w2beg[1] , \tile_x8y11_w2beg[0] }),
.w6beg({ \tile_x7y11_w6beg[11] , \tile_x7y11_w6beg[10] , \tile_x7y11_w6beg[9] , \tile_x7y11_w6beg[8] , \tile_x7y11_w6beg[7] , \tile_x7y11_w6beg[6] , \tile_x7y11_w6beg[5] , \tile_x7y11_w6beg[4] , \tile_x7y11_w6beg[3] , \tile_x7y11_w6beg[2] , \tile_x7y11_w6beg[1] , \tile_x7y11_w6beg[0] }),
.w6end({ \tile_x8y11_w6beg[11] , \tile_x8y11_w6beg[10] , \tile_x8y11_w6beg[9] , \tile_x8y11_w6beg[8] , \tile_x8y11_w6beg[7] , \tile_x8y11_w6beg[6] , \tile_x8y11_w6beg[5] , \tile_x8y11_w6beg[4] , \tile_x8y11_w6beg[3] , \tile_x8y11_w6beg[2] , \tile_x8y11_w6beg[1] , \tile_x8y11_w6beg[0] }),
.ww4beg({ \tile_x7y11_ww4beg[15] , \tile_x7y11_ww4beg[14] , \tile_x7y11_ww4beg[13] , \tile_x7y11_ww4beg[12] , \tile_x7y11_ww4beg[11] , \tile_x7y11_ww4beg[10] , \tile_x7y11_ww4beg[9] , \tile_x7y11_ww4beg[8] , \tile_x7y11_ww4beg[7] , \tile_x7y11_ww4beg[6] , \tile_x7y11_ww4beg[5] , \tile_x7y11_ww4beg[4] , \tile_x7y11_ww4beg[3] , \tile_x7y11_ww4beg[2] , \tile_x7y11_ww4beg[1] , \tile_x7y11_ww4beg[0] }),
.ww4end({ \tile_x8y11_ww4beg[15] , \tile_x8y11_ww4beg[14] , \tile_x8y11_ww4beg[13] , \tile_x8y11_ww4beg[12] , \tile_x8y11_ww4beg[11] , \tile_x8y11_ww4beg[10] , \tile_x8y11_ww4beg[9] , \tile_x8y11_ww4beg[8] , \tile_x8y11_ww4beg[7] , \tile_x8y11_ww4beg[6] , \tile_x8y11_ww4beg[5] , \tile_x8y11_ww4beg[4] , \tile_x8y11_ww4beg[3] , \tile_x8y11_ww4beg[2] , \tile_x8y11_ww4beg[1] , \tile_x8y11_ww4beg[0] })
);
lut4ab tile_x7y12_lut4ab (
.ci(tile_x7y13_co),
.co(tile_x7y12_co),
.e1beg({ \tile_x7y12_e1beg[3] , \tile_x7y12_e1beg[2] , \tile_x7y12_e1beg[1] , \tile_x7y12_e1beg[0] }),
.e1end({ \tile_x6y12_e1beg[3] , \tile_x6y12_e1beg[2] , \tile_x6y12_e1beg[1] , \tile_x6y12_e1beg[0] }),
.e2beg({ \tile_x7y12_e2beg[7] , \tile_x7y12_e2beg[6] , \tile_x7y12_e2beg[5] , \tile_x7y12_e2beg[4] , \tile_x7y12_e2beg[3] , \tile_x7y12_e2beg[2] , \tile_x7y12_e2beg[1] , \tile_x7y12_e2beg[0] }),
.e2begb({ \tile_x7y12_e2begb[7] , \tile_x7y12_e2begb[6] , \tile_x7y12_e2begb[5] , \tile_x7y12_e2begb[4] , \tile_x7y12_e2begb[3] , \tile_x7y12_e2begb[2] , \tile_x7y12_e2begb[1] , \tile_x7y12_e2begb[0] }),
.e2end({ \tile_x6y12_e2begb[7] , \tile_x6y12_e2begb[6] , \tile_x6y12_e2begb[5] , \tile_x6y12_e2begb[4] , \tile_x6y12_e2begb[3] , \tile_x6y12_e2begb[2] , \tile_x6y12_e2begb[1] , \tile_x6y12_e2begb[0] }),
.e2mid({ \tile_x6y12_e2beg[7] , \tile_x6y12_e2beg[6] , \tile_x6y12_e2beg[5] , \tile_x6y12_e2beg[4] , \tile_x6y12_e2beg[3] , \tile_x6y12_e2beg[2] , \tile_x6y12_e2beg[1] , \tile_x6y12_e2beg[0] }),
.e6beg({ \tile_x7y12_e6beg[11] , \tile_x7y12_e6beg[10] , \tile_x7y12_e6beg[9] , \tile_x7y12_e6beg[8] , \tile_x7y12_e6beg[7] , \tile_x7y12_e6beg[6] , \tile_x7y12_e6beg[5] , \tile_x7y12_e6beg[4] , \tile_x7y12_e6beg[3] , \tile_x7y12_e6beg[2] , \tile_x7y12_e6beg[1] , \tile_x7y12_e6beg[0] }),
.e6end({ \tile_x6y12_e6beg[11] , \tile_x6y12_e6beg[10] , \tile_x6y12_e6beg[9] , \tile_x6y12_e6beg[8] , \tile_x6y12_e6beg[7] , \tile_x6y12_e6beg[6] , \tile_x6y12_e6beg[5] , \tile_x6y12_e6beg[4] , \tile_x6y12_e6beg[3] , \tile_x6y12_e6beg[2] , \tile_x6y12_e6beg[1] , \tile_x6y12_e6beg[0] }),
.ee4beg({ \tile_x7y12_ee4beg[15] , \tile_x7y12_ee4beg[14] , \tile_x7y12_ee4beg[13] , \tile_x7y12_ee4beg[12] , \tile_x7y12_ee4beg[11] , \tile_x7y12_ee4beg[10] , \tile_x7y12_ee4beg[9] , \tile_x7y12_ee4beg[8] , \tile_x7y12_ee4beg[7] , \tile_x7y12_ee4beg[6] , \tile_x7y12_ee4beg[5] , \tile_x7y12_ee4beg[4] , \tile_x7y12_ee4beg[3] , \tile_x7y12_ee4beg[2] , \tile_x7y12_ee4beg[1] , \tile_x7y12_ee4beg[0] }),
.ee4end({ \tile_x6y12_ee4beg[15] , \tile_x6y12_ee4beg[14] , \tile_x6y12_ee4beg[13] , \tile_x6y12_ee4beg[12] , \tile_x6y12_ee4beg[11] , \tile_x6y12_ee4beg[10] , \tile_x6y12_ee4beg[9] , \tile_x6y12_ee4beg[8] , \tile_x6y12_ee4beg[7] , \tile_x6y12_ee4beg[6] , \tile_x6y12_ee4beg[5] , \tile_x6y12_ee4beg[4] , \tile_x6y12_ee4beg[3] , \tile_x6y12_ee4beg[2] , \tile_x6y12_ee4beg[1] , \tile_x6y12_ee4beg[0] }),
.framedata({ \tile_x6y12_framedata_o[31] , \tile_x6y12_framedata_o[30] , \tile_x6y12_framedata_o[29] , \tile_x6y12_framedata_o[28] , \tile_x6y12_framedata_o[27] , \tile_x6y12_framedata_o[26] , \tile_x6y12_framedata_o[25] , \tile_x6y12_framedata_o[24] , \tile_x6y12_framedata_o[23] , \tile_x6y12_framedata_o[22] , \tile_x6y12_framedata_o[21] , \tile_x6y12_framedata_o[20] , \tile_x6y12_framedata_o[19] , \tile_x6y12_framedata_o[18] , \tile_x6y12_framedata_o[17] , \tile_x6y12_framedata_o[16] , \tile_x6y12_framedata_o[15] , \tile_x6y12_framedata_o[14] , \tile_x6y12_framedata_o[13] , \tile_x6y12_framedata_o[12] , \tile_x6y12_framedata_o[11] , \tile_x6y12_framedata_o[10] , \tile_x6y12_framedata_o[9] , \tile_x6y12_framedata_o[8] , \tile_x6y12_framedata_o[7] , \tile_x6y12_framedata_o[6] , \tile_x6y12_framedata_o[5] , \tile_x6y12_framedata_o[4] , \tile_x6y12_framedata_o[3] , \tile_x6y12_framedata_o[2] , \tile_x6y12_framedata_o[1] , \tile_x6y12_framedata_o[0] }),
.framedata_o({ \tile_x7y12_framedata_o[31] , \tile_x7y12_framedata_o[30] , \tile_x7y12_framedata_o[29] , \tile_x7y12_framedata_o[28] , \tile_x7y12_framedata_o[27] , \tile_x7y12_framedata_o[26] , \tile_x7y12_framedata_o[25] , \tile_x7y12_framedata_o[24] , \tile_x7y12_framedata_o[23] , \tile_x7y12_framedata_o[22] , \tile_x7y12_framedata_o[21] , \tile_x7y12_framedata_o[20] , \tile_x7y12_framedata_o[19] , \tile_x7y12_framedata_o[18] , \tile_x7y12_framedata_o[17] , \tile_x7y12_framedata_o[16] , \tile_x7y12_framedata_o[15] , \tile_x7y12_framedata_o[14] , \tile_x7y12_framedata_o[13] , \tile_x7y12_framedata_o[12] , \tile_x7y12_framedata_o[11] , \tile_x7y12_framedata_o[10] , \tile_x7y12_framedata_o[9] , \tile_x7y12_framedata_o[8] , \tile_x7y12_framedata_o[7] , \tile_x7y12_framedata_o[6] , \tile_x7y12_framedata_o[5] , \tile_x7y12_framedata_o[4] , \tile_x7y12_framedata_o[3] , \tile_x7y12_framedata_o[2] , \tile_x7y12_framedata_o[1] , \tile_x7y12_framedata_o[0] }),
.framestrobe({ \tile_x7y13_framestrobe_o[19] , \tile_x7y13_framestrobe_o[18] , \tile_x7y13_framestrobe_o[17] , \tile_x7y13_framestrobe_o[16] , \tile_x7y13_framestrobe_o[15] , \tile_x7y13_framestrobe_o[14] , \tile_x7y13_framestrobe_o[13] , \tile_x7y13_framestrobe_o[12] , \tile_x7y13_framestrobe_o[11] , \tile_x7y13_framestrobe_o[10] , \tile_x7y13_framestrobe_o[9] , \tile_x7y13_framestrobe_o[8] , \tile_x7y13_framestrobe_o[7] , \tile_x7y13_framestrobe_o[6] , \tile_x7y13_framestrobe_o[5] , \tile_x7y13_framestrobe_o[4] , \tile_x7y13_framestrobe_o[3] , \tile_x7y13_framestrobe_o[2] , \tile_x7y13_framestrobe_o[1] , \tile_x7y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y12_framestrobe_o[19] , \tile_x7y12_framestrobe_o[18] , \tile_x7y12_framestrobe_o[17] , \tile_x7y12_framestrobe_o[16] , \tile_x7y12_framestrobe_o[15] , \tile_x7y12_framestrobe_o[14] , \tile_x7y12_framestrobe_o[13] , \tile_x7y12_framestrobe_o[12] , \tile_x7y12_framestrobe_o[11] , \tile_x7y12_framestrobe_o[10] , \tile_x7y12_framestrobe_o[9] , \tile_x7y12_framestrobe_o[8] , \tile_x7y12_framestrobe_o[7] , \tile_x7y12_framestrobe_o[6] , \tile_x7y12_framestrobe_o[5] , \tile_x7y12_framestrobe_o[4] , \tile_x7y12_framestrobe_o[3] , \tile_x7y12_framestrobe_o[2] , \tile_x7y12_framestrobe_o[1] , \tile_x7y12_framestrobe_o[0] }),
.n1beg({ \tile_x7y12_n1beg[3] , \tile_x7y12_n1beg[2] , \tile_x7y12_n1beg[1] , \tile_x7y12_n1beg[0] }),
.n1end({ \tile_x7y13_n1beg[3] , \tile_x7y13_n1beg[2] , \tile_x7y13_n1beg[1] , \tile_x7y13_n1beg[0] }),
.n2beg({ \tile_x7y12_n2beg[7] , \tile_x7y12_n2beg[6] , \tile_x7y12_n2beg[5] , \tile_x7y12_n2beg[4] , \tile_x7y12_n2beg[3] , \tile_x7y12_n2beg[2] , \tile_x7y12_n2beg[1] , \tile_x7y12_n2beg[0] }),
.n2begb({ \tile_x7y12_n2begb[7] , \tile_x7y12_n2begb[6] , \tile_x7y12_n2begb[5] , \tile_x7y12_n2begb[4] , \tile_x7y12_n2begb[3] , \tile_x7y12_n2begb[2] , \tile_x7y12_n2begb[1] , \tile_x7y12_n2begb[0] }),
.n2end({ \tile_x7y13_n2begb[7] , \tile_x7y13_n2begb[6] , \tile_x7y13_n2begb[5] , \tile_x7y13_n2begb[4] , \tile_x7y13_n2begb[3] , \tile_x7y13_n2begb[2] , \tile_x7y13_n2begb[1] , \tile_x7y13_n2begb[0] }),
.n2mid({ \tile_x7y13_n2beg[7] , \tile_x7y13_n2beg[6] , \tile_x7y13_n2beg[5] , \tile_x7y13_n2beg[4] , \tile_x7y13_n2beg[3] , \tile_x7y13_n2beg[2] , \tile_x7y13_n2beg[1] , \tile_x7y13_n2beg[0] }),
.n4beg({ \tile_x7y12_n4beg[15] , \tile_x7y12_n4beg[14] , \tile_x7y12_n4beg[13] , \tile_x7y12_n4beg[12] , \tile_x7y12_n4beg[11] , \tile_x7y12_n4beg[10] , \tile_x7y12_n4beg[9] , \tile_x7y12_n4beg[8] , \tile_x7y12_n4beg[7] , \tile_x7y12_n4beg[6] , \tile_x7y12_n4beg[5] , \tile_x7y12_n4beg[4] , \tile_x7y12_n4beg[3] , \tile_x7y12_n4beg[2] , \tile_x7y12_n4beg[1] , \tile_x7y12_n4beg[0] }),
.n4end({ \tile_x7y13_n4beg[15] , \tile_x7y13_n4beg[14] , \tile_x7y13_n4beg[13] , \tile_x7y13_n4beg[12] , \tile_x7y13_n4beg[11] , \tile_x7y13_n4beg[10] , \tile_x7y13_n4beg[9] , \tile_x7y13_n4beg[8] , \tile_x7y13_n4beg[7] , \tile_x7y13_n4beg[6] , \tile_x7y13_n4beg[5] , \tile_x7y13_n4beg[4] , \tile_x7y13_n4beg[3] , \tile_x7y13_n4beg[2] , \tile_x7y13_n4beg[1] , \tile_x7y13_n4beg[0] }),
.nn4beg({ \tile_x7y12_nn4beg[15] , \tile_x7y12_nn4beg[14] , \tile_x7y12_nn4beg[13] , \tile_x7y12_nn4beg[12] , \tile_x7y12_nn4beg[11] , \tile_x7y12_nn4beg[10] , \tile_x7y12_nn4beg[9] , \tile_x7y12_nn4beg[8] , \tile_x7y12_nn4beg[7] , \tile_x7y12_nn4beg[6] , \tile_x7y12_nn4beg[5] , \tile_x7y12_nn4beg[4] , \tile_x7y12_nn4beg[3] , \tile_x7y12_nn4beg[2] , \tile_x7y12_nn4beg[1] , \tile_x7y12_nn4beg[0] }),
.nn4end({ \tile_x7y13_nn4beg[15] , \tile_x7y13_nn4beg[14] , \tile_x7y13_nn4beg[13] , \tile_x7y13_nn4beg[12] , \tile_x7y13_nn4beg[11] , \tile_x7y13_nn4beg[10] , \tile_x7y13_nn4beg[9] , \tile_x7y13_nn4beg[8] , \tile_x7y13_nn4beg[7] , \tile_x7y13_nn4beg[6] , \tile_x7y13_nn4beg[5] , \tile_x7y13_nn4beg[4] , \tile_x7y13_nn4beg[3] , \tile_x7y13_nn4beg[2] , \tile_x7y13_nn4beg[1] , \tile_x7y13_nn4beg[0] }),
.s1beg({ \tile_x7y12_s1beg[3] , \tile_x7y12_s1beg[2] , \tile_x7y12_s1beg[1] , \tile_x7y12_s1beg[0] }),
.s1end({ \tile_x7y11_s1beg[3] , \tile_x7y11_s1beg[2] , \tile_x7y11_s1beg[1] , \tile_x7y11_s1beg[0] }),
.s2beg({ \tile_x7y12_s2beg[7] , \tile_x7y12_s2beg[6] , \tile_x7y12_s2beg[5] , \tile_x7y12_s2beg[4] , \tile_x7y12_s2beg[3] , \tile_x7y12_s2beg[2] , \tile_x7y12_s2beg[1] , \tile_x7y12_s2beg[0] }),
.s2begb({ \tile_x7y12_s2begb[7] , \tile_x7y12_s2begb[6] , \tile_x7y12_s2begb[5] , \tile_x7y12_s2begb[4] , \tile_x7y12_s2begb[3] , \tile_x7y12_s2begb[2] , \tile_x7y12_s2begb[1] , \tile_x7y12_s2begb[0] }),
.s2end({ \tile_x7y11_s2begb[7] , \tile_x7y11_s2begb[6] , \tile_x7y11_s2begb[5] , \tile_x7y11_s2begb[4] , \tile_x7y11_s2begb[3] , \tile_x7y11_s2begb[2] , \tile_x7y11_s2begb[1] , \tile_x7y11_s2begb[0] }),
.s2mid({ \tile_x7y11_s2beg[7] , \tile_x7y11_s2beg[6] , \tile_x7y11_s2beg[5] , \tile_x7y11_s2beg[4] , \tile_x7y11_s2beg[3] , \tile_x7y11_s2beg[2] , \tile_x7y11_s2beg[1] , \tile_x7y11_s2beg[0] }),
.s4beg({ \tile_x7y12_s4beg[15] , \tile_x7y12_s4beg[14] , \tile_x7y12_s4beg[13] , \tile_x7y12_s4beg[12] , \tile_x7y12_s4beg[11] , \tile_x7y12_s4beg[10] , \tile_x7y12_s4beg[9] , \tile_x7y12_s4beg[8] , \tile_x7y12_s4beg[7] , \tile_x7y12_s4beg[6] , \tile_x7y12_s4beg[5] , \tile_x7y12_s4beg[4] , \tile_x7y12_s4beg[3] , \tile_x7y12_s4beg[2] , \tile_x7y12_s4beg[1] , \tile_x7y12_s4beg[0] }),
.s4end({ \tile_x7y11_s4beg[15] , \tile_x7y11_s4beg[14] , \tile_x7y11_s4beg[13] , \tile_x7y11_s4beg[12] , \tile_x7y11_s4beg[11] , \tile_x7y11_s4beg[10] , \tile_x7y11_s4beg[9] , \tile_x7y11_s4beg[8] , \tile_x7y11_s4beg[7] , \tile_x7y11_s4beg[6] , \tile_x7y11_s4beg[5] , \tile_x7y11_s4beg[4] , \tile_x7y11_s4beg[3] , \tile_x7y11_s4beg[2] , \tile_x7y11_s4beg[1] , \tile_x7y11_s4beg[0] }),
.ss4beg({ \tile_x7y12_ss4beg[15] , \tile_x7y12_ss4beg[14] , \tile_x7y12_ss4beg[13] , \tile_x7y12_ss4beg[12] , \tile_x7y12_ss4beg[11] , \tile_x7y12_ss4beg[10] , \tile_x7y12_ss4beg[9] , \tile_x7y12_ss4beg[8] , \tile_x7y12_ss4beg[7] , \tile_x7y12_ss4beg[6] , \tile_x7y12_ss4beg[5] , \tile_x7y12_ss4beg[4] , \tile_x7y12_ss4beg[3] , \tile_x7y12_ss4beg[2] , \tile_x7y12_ss4beg[1] , \tile_x7y12_ss4beg[0] }),
.ss4end({ \tile_x7y11_ss4beg[15] , \tile_x7y11_ss4beg[14] , \tile_x7y11_ss4beg[13] , \tile_x7y11_ss4beg[12] , \tile_x7y11_ss4beg[11] , \tile_x7y11_ss4beg[10] , \tile_x7y11_ss4beg[9] , \tile_x7y11_ss4beg[8] , \tile_x7y11_ss4beg[7] , \tile_x7y11_ss4beg[6] , \tile_x7y11_ss4beg[5] , \tile_x7y11_ss4beg[4] , \tile_x7y11_ss4beg[3] , \tile_x7y11_ss4beg[2] , \tile_x7y11_ss4beg[1] , \tile_x7y11_ss4beg[0] }),
.userclk(tile_x7y13_userclko),
.userclko(tile_x7y12_userclko),
.w1beg({ \tile_x7y12_w1beg[3] , \tile_x7y12_w1beg[2] , \tile_x7y12_w1beg[1] , \tile_x7y12_w1beg[0] }),
.w1end({ \tile_x8y12_w1beg[3] , \tile_x8y12_w1beg[2] , \tile_x8y12_w1beg[1] , \tile_x8y12_w1beg[0] }),
.w2beg({ \tile_x7y12_w2beg[7] , \tile_x7y12_w2beg[6] , \tile_x7y12_w2beg[5] , \tile_x7y12_w2beg[4] , \tile_x7y12_w2beg[3] , \tile_x7y12_w2beg[2] , \tile_x7y12_w2beg[1] , \tile_x7y12_w2beg[0] }),
.w2begb({ \tile_x7y12_w2begb[7] , \tile_x7y12_w2begb[6] , \tile_x7y12_w2begb[5] , \tile_x7y12_w2begb[4] , \tile_x7y12_w2begb[3] , \tile_x7y12_w2begb[2] , \tile_x7y12_w2begb[1] , \tile_x7y12_w2begb[0] }),
.w2end({ \tile_x8y12_w2begb[7] , \tile_x8y12_w2begb[6] , \tile_x8y12_w2begb[5] , \tile_x8y12_w2begb[4] , \tile_x8y12_w2begb[3] , \tile_x8y12_w2begb[2] , \tile_x8y12_w2begb[1] , \tile_x8y12_w2begb[0] }),
.w2mid({ \tile_x8y12_w2beg[7] , \tile_x8y12_w2beg[6] , \tile_x8y12_w2beg[5] , \tile_x8y12_w2beg[4] , \tile_x8y12_w2beg[3] , \tile_x8y12_w2beg[2] , \tile_x8y12_w2beg[1] , \tile_x8y12_w2beg[0] }),
.w6beg({ \tile_x7y12_w6beg[11] , \tile_x7y12_w6beg[10] , \tile_x7y12_w6beg[9] , \tile_x7y12_w6beg[8] , \tile_x7y12_w6beg[7] , \tile_x7y12_w6beg[6] , \tile_x7y12_w6beg[5] , \tile_x7y12_w6beg[4] , \tile_x7y12_w6beg[3] , \tile_x7y12_w6beg[2] , \tile_x7y12_w6beg[1] , \tile_x7y12_w6beg[0] }),
.w6end({ \tile_x8y12_w6beg[11] , \tile_x8y12_w6beg[10] , \tile_x8y12_w6beg[9] , \tile_x8y12_w6beg[8] , \tile_x8y12_w6beg[7] , \tile_x8y12_w6beg[6] , \tile_x8y12_w6beg[5] , \tile_x8y12_w6beg[4] , \tile_x8y12_w6beg[3] , \tile_x8y12_w6beg[2] , \tile_x8y12_w6beg[1] , \tile_x8y12_w6beg[0] }),
.ww4beg({ \tile_x7y12_ww4beg[15] , \tile_x7y12_ww4beg[14] , \tile_x7y12_ww4beg[13] , \tile_x7y12_ww4beg[12] , \tile_x7y12_ww4beg[11] , \tile_x7y12_ww4beg[10] , \tile_x7y12_ww4beg[9] , \tile_x7y12_ww4beg[8] , \tile_x7y12_ww4beg[7] , \tile_x7y12_ww4beg[6] , \tile_x7y12_ww4beg[5] , \tile_x7y12_ww4beg[4] , \tile_x7y12_ww4beg[3] , \tile_x7y12_ww4beg[2] , \tile_x7y12_ww4beg[1] , \tile_x7y12_ww4beg[0] }),
.ww4end({ \tile_x8y12_ww4beg[15] , \tile_x8y12_ww4beg[14] , \tile_x8y12_ww4beg[13] , \tile_x8y12_ww4beg[12] , \tile_x8y12_ww4beg[11] , \tile_x8y12_ww4beg[10] , \tile_x8y12_ww4beg[9] , \tile_x8y12_ww4beg[8] , \tile_x8y12_ww4beg[7] , \tile_x8y12_ww4beg[6] , \tile_x8y12_ww4beg[5] , \tile_x8y12_ww4beg[4] , \tile_x8y12_ww4beg[3] , \tile_x8y12_ww4beg[2] , \tile_x8y12_ww4beg[1] , \tile_x8y12_ww4beg[0] })
);
lut4ab tile_x7y13_lut4ab (
.ci(tile_x7y14_co),
.co(tile_x7y13_co),
.e1beg({ \tile_x7y13_e1beg[3] , \tile_x7y13_e1beg[2] , \tile_x7y13_e1beg[1] , \tile_x7y13_e1beg[0] }),
.e1end({ \tile_x6y13_e1beg[3] , \tile_x6y13_e1beg[2] , \tile_x6y13_e1beg[1] , \tile_x6y13_e1beg[0] }),
.e2beg({ \tile_x7y13_e2beg[7] , \tile_x7y13_e2beg[6] , \tile_x7y13_e2beg[5] , \tile_x7y13_e2beg[4] , \tile_x7y13_e2beg[3] , \tile_x7y13_e2beg[2] , \tile_x7y13_e2beg[1] , \tile_x7y13_e2beg[0] }),
.e2begb({ \tile_x7y13_e2begb[7] , \tile_x7y13_e2begb[6] , \tile_x7y13_e2begb[5] , \tile_x7y13_e2begb[4] , \tile_x7y13_e2begb[3] , \tile_x7y13_e2begb[2] , \tile_x7y13_e2begb[1] , \tile_x7y13_e2begb[0] }),
.e2end({ \tile_x6y13_e2begb[7] , \tile_x6y13_e2begb[6] , \tile_x6y13_e2begb[5] , \tile_x6y13_e2begb[4] , \tile_x6y13_e2begb[3] , \tile_x6y13_e2begb[2] , \tile_x6y13_e2begb[1] , \tile_x6y13_e2begb[0] }),
.e2mid({ \tile_x6y13_e2beg[7] , \tile_x6y13_e2beg[6] , \tile_x6y13_e2beg[5] , \tile_x6y13_e2beg[4] , \tile_x6y13_e2beg[3] , \tile_x6y13_e2beg[2] , \tile_x6y13_e2beg[1] , \tile_x6y13_e2beg[0] }),
.e6beg({ \tile_x7y13_e6beg[11] , \tile_x7y13_e6beg[10] , \tile_x7y13_e6beg[9] , \tile_x7y13_e6beg[8] , \tile_x7y13_e6beg[7] , \tile_x7y13_e6beg[6] , \tile_x7y13_e6beg[5] , \tile_x7y13_e6beg[4] , \tile_x7y13_e6beg[3] , \tile_x7y13_e6beg[2] , \tile_x7y13_e6beg[1] , \tile_x7y13_e6beg[0] }),
.e6end({ \tile_x6y13_e6beg[11] , \tile_x6y13_e6beg[10] , \tile_x6y13_e6beg[9] , \tile_x6y13_e6beg[8] , \tile_x6y13_e6beg[7] , \tile_x6y13_e6beg[6] , \tile_x6y13_e6beg[5] , \tile_x6y13_e6beg[4] , \tile_x6y13_e6beg[3] , \tile_x6y13_e6beg[2] , \tile_x6y13_e6beg[1] , \tile_x6y13_e6beg[0] }),
.ee4beg({ \tile_x7y13_ee4beg[15] , \tile_x7y13_ee4beg[14] , \tile_x7y13_ee4beg[13] , \tile_x7y13_ee4beg[12] , \tile_x7y13_ee4beg[11] , \tile_x7y13_ee4beg[10] , \tile_x7y13_ee4beg[9] , \tile_x7y13_ee4beg[8] , \tile_x7y13_ee4beg[7] , \tile_x7y13_ee4beg[6] , \tile_x7y13_ee4beg[5] , \tile_x7y13_ee4beg[4] , \tile_x7y13_ee4beg[3] , \tile_x7y13_ee4beg[2] , \tile_x7y13_ee4beg[1] , \tile_x7y13_ee4beg[0] }),
.ee4end({ \tile_x6y13_ee4beg[15] , \tile_x6y13_ee4beg[14] , \tile_x6y13_ee4beg[13] , \tile_x6y13_ee4beg[12] , \tile_x6y13_ee4beg[11] , \tile_x6y13_ee4beg[10] , \tile_x6y13_ee4beg[9] , \tile_x6y13_ee4beg[8] , \tile_x6y13_ee4beg[7] , \tile_x6y13_ee4beg[6] , \tile_x6y13_ee4beg[5] , \tile_x6y13_ee4beg[4] , \tile_x6y13_ee4beg[3] , \tile_x6y13_ee4beg[2] , \tile_x6y13_ee4beg[1] , \tile_x6y13_ee4beg[0] }),
.framedata({ \tile_x6y13_framedata_o[31] , \tile_x6y13_framedata_o[30] , \tile_x6y13_framedata_o[29] , \tile_x6y13_framedata_o[28] , \tile_x6y13_framedata_o[27] , \tile_x6y13_framedata_o[26] , \tile_x6y13_framedata_o[25] , \tile_x6y13_framedata_o[24] , \tile_x6y13_framedata_o[23] , \tile_x6y13_framedata_o[22] , \tile_x6y13_framedata_o[21] , \tile_x6y13_framedata_o[20] , \tile_x6y13_framedata_o[19] , \tile_x6y13_framedata_o[18] , \tile_x6y13_framedata_o[17] , \tile_x6y13_framedata_o[16] , \tile_x6y13_framedata_o[15] , \tile_x6y13_framedata_o[14] , \tile_x6y13_framedata_o[13] , \tile_x6y13_framedata_o[12] , \tile_x6y13_framedata_o[11] , \tile_x6y13_framedata_o[10] , \tile_x6y13_framedata_o[9] , \tile_x6y13_framedata_o[8] , \tile_x6y13_framedata_o[7] , \tile_x6y13_framedata_o[6] , \tile_x6y13_framedata_o[5] , \tile_x6y13_framedata_o[4] , \tile_x6y13_framedata_o[3] , \tile_x6y13_framedata_o[2] , \tile_x6y13_framedata_o[1] , \tile_x6y13_framedata_o[0] }),
.framedata_o({ \tile_x7y13_framedata_o[31] , \tile_x7y13_framedata_o[30] , \tile_x7y13_framedata_o[29] , \tile_x7y13_framedata_o[28] , \tile_x7y13_framedata_o[27] , \tile_x7y13_framedata_o[26] , \tile_x7y13_framedata_o[25] , \tile_x7y13_framedata_o[24] , \tile_x7y13_framedata_o[23] , \tile_x7y13_framedata_o[22] , \tile_x7y13_framedata_o[21] , \tile_x7y13_framedata_o[20] , \tile_x7y13_framedata_o[19] , \tile_x7y13_framedata_o[18] , \tile_x7y13_framedata_o[17] , \tile_x7y13_framedata_o[16] , \tile_x7y13_framedata_o[15] , \tile_x7y13_framedata_o[14] , \tile_x7y13_framedata_o[13] , \tile_x7y13_framedata_o[12] , \tile_x7y13_framedata_o[11] , \tile_x7y13_framedata_o[10] , \tile_x7y13_framedata_o[9] , \tile_x7y13_framedata_o[8] , \tile_x7y13_framedata_o[7] , \tile_x7y13_framedata_o[6] , \tile_x7y13_framedata_o[5] , \tile_x7y13_framedata_o[4] , \tile_x7y13_framedata_o[3] , \tile_x7y13_framedata_o[2] , \tile_x7y13_framedata_o[1] , \tile_x7y13_framedata_o[0] }),
.framestrobe({ \tile_x7y14_framestrobe_o[19] , \tile_x7y14_framestrobe_o[18] , \tile_x7y14_framestrobe_o[17] , \tile_x7y14_framestrobe_o[16] , \tile_x7y14_framestrobe_o[15] , \tile_x7y14_framestrobe_o[14] , \tile_x7y14_framestrobe_o[13] , \tile_x7y14_framestrobe_o[12] , \tile_x7y14_framestrobe_o[11] , \tile_x7y14_framestrobe_o[10] , \tile_x7y14_framestrobe_o[9] , \tile_x7y14_framestrobe_o[8] , \tile_x7y14_framestrobe_o[7] , \tile_x7y14_framestrobe_o[6] , \tile_x7y14_framestrobe_o[5] , \tile_x7y14_framestrobe_o[4] , \tile_x7y14_framestrobe_o[3] , \tile_x7y14_framestrobe_o[2] , \tile_x7y14_framestrobe_o[1] , \tile_x7y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y13_framestrobe_o[19] , \tile_x7y13_framestrobe_o[18] , \tile_x7y13_framestrobe_o[17] , \tile_x7y13_framestrobe_o[16] , \tile_x7y13_framestrobe_o[15] , \tile_x7y13_framestrobe_o[14] , \tile_x7y13_framestrobe_o[13] , \tile_x7y13_framestrobe_o[12] , \tile_x7y13_framestrobe_o[11] , \tile_x7y13_framestrobe_o[10] , \tile_x7y13_framestrobe_o[9] , \tile_x7y13_framestrobe_o[8] , \tile_x7y13_framestrobe_o[7] , \tile_x7y13_framestrobe_o[6] , \tile_x7y13_framestrobe_o[5] , \tile_x7y13_framestrobe_o[4] , \tile_x7y13_framestrobe_o[3] , \tile_x7y13_framestrobe_o[2] , \tile_x7y13_framestrobe_o[1] , \tile_x7y13_framestrobe_o[0] }),
.n1beg({ \tile_x7y13_n1beg[3] , \tile_x7y13_n1beg[2] , \tile_x7y13_n1beg[1] , \tile_x7y13_n1beg[0] }),
.n1end({ \tile_x7y14_n1beg[3] , \tile_x7y14_n1beg[2] , \tile_x7y14_n1beg[1] , \tile_x7y14_n1beg[0] }),
.n2beg({ \tile_x7y13_n2beg[7] , \tile_x7y13_n2beg[6] , \tile_x7y13_n2beg[5] , \tile_x7y13_n2beg[4] , \tile_x7y13_n2beg[3] , \tile_x7y13_n2beg[2] , \tile_x7y13_n2beg[1] , \tile_x7y13_n2beg[0] }),
.n2begb({ \tile_x7y13_n2begb[7] , \tile_x7y13_n2begb[6] , \tile_x7y13_n2begb[5] , \tile_x7y13_n2begb[4] , \tile_x7y13_n2begb[3] , \tile_x7y13_n2begb[2] , \tile_x7y13_n2begb[1] , \tile_x7y13_n2begb[0] }),
.n2end({ \tile_x7y14_n2begb[7] , \tile_x7y14_n2begb[6] , \tile_x7y14_n2begb[5] , \tile_x7y14_n2begb[4] , \tile_x7y14_n2begb[3] , \tile_x7y14_n2begb[2] , \tile_x7y14_n2begb[1] , \tile_x7y14_n2begb[0] }),
.n2mid({ \tile_x7y14_n2beg[7] , \tile_x7y14_n2beg[6] , \tile_x7y14_n2beg[5] , \tile_x7y14_n2beg[4] , \tile_x7y14_n2beg[3] , \tile_x7y14_n2beg[2] , \tile_x7y14_n2beg[1] , \tile_x7y14_n2beg[0] }),
.n4beg({ \tile_x7y13_n4beg[15] , \tile_x7y13_n4beg[14] , \tile_x7y13_n4beg[13] , \tile_x7y13_n4beg[12] , \tile_x7y13_n4beg[11] , \tile_x7y13_n4beg[10] , \tile_x7y13_n4beg[9] , \tile_x7y13_n4beg[8] , \tile_x7y13_n4beg[7] , \tile_x7y13_n4beg[6] , \tile_x7y13_n4beg[5] , \tile_x7y13_n4beg[4] , \tile_x7y13_n4beg[3] , \tile_x7y13_n4beg[2] , \tile_x7y13_n4beg[1] , \tile_x7y13_n4beg[0] }),
.n4end({ \tile_x7y14_n4beg[15] , \tile_x7y14_n4beg[14] , \tile_x7y14_n4beg[13] , \tile_x7y14_n4beg[12] , \tile_x7y14_n4beg[11] , \tile_x7y14_n4beg[10] , \tile_x7y14_n4beg[9] , \tile_x7y14_n4beg[8] , \tile_x7y14_n4beg[7] , \tile_x7y14_n4beg[6] , \tile_x7y14_n4beg[5] , \tile_x7y14_n4beg[4] , \tile_x7y14_n4beg[3] , \tile_x7y14_n4beg[2] , \tile_x7y14_n4beg[1] , \tile_x7y14_n4beg[0] }),
.nn4beg({ \tile_x7y13_nn4beg[15] , \tile_x7y13_nn4beg[14] , \tile_x7y13_nn4beg[13] , \tile_x7y13_nn4beg[12] , \tile_x7y13_nn4beg[11] , \tile_x7y13_nn4beg[10] , \tile_x7y13_nn4beg[9] , \tile_x7y13_nn4beg[8] , \tile_x7y13_nn4beg[7] , \tile_x7y13_nn4beg[6] , \tile_x7y13_nn4beg[5] , \tile_x7y13_nn4beg[4] , \tile_x7y13_nn4beg[3] , \tile_x7y13_nn4beg[2] , \tile_x7y13_nn4beg[1] , \tile_x7y13_nn4beg[0] }),
.nn4end({ \tile_x7y14_nn4beg[15] , \tile_x7y14_nn4beg[14] , \tile_x7y14_nn4beg[13] , \tile_x7y14_nn4beg[12] , \tile_x7y14_nn4beg[11] , \tile_x7y14_nn4beg[10] , \tile_x7y14_nn4beg[9] , \tile_x7y14_nn4beg[8] , \tile_x7y14_nn4beg[7] , \tile_x7y14_nn4beg[6] , \tile_x7y14_nn4beg[5] , \tile_x7y14_nn4beg[4] , \tile_x7y14_nn4beg[3] , \tile_x7y14_nn4beg[2] , \tile_x7y14_nn4beg[1] , \tile_x7y14_nn4beg[0] }),
.s1beg({ \tile_x7y13_s1beg[3] , \tile_x7y13_s1beg[2] , \tile_x7y13_s1beg[1] , \tile_x7y13_s1beg[0] }),
.s1end({ \tile_x7y12_s1beg[3] , \tile_x7y12_s1beg[2] , \tile_x7y12_s1beg[1] , \tile_x7y12_s1beg[0] }),
.s2beg({ \tile_x7y13_s2beg[7] , \tile_x7y13_s2beg[6] , \tile_x7y13_s2beg[5] , \tile_x7y13_s2beg[4] , \tile_x7y13_s2beg[3] , \tile_x7y13_s2beg[2] , \tile_x7y13_s2beg[1] , \tile_x7y13_s2beg[0] }),
.s2begb({ \tile_x7y13_s2begb[7] , \tile_x7y13_s2begb[6] , \tile_x7y13_s2begb[5] , \tile_x7y13_s2begb[4] , \tile_x7y13_s2begb[3] , \tile_x7y13_s2begb[2] , \tile_x7y13_s2begb[1] , \tile_x7y13_s2begb[0] }),
.s2end({ \tile_x7y12_s2begb[7] , \tile_x7y12_s2begb[6] , \tile_x7y12_s2begb[5] , \tile_x7y12_s2begb[4] , \tile_x7y12_s2begb[3] , \tile_x7y12_s2begb[2] , \tile_x7y12_s2begb[1] , \tile_x7y12_s2begb[0] }),
.s2mid({ \tile_x7y12_s2beg[7] , \tile_x7y12_s2beg[6] , \tile_x7y12_s2beg[5] , \tile_x7y12_s2beg[4] , \tile_x7y12_s2beg[3] , \tile_x7y12_s2beg[2] , \tile_x7y12_s2beg[1] , \tile_x7y12_s2beg[0] }),
.s4beg({ \tile_x7y13_s4beg[15] , \tile_x7y13_s4beg[14] , \tile_x7y13_s4beg[13] , \tile_x7y13_s4beg[12] , \tile_x7y13_s4beg[11] , \tile_x7y13_s4beg[10] , \tile_x7y13_s4beg[9] , \tile_x7y13_s4beg[8] , \tile_x7y13_s4beg[7] , \tile_x7y13_s4beg[6] , \tile_x7y13_s4beg[5] , \tile_x7y13_s4beg[4] , \tile_x7y13_s4beg[3] , \tile_x7y13_s4beg[2] , \tile_x7y13_s4beg[1] , \tile_x7y13_s4beg[0] }),
.s4end({ \tile_x7y12_s4beg[15] , \tile_x7y12_s4beg[14] , \tile_x7y12_s4beg[13] , \tile_x7y12_s4beg[12] , \tile_x7y12_s4beg[11] , \tile_x7y12_s4beg[10] , \tile_x7y12_s4beg[9] , \tile_x7y12_s4beg[8] , \tile_x7y12_s4beg[7] , \tile_x7y12_s4beg[6] , \tile_x7y12_s4beg[5] , \tile_x7y12_s4beg[4] , \tile_x7y12_s4beg[3] , \tile_x7y12_s4beg[2] , \tile_x7y12_s4beg[1] , \tile_x7y12_s4beg[0] }),
.ss4beg({ \tile_x7y13_ss4beg[15] , \tile_x7y13_ss4beg[14] , \tile_x7y13_ss4beg[13] , \tile_x7y13_ss4beg[12] , \tile_x7y13_ss4beg[11] , \tile_x7y13_ss4beg[10] , \tile_x7y13_ss4beg[9] , \tile_x7y13_ss4beg[8] , \tile_x7y13_ss4beg[7] , \tile_x7y13_ss4beg[6] , \tile_x7y13_ss4beg[5] , \tile_x7y13_ss4beg[4] , \tile_x7y13_ss4beg[3] , \tile_x7y13_ss4beg[2] , \tile_x7y13_ss4beg[1] , \tile_x7y13_ss4beg[0] }),
.ss4end({ \tile_x7y12_ss4beg[15] , \tile_x7y12_ss4beg[14] , \tile_x7y12_ss4beg[13] , \tile_x7y12_ss4beg[12] , \tile_x7y12_ss4beg[11] , \tile_x7y12_ss4beg[10] , \tile_x7y12_ss4beg[9] , \tile_x7y12_ss4beg[8] , \tile_x7y12_ss4beg[7] , \tile_x7y12_ss4beg[6] , \tile_x7y12_ss4beg[5] , \tile_x7y12_ss4beg[4] , \tile_x7y12_ss4beg[3] , \tile_x7y12_ss4beg[2] , \tile_x7y12_ss4beg[1] , \tile_x7y12_ss4beg[0] }),
.userclk(tile_x7y14_userclko),
.userclko(tile_x7y13_userclko),
.w1beg({ \tile_x7y13_w1beg[3] , \tile_x7y13_w1beg[2] , \tile_x7y13_w1beg[1] , \tile_x7y13_w1beg[0] }),
.w1end({ \tile_x8y13_w1beg[3] , \tile_x8y13_w1beg[2] , \tile_x8y13_w1beg[1] , \tile_x8y13_w1beg[0] }),
.w2beg({ \tile_x7y13_w2beg[7] , \tile_x7y13_w2beg[6] , \tile_x7y13_w2beg[5] , \tile_x7y13_w2beg[4] , \tile_x7y13_w2beg[3] , \tile_x7y13_w2beg[2] , \tile_x7y13_w2beg[1] , \tile_x7y13_w2beg[0] }),
.w2begb({ \tile_x7y13_w2begb[7] , \tile_x7y13_w2begb[6] , \tile_x7y13_w2begb[5] , \tile_x7y13_w2begb[4] , \tile_x7y13_w2begb[3] , \tile_x7y13_w2begb[2] , \tile_x7y13_w2begb[1] , \tile_x7y13_w2begb[0] }),
.w2end({ \tile_x8y13_w2begb[7] , \tile_x8y13_w2begb[6] , \tile_x8y13_w2begb[5] , \tile_x8y13_w2begb[4] , \tile_x8y13_w2begb[3] , \tile_x8y13_w2begb[2] , \tile_x8y13_w2begb[1] , \tile_x8y13_w2begb[0] }),
.w2mid({ \tile_x8y13_w2beg[7] , \tile_x8y13_w2beg[6] , \tile_x8y13_w2beg[5] , \tile_x8y13_w2beg[4] , \tile_x8y13_w2beg[3] , \tile_x8y13_w2beg[2] , \tile_x8y13_w2beg[1] , \tile_x8y13_w2beg[0] }),
.w6beg({ \tile_x7y13_w6beg[11] , \tile_x7y13_w6beg[10] , \tile_x7y13_w6beg[9] , \tile_x7y13_w6beg[8] , \tile_x7y13_w6beg[7] , \tile_x7y13_w6beg[6] , \tile_x7y13_w6beg[5] , \tile_x7y13_w6beg[4] , \tile_x7y13_w6beg[3] , \tile_x7y13_w6beg[2] , \tile_x7y13_w6beg[1] , \tile_x7y13_w6beg[0] }),
.w6end({ \tile_x8y13_w6beg[11] , \tile_x8y13_w6beg[10] , \tile_x8y13_w6beg[9] , \tile_x8y13_w6beg[8] , \tile_x8y13_w6beg[7] , \tile_x8y13_w6beg[6] , \tile_x8y13_w6beg[5] , \tile_x8y13_w6beg[4] , \tile_x8y13_w6beg[3] , \tile_x8y13_w6beg[2] , \tile_x8y13_w6beg[1] , \tile_x8y13_w6beg[0] }),
.ww4beg({ \tile_x7y13_ww4beg[15] , \tile_x7y13_ww4beg[14] , \tile_x7y13_ww4beg[13] , \tile_x7y13_ww4beg[12] , \tile_x7y13_ww4beg[11] , \tile_x7y13_ww4beg[10] , \tile_x7y13_ww4beg[9] , \tile_x7y13_ww4beg[8] , \tile_x7y13_ww4beg[7] , \tile_x7y13_ww4beg[6] , \tile_x7y13_ww4beg[5] , \tile_x7y13_ww4beg[4] , \tile_x7y13_ww4beg[3] , \tile_x7y13_ww4beg[2] , \tile_x7y13_ww4beg[1] , \tile_x7y13_ww4beg[0] }),
.ww4end({ \tile_x8y13_ww4beg[15] , \tile_x8y13_ww4beg[14] , \tile_x8y13_ww4beg[13] , \tile_x8y13_ww4beg[12] , \tile_x8y13_ww4beg[11] , \tile_x8y13_ww4beg[10] , \tile_x8y13_ww4beg[9] , \tile_x8y13_ww4beg[8] , \tile_x8y13_ww4beg[7] , \tile_x8y13_ww4beg[6] , \tile_x8y13_ww4beg[5] , \tile_x8y13_ww4beg[4] , \tile_x8y13_ww4beg[3] , \tile_x8y13_ww4beg[2] , \tile_x8y13_ww4beg[1] , \tile_x8y13_ww4beg[0] })
);
lut4ab tile_x7y14_lut4ab (
.ci(tile_x7y15_co),
.co(tile_x7y14_co),
.e1beg({ \tile_x7y14_e1beg[3] , \tile_x7y14_e1beg[2] , \tile_x7y14_e1beg[1] , \tile_x7y14_e1beg[0] }),
.e1end({ \tile_x6y14_e1beg[3] , \tile_x6y14_e1beg[2] , \tile_x6y14_e1beg[1] , \tile_x6y14_e1beg[0] }),
.e2beg({ \tile_x7y14_e2beg[7] , \tile_x7y14_e2beg[6] , \tile_x7y14_e2beg[5] , \tile_x7y14_e2beg[4] , \tile_x7y14_e2beg[3] , \tile_x7y14_e2beg[2] , \tile_x7y14_e2beg[1] , \tile_x7y14_e2beg[0] }),
.e2begb({ \tile_x7y14_e2begb[7] , \tile_x7y14_e2begb[6] , \tile_x7y14_e2begb[5] , \tile_x7y14_e2begb[4] , \tile_x7y14_e2begb[3] , \tile_x7y14_e2begb[2] , \tile_x7y14_e2begb[1] , \tile_x7y14_e2begb[0] }),
.e2end({ \tile_x6y14_e2begb[7] , \tile_x6y14_e2begb[6] , \tile_x6y14_e2begb[5] , \tile_x6y14_e2begb[4] , \tile_x6y14_e2begb[3] , \tile_x6y14_e2begb[2] , \tile_x6y14_e2begb[1] , \tile_x6y14_e2begb[0] }),
.e2mid({ \tile_x6y14_e2beg[7] , \tile_x6y14_e2beg[6] , \tile_x6y14_e2beg[5] , \tile_x6y14_e2beg[4] , \tile_x6y14_e2beg[3] , \tile_x6y14_e2beg[2] , \tile_x6y14_e2beg[1] , \tile_x6y14_e2beg[0] }),
.e6beg({ \tile_x7y14_e6beg[11] , \tile_x7y14_e6beg[10] , \tile_x7y14_e6beg[9] , \tile_x7y14_e6beg[8] , \tile_x7y14_e6beg[7] , \tile_x7y14_e6beg[6] , \tile_x7y14_e6beg[5] , \tile_x7y14_e6beg[4] , \tile_x7y14_e6beg[3] , \tile_x7y14_e6beg[2] , \tile_x7y14_e6beg[1] , \tile_x7y14_e6beg[0] }),
.e6end({ \tile_x6y14_e6beg[11] , \tile_x6y14_e6beg[10] , \tile_x6y14_e6beg[9] , \tile_x6y14_e6beg[8] , \tile_x6y14_e6beg[7] , \tile_x6y14_e6beg[6] , \tile_x6y14_e6beg[5] , \tile_x6y14_e6beg[4] , \tile_x6y14_e6beg[3] , \tile_x6y14_e6beg[2] , \tile_x6y14_e6beg[1] , \tile_x6y14_e6beg[0] }),
.ee4beg({ \tile_x7y14_ee4beg[15] , \tile_x7y14_ee4beg[14] , \tile_x7y14_ee4beg[13] , \tile_x7y14_ee4beg[12] , \tile_x7y14_ee4beg[11] , \tile_x7y14_ee4beg[10] , \tile_x7y14_ee4beg[9] , \tile_x7y14_ee4beg[8] , \tile_x7y14_ee4beg[7] , \tile_x7y14_ee4beg[6] , \tile_x7y14_ee4beg[5] , \tile_x7y14_ee4beg[4] , \tile_x7y14_ee4beg[3] , \tile_x7y14_ee4beg[2] , \tile_x7y14_ee4beg[1] , \tile_x7y14_ee4beg[0] }),
.ee4end({ \tile_x6y14_ee4beg[15] , \tile_x6y14_ee4beg[14] , \tile_x6y14_ee4beg[13] , \tile_x6y14_ee4beg[12] , \tile_x6y14_ee4beg[11] , \tile_x6y14_ee4beg[10] , \tile_x6y14_ee4beg[9] , \tile_x6y14_ee4beg[8] , \tile_x6y14_ee4beg[7] , \tile_x6y14_ee4beg[6] , \tile_x6y14_ee4beg[5] , \tile_x6y14_ee4beg[4] , \tile_x6y14_ee4beg[3] , \tile_x6y14_ee4beg[2] , \tile_x6y14_ee4beg[1] , \tile_x6y14_ee4beg[0] }),
.framedata({ \tile_x6y14_framedata_o[31] , \tile_x6y14_framedata_o[30] , \tile_x6y14_framedata_o[29] , \tile_x6y14_framedata_o[28] , \tile_x6y14_framedata_o[27] , \tile_x6y14_framedata_o[26] , \tile_x6y14_framedata_o[25] , \tile_x6y14_framedata_o[24] , \tile_x6y14_framedata_o[23] , \tile_x6y14_framedata_o[22] , \tile_x6y14_framedata_o[21] , \tile_x6y14_framedata_o[20] , \tile_x6y14_framedata_o[19] , \tile_x6y14_framedata_o[18] , \tile_x6y14_framedata_o[17] , \tile_x6y14_framedata_o[16] , \tile_x6y14_framedata_o[15] , \tile_x6y14_framedata_o[14] , \tile_x6y14_framedata_o[13] , \tile_x6y14_framedata_o[12] , \tile_x6y14_framedata_o[11] , \tile_x6y14_framedata_o[10] , \tile_x6y14_framedata_o[9] , \tile_x6y14_framedata_o[8] , \tile_x6y14_framedata_o[7] , \tile_x6y14_framedata_o[6] , \tile_x6y14_framedata_o[5] , \tile_x6y14_framedata_o[4] , \tile_x6y14_framedata_o[3] , \tile_x6y14_framedata_o[2] , \tile_x6y14_framedata_o[1] , \tile_x6y14_framedata_o[0] }),
.framedata_o({ \tile_x7y14_framedata_o[31] , \tile_x7y14_framedata_o[30] , \tile_x7y14_framedata_o[29] , \tile_x7y14_framedata_o[28] , \tile_x7y14_framedata_o[27] , \tile_x7y14_framedata_o[26] , \tile_x7y14_framedata_o[25] , \tile_x7y14_framedata_o[24] , \tile_x7y14_framedata_o[23] , \tile_x7y14_framedata_o[22] , \tile_x7y14_framedata_o[21] , \tile_x7y14_framedata_o[20] , \tile_x7y14_framedata_o[19] , \tile_x7y14_framedata_o[18] , \tile_x7y14_framedata_o[17] , \tile_x7y14_framedata_o[16] , \tile_x7y14_framedata_o[15] , \tile_x7y14_framedata_o[14] , \tile_x7y14_framedata_o[13] , \tile_x7y14_framedata_o[12] , \tile_x7y14_framedata_o[11] , \tile_x7y14_framedata_o[10] , \tile_x7y14_framedata_o[9] , \tile_x7y14_framedata_o[8] , \tile_x7y14_framedata_o[7] , \tile_x7y14_framedata_o[6] , \tile_x7y14_framedata_o[5] , \tile_x7y14_framedata_o[4] , \tile_x7y14_framedata_o[3] , \tile_x7y14_framedata_o[2] , \tile_x7y14_framedata_o[1] , \tile_x7y14_framedata_o[0] }),
.framestrobe({ \tile_x7y15_framestrobe_o[19] , \tile_x7y15_framestrobe_o[18] , \tile_x7y15_framestrobe_o[17] , \tile_x7y15_framestrobe_o[16] , \tile_x7y15_framestrobe_o[15] , \tile_x7y15_framestrobe_o[14] , \tile_x7y15_framestrobe_o[13] , \tile_x7y15_framestrobe_o[12] , \tile_x7y15_framestrobe_o[11] , \tile_x7y15_framestrobe_o[10] , \tile_x7y15_framestrobe_o[9] , \tile_x7y15_framestrobe_o[8] , \tile_x7y15_framestrobe_o[7] , \tile_x7y15_framestrobe_o[6] , \tile_x7y15_framestrobe_o[5] , \tile_x7y15_framestrobe_o[4] , \tile_x7y15_framestrobe_o[3] , \tile_x7y15_framestrobe_o[2] , \tile_x7y15_framestrobe_o[1] , \tile_x7y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y14_framestrobe_o[19] , \tile_x7y14_framestrobe_o[18] , \tile_x7y14_framestrobe_o[17] , \tile_x7y14_framestrobe_o[16] , \tile_x7y14_framestrobe_o[15] , \tile_x7y14_framestrobe_o[14] , \tile_x7y14_framestrobe_o[13] , \tile_x7y14_framestrobe_o[12] , \tile_x7y14_framestrobe_o[11] , \tile_x7y14_framestrobe_o[10] , \tile_x7y14_framestrobe_o[9] , \tile_x7y14_framestrobe_o[8] , \tile_x7y14_framestrobe_o[7] , \tile_x7y14_framestrobe_o[6] , \tile_x7y14_framestrobe_o[5] , \tile_x7y14_framestrobe_o[4] , \tile_x7y14_framestrobe_o[3] , \tile_x7y14_framestrobe_o[2] , \tile_x7y14_framestrobe_o[1] , \tile_x7y14_framestrobe_o[0] }),
.n1beg({ \tile_x7y14_n1beg[3] , \tile_x7y14_n1beg[2] , \tile_x7y14_n1beg[1] , \tile_x7y14_n1beg[0] }),
.n1end({ \tile_x7y15_n1beg[3] , \tile_x7y15_n1beg[2] , \tile_x7y15_n1beg[1] , \tile_x7y15_n1beg[0] }),
.n2beg({ \tile_x7y14_n2beg[7] , \tile_x7y14_n2beg[6] , \tile_x7y14_n2beg[5] , \tile_x7y14_n2beg[4] , \tile_x7y14_n2beg[3] , \tile_x7y14_n2beg[2] , \tile_x7y14_n2beg[1] , \tile_x7y14_n2beg[0] }),
.n2begb({ \tile_x7y14_n2begb[7] , \tile_x7y14_n2begb[6] , \tile_x7y14_n2begb[5] , \tile_x7y14_n2begb[4] , \tile_x7y14_n2begb[3] , \tile_x7y14_n2begb[2] , \tile_x7y14_n2begb[1] , \tile_x7y14_n2begb[0] }),
.n2end({ \tile_x7y15_n2begb[7] , \tile_x7y15_n2begb[6] , \tile_x7y15_n2begb[5] , \tile_x7y15_n2begb[4] , \tile_x7y15_n2begb[3] , \tile_x7y15_n2begb[2] , \tile_x7y15_n2begb[1] , \tile_x7y15_n2begb[0] }),
.n2mid({ \tile_x7y15_n2beg[7] , \tile_x7y15_n2beg[6] , \tile_x7y15_n2beg[5] , \tile_x7y15_n2beg[4] , \tile_x7y15_n2beg[3] , \tile_x7y15_n2beg[2] , \tile_x7y15_n2beg[1] , \tile_x7y15_n2beg[0] }),
.n4beg({ \tile_x7y14_n4beg[15] , \tile_x7y14_n4beg[14] , \tile_x7y14_n4beg[13] , \tile_x7y14_n4beg[12] , \tile_x7y14_n4beg[11] , \tile_x7y14_n4beg[10] , \tile_x7y14_n4beg[9] , \tile_x7y14_n4beg[8] , \tile_x7y14_n4beg[7] , \tile_x7y14_n4beg[6] , \tile_x7y14_n4beg[5] , \tile_x7y14_n4beg[4] , \tile_x7y14_n4beg[3] , \tile_x7y14_n4beg[2] , \tile_x7y14_n4beg[1] , \tile_x7y14_n4beg[0] }),
.n4end({ \tile_x7y15_n4beg[15] , \tile_x7y15_n4beg[14] , \tile_x7y15_n4beg[13] , \tile_x7y15_n4beg[12] , \tile_x7y15_n4beg[11] , \tile_x7y15_n4beg[10] , \tile_x7y15_n4beg[9] , \tile_x7y15_n4beg[8] , \tile_x7y15_n4beg[7] , \tile_x7y15_n4beg[6] , \tile_x7y15_n4beg[5] , \tile_x7y15_n4beg[4] , \tile_x7y15_n4beg[3] , \tile_x7y15_n4beg[2] , \tile_x7y15_n4beg[1] , \tile_x7y15_n4beg[0] }),
.nn4beg({ \tile_x7y14_nn4beg[15] , \tile_x7y14_nn4beg[14] , \tile_x7y14_nn4beg[13] , \tile_x7y14_nn4beg[12] , \tile_x7y14_nn4beg[11] , \tile_x7y14_nn4beg[10] , \tile_x7y14_nn4beg[9] , \tile_x7y14_nn4beg[8] , \tile_x7y14_nn4beg[7] , \tile_x7y14_nn4beg[6] , \tile_x7y14_nn4beg[5] , \tile_x7y14_nn4beg[4] , \tile_x7y14_nn4beg[3] , \tile_x7y14_nn4beg[2] , \tile_x7y14_nn4beg[1] , \tile_x7y14_nn4beg[0] }),
.nn4end({ \tile_x7y15_nn4beg[15] , \tile_x7y15_nn4beg[14] , \tile_x7y15_nn4beg[13] , \tile_x7y15_nn4beg[12] , \tile_x7y15_nn4beg[11] , \tile_x7y15_nn4beg[10] , \tile_x7y15_nn4beg[9] , \tile_x7y15_nn4beg[8] , \tile_x7y15_nn4beg[7] , \tile_x7y15_nn4beg[6] , \tile_x7y15_nn4beg[5] , \tile_x7y15_nn4beg[4] , \tile_x7y15_nn4beg[3] , \tile_x7y15_nn4beg[2] , \tile_x7y15_nn4beg[1] , \tile_x7y15_nn4beg[0] }),
.s1beg({ \tile_x7y14_s1beg[3] , \tile_x7y14_s1beg[2] , \tile_x7y14_s1beg[1] , \tile_x7y14_s1beg[0] }),
.s1end({ \tile_x7y13_s1beg[3] , \tile_x7y13_s1beg[2] , \tile_x7y13_s1beg[1] , \tile_x7y13_s1beg[0] }),
.s2beg({ \tile_x7y14_s2beg[7] , \tile_x7y14_s2beg[6] , \tile_x7y14_s2beg[5] , \tile_x7y14_s2beg[4] , \tile_x7y14_s2beg[3] , \tile_x7y14_s2beg[2] , \tile_x7y14_s2beg[1] , \tile_x7y14_s2beg[0] }),
.s2begb({ \tile_x7y14_s2begb[7] , \tile_x7y14_s2begb[6] , \tile_x7y14_s2begb[5] , \tile_x7y14_s2begb[4] , \tile_x7y14_s2begb[3] , \tile_x7y14_s2begb[2] , \tile_x7y14_s2begb[1] , \tile_x7y14_s2begb[0] }),
.s2end({ \tile_x7y13_s2begb[7] , \tile_x7y13_s2begb[6] , \tile_x7y13_s2begb[5] , \tile_x7y13_s2begb[4] , \tile_x7y13_s2begb[3] , \tile_x7y13_s2begb[2] , \tile_x7y13_s2begb[1] , \tile_x7y13_s2begb[0] }),
.s2mid({ \tile_x7y13_s2beg[7] , \tile_x7y13_s2beg[6] , \tile_x7y13_s2beg[5] , \tile_x7y13_s2beg[4] , \tile_x7y13_s2beg[3] , \tile_x7y13_s2beg[2] , \tile_x7y13_s2beg[1] , \tile_x7y13_s2beg[0] }),
.s4beg({ \tile_x7y14_s4beg[15] , \tile_x7y14_s4beg[14] , \tile_x7y14_s4beg[13] , \tile_x7y14_s4beg[12] , \tile_x7y14_s4beg[11] , \tile_x7y14_s4beg[10] , \tile_x7y14_s4beg[9] , \tile_x7y14_s4beg[8] , \tile_x7y14_s4beg[7] , \tile_x7y14_s4beg[6] , \tile_x7y14_s4beg[5] , \tile_x7y14_s4beg[4] , \tile_x7y14_s4beg[3] , \tile_x7y14_s4beg[2] , \tile_x7y14_s4beg[1] , \tile_x7y14_s4beg[0] }),
.s4end({ \tile_x7y13_s4beg[15] , \tile_x7y13_s4beg[14] , \tile_x7y13_s4beg[13] , \tile_x7y13_s4beg[12] , \tile_x7y13_s4beg[11] , \tile_x7y13_s4beg[10] , \tile_x7y13_s4beg[9] , \tile_x7y13_s4beg[8] , \tile_x7y13_s4beg[7] , \tile_x7y13_s4beg[6] , \tile_x7y13_s4beg[5] , \tile_x7y13_s4beg[4] , \tile_x7y13_s4beg[3] , \tile_x7y13_s4beg[2] , \tile_x7y13_s4beg[1] , \tile_x7y13_s4beg[0] }),
.ss4beg({ \tile_x7y14_ss4beg[15] , \tile_x7y14_ss4beg[14] , \tile_x7y14_ss4beg[13] , \tile_x7y14_ss4beg[12] , \tile_x7y14_ss4beg[11] , \tile_x7y14_ss4beg[10] , \tile_x7y14_ss4beg[9] , \tile_x7y14_ss4beg[8] , \tile_x7y14_ss4beg[7] , \tile_x7y14_ss4beg[6] , \tile_x7y14_ss4beg[5] , \tile_x7y14_ss4beg[4] , \tile_x7y14_ss4beg[3] , \tile_x7y14_ss4beg[2] , \tile_x7y14_ss4beg[1] , \tile_x7y14_ss4beg[0] }),
.ss4end({ \tile_x7y13_ss4beg[15] , \tile_x7y13_ss4beg[14] , \tile_x7y13_ss4beg[13] , \tile_x7y13_ss4beg[12] , \tile_x7y13_ss4beg[11] , \tile_x7y13_ss4beg[10] , \tile_x7y13_ss4beg[9] , \tile_x7y13_ss4beg[8] , \tile_x7y13_ss4beg[7] , \tile_x7y13_ss4beg[6] , \tile_x7y13_ss4beg[5] , \tile_x7y13_ss4beg[4] , \tile_x7y13_ss4beg[3] , \tile_x7y13_ss4beg[2] , \tile_x7y13_ss4beg[1] , \tile_x7y13_ss4beg[0] }),
.userclk(tile_x7y15_userclko),
.userclko(tile_x7y14_userclko),
.w1beg({ \tile_x7y14_w1beg[3] , \tile_x7y14_w1beg[2] , \tile_x7y14_w1beg[1] , \tile_x7y14_w1beg[0] }),
.w1end({ \tile_x8y14_w1beg[3] , \tile_x8y14_w1beg[2] , \tile_x8y14_w1beg[1] , \tile_x8y14_w1beg[0] }),
.w2beg({ \tile_x7y14_w2beg[7] , \tile_x7y14_w2beg[6] , \tile_x7y14_w2beg[5] , \tile_x7y14_w2beg[4] , \tile_x7y14_w2beg[3] , \tile_x7y14_w2beg[2] , \tile_x7y14_w2beg[1] , \tile_x7y14_w2beg[0] }),
.w2begb({ \tile_x7y14_w2begb[7] , \tile_x7y14_w2begb[6] , \tile_x7y14_w2begb[5] , \tile_x7y14_w2begb[4] , \tile_x7y14_w2begb[3] , \tile_x7y14_w2begb[2] , \tile_x7y14_w2begb[1] , \tile_x7y14_w2begb[0] }),
.w2end({ \tile_x8y14_w2begb[7] , \tile_x8y14_w2begb[6] , \tile_x8y14_w2begb[5] , \tile_x8y14_w2begb[4] , \tile_x8y14_w2begb[3] , \tile_x8y14_w2begb[2] , \tile_x8y14_w2begb[1] , \tile_x8y14_w2begb[0] }),
.w2mid({ \tile_x8y14_w2beg[7] , \tile_x8y14_w2beg[6] , \tile_x8y14_w2beg[5] , \tile_x8y14_w2beg[4] , \tile_x8y14_w2beg[3] , \tile_x8y14_w2beg[2] , \tile_x8y14_w2beg[1] , \tile_x8y14_w2beg[0] }),
.w6beg({ \tile_x7y14_w6beg[11] , \tile_x7y14_w6beg[10] , \tile_x7y14_w6beg[9] , \tile_x7y14_w6beg[8] , \tile_x7y14_w6beg[7] , \tile_x7y14_w6beg[6] , \tile_x7y14_w6beg[5] , \tile_x7y14_w6beg[4] , \tile_x7y14_w6beg[3] , \tile_x7y14_w6beg[2] , \tile_x7y14_w6beg[1] , \tile_x7y14_w6beg[0] }),
.w6end({ \tile_x8y14_w6beg[11] , \tile_x8y14_w6beg[10] , \tile_x8y14_w6beg[9] , \tile_x8y14_w6beg[8] , \tile_x8y14_w6beg[7] , \tile_x8y14_w6beg[6] , \tile_x8y14_w6beg[5] , \tile_x8y14_w6beg[4] , \tile_x8y14_w6beg[3] , \tile_x8y14_w6beg[2] , \tile_x8y14_w6beg[1] , \tile_x8y14_w6beg[0] }),
.ww4beg({ \tile_x7y14_ww4beg[15] , \tile_x7y14_ww4beg[14] , \tile_x7y14_ww4beg[13] , \tile_x7y14_ww4beg[12] , \tile_x7y14_ww4beg[11] , \tile_x7y14_ww4beg[10] , \tile_x7y14_ww4beg[9] , \tile_x7y14_ww4beg[8] , \tile_x7y14_ww4beg[7] , \tile_x7y14_ww4beg[6] , \tile_x7y14_ww4beg[5] , \tile_x7y14_ww4beg[4] , \tile_x7y14_ww4beg[3] , \tile_x7y14_ww4beg[2] , \tile_x7y14_ww4beg[1] , \tile_x7y14_ww4beg[0] }),
.ww4end({ \tile_x8y14_ww4beg[15] , \tile_x8y14_ww4beg[14] , \tile_x8y14_ww4beg[13] , \tile_x8y14_ww4beg[12] , \tile_x8y14_ww4beg[11] , \tile_x8y14_ww4beg[10] , \tile_x8y14_ww4beg[9] , \tile_x8y14_ww4beg[8] , \tile_x8y14_ww4beg[7] , \tile_x8y14_ww4beg[6] , \tile_x8y14_ww4beg[5] , \tile_x8y14_ww4beg[4] , \tile_x8y14_ww4beg[3] , \tile_x8y14_ww4beg[2] , \tile_x8y14_ww4beg[1] , \tile_x8y14_ww4beg[0] })
);
s_term_single tile_x7y15_s_term_single (
.co(tile_x7y15_co),
.framestrobe(framestrobe[159:140]),
.framestrobe_o({ \tile_x7y15_framestrobe_o[19] , \tile_x7y15_framestrobe_o[18] , \tile_x7y15_framestrobe_o[17] , \tile_x7y15_framestrobe_o[16] , \tile_x7y15_framestrobe_o[15] , \tile_x7y15_framestrobe_o[14] , \tile_x7y15_framestrobe_o[13] , \tile_x7y15_framestrobe_o[12] , \tile_x7y15_framestrobe_o[11] , \tile_x7y15_framestrobe_o[10] , \tile_x7y15_framestrobe_o[9] , \tile_x7y15_framestrobe_o[8] , \tile_x7y15_framestrobe_o[7] , \tile_x7y15_framestrobe_o[6] , \tile_x7y15_framestrobe_o[5] , \tile_x7y15_framestrobe_o[4] , \tile_x7y15_framestrobe_o[3] , \tile_x7y15_framestrobe_o[2] , \tile_x7y15_framestrobe_o[1] , \tile_x7y15_framestrobe_o[0] }),
.n1beg({ \tile_x7y15_n1beg[3] , \tile_x7y15_n1beg[2] , \tile_x7y15_n1beg[1] , \tile_x7y15_n1beg[0] }),
.n2beg({ \tile_x7y15_n2beg[7] , \tile_x7y15_n2beg[6] , \tile_x7y15_n2beg[5] , \tile_x7y15_n2beg[4] , \tile_x7y15_n2beg[3] , \tile_x7y15_n2beg[2] , \tile_x7y15_n2beg[1] , \tile_x7y15_n2beg[0] }),
.n2begb({ \tile_x7y15_n2begb[7] , \tile_x7y15_n2begb[6] , \tile_x7y15_n2begb[5] , \tile_x7y15_n2begb[4] , \tile_x7y15_n2begb[3] , \tile_x7y15_n2begb[2] , \tile_x7y15_n2begb[1] , \tile_x7y15_n2begb[0] }),
.n4beg({ \tile_x7y15_n4beg[15] , \tile_x7y15_n4beg[14] , \tile_x7y15_n4beg[13] , \tile_x7y15_n4beg[12] , \tile_x7y15_n4beg[11] , \tile_x7y15_n4beg[10] , \tile_x7y15_n4beg[9] , \tile_x7y15_n4beg[8] , \tile_x7y15_n4beg[7] , \tile_x7y15_n4beg[6] , \tile_x7y15_n4beg[5] , \tile_x7y15_n4beg[4] , \tile_x7y15_n4beg[3] , \tile_x7y15_n4beg[2] , \tile_x7y15_n4beg[1] , \tile_x7y15_n4beg[0] }),
.nn4beg({ \tile_x7y15_nn4beg[15] , \tile_x7y15_nn4beg[14] , \tile_x7y15_nn4beg[13] , \tile_x7y15_nn4beg[12] , \tile_x7y15_nn4beg[11] , \tile_x7y15_nn4beg[10] , \tile_x7y15_nn4beg[9] , \tile_x7y15_nn4beg[8] , \tile_x7y15_nn4beg[7] , \tile_x7y15_nn4beg[6] , \tile_x7y15_nn4beg[5] , \tile_x7y15_nn4beg[4] , \tile_x7y15_nn4beg[3] , \tile_x7y15_nn4beg[2] , \tile_x7y15_nn4beg[1] , \tile_x7y15_nn4beg[0] }),
.s1end({ \tile_x7y14_s1beg[3] , \tile_x7y14_s1beg[2] , \tile_x7y14_s1beg[1] , \tile_x7y14_s1beg[0] }),
.s2end({ \tile_x7y14_s2begb[7] , \tile_x7y14_s2begb[6] , \tile_x7y14_s2begb[5] , \tile_x7y14_s2begb[4] , \tile_x7y14_s2begb[3] , \tile_x7y14_s2begb[2] , \tile_x7y14_s2begb[1] , \tile_x7y14_s2begb[0] }),
.s2mid({ \tile_x7y14_s2beg[7] , \tile_x7y14_s2beg[6] , \tile_x7y14_s2beg[5] , \tile_x7y14_s2beg[4] , \tile_x7y14_s2beg[3] , \tile_x7y14_s2beg[2] , \tile_x7y14_s2beg[1] , \tile_x7y14_s2beg[0] }),
.s4end({ \tile_x7y14_s4beg[15] , \tile_x7y14_s4beg[14] , \tile_x7y14_s4beg[13] , \tile_x7y14_s4beg[12] , \tile_x7y14_s4beg[11] , \tile_x7y14_s4beg[10] , \tile_x7y14_s4beg[9] , \tile_x7y14_s4beg[8] , \tile_x7y14_s4beg[7] , \tile_x7y14_s4beg[6] , \tile_x7y14_s4beg[5] , \tile_x7y14_s4beg[4] , \tile_x7y14_s4beg[3] , \tile_x7y14_s4beg[2] , \tile_x7y14_s4beg[1] , \tile_x7y14_s4beg[0] }),
.ss4end({ \tile_x7y14_ss4beg[15] , \tile_x7y14_ss4beg[14] , \tile_x7y14_ss4beg[13] , \tile_x7y14_ss4beg[12] , \tile_x7y14_ss4beg[11] , \tile_x7y14_ss4beg[10] , \tile_x7y14_ss4beg[9] , \tile_x7y14_ss4beg[8] , \tile_x7y14_ss4beg[7] , \tile_x7y14_ss4beg[6] , \tile_x7y14_ss4beg[5] , \tile_x7y14_ss4beg[4] , \tile_x7y14_ss4beg[3] , \tile_x7y14_ss4beg[2] , \tile_x7y14_ss4beg[1] , \tile_x7y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x7y15_userclko)
);
lut4ab tile_x7y1_lut4ab (
.ci(tile_x7y2_co),
.co(tile_x7y1_co),
.e1beg({ \tile_x7y1_e1beg[3] , \tile_x7y1_e1beg[2] , \tile_x7y1_e1beg[1] , \tile_x7y1_e1beg[0] }),
.e1end({ \tile_x6y1_e1beg[3] , \tile_x6y1_e1beg[2] , \tile_x6y1_e1beg[1] , \tile_x6y1_e1beg[0] }),
.e2beg({ \tile_x7y1_e2beg[7] , \tile_x7y1_e2beg[6] , \tile_x7y1_e2beg[5] , \tile_x7y1_e2beg[4] , \tile_x7y1_e2beg[3] , \tile_x7y1_e2beg[2] , \tile_x7y1_e2beg[1] , \tile_x7y1_e2beg[0] }),
.e2begb({ \tile_x7y1_e2begb[7] , \tile_x7y1_e2begb[6] , \tile_x7y1_e2begb[5] , \tile_x7y1_e2begb[4] , \tile_x7y1_e2begb[3] , \tile_x7y1_e2begb[2] , \tile_x7y1_e2begb[1] , \tile_x7y1_e2begb[0] }),
.e2end({ \tile_x6y1_e2begb[7] , \tile_x6y1_e2begb[6] , \tile_x6y1_e2begb[5] , \tile_x6y1_e2begb[4] , \tile_x6y1_e2begb[3] , \tile_x6y1_e2begb[2] , \tile_x6y1_e2begb[1] , \tile_x6y1_e2begb[0] }),
.e2mid({ \tile_x6y1_e2beg[7] , \tile_x6y1_e2beg[6] , \tile_x6y1_e2beg[5] , \tile_x6y1_e2beg[4] , \tile_x6y1_e2beg[3] , \tile_x6y1_e2beg[2] , \tile_x6y1_e2beg[1] , \tile_x6y1_e2beg[0] }),
.e6beg({ \tile_x7y1_e6beg[11] , \tile_x7y1_e6beg[10] , \tile_x7y1_e6beg[9] , \tile_x7y1_e6beg[8] , \tile_x7y1_e6beg[7] , \tile_x7y1_e6beg[6] , \tile_x7y1_e6beg[5] , \tile_x7y1_e6beg[4] , \tile_x7y1_e6beg[3] , \tile_x7y1_e6beg[2] , \tile_x7y1_e6beg[1] , \tile_x7y1_e6beg[0] }),
.e6end({ \tile_x6y1_e6beg[11] , \tile_x6y1_e6beg[10] , \tile_x6y1_e6beg[9] , \tile_x6y1_e6beg[8] , \tile_x6y1_e6beg[7] , \tile_x6y1_e6beg[6] , \tile_x6y1_e6beg[5] , \tile_x6y1_e6beg[4] , \tile_x6y1_e6beg[3] , \tile_x6y1_e6beg[2] , \tile_x6y1_e6beg[1] , \tile_x6y1_e6beg[0] }),
.ee4beg({ \tile_x7y1_ee4beg[15] , \tile_x7y1_ee4beg[14] , \tile_x7y1_ee4beg[13] , \tile_x7y1_ee4beg[12] , \tile_x7y1_ee4beg[11] , \tile_x7y1_ee4beg[10] , \tile_x7y1_ee4beg[9] , \tile_x7y1_ee4beg[8] , \tile_x7y1_ee4beg[7] , \tile_x7y1_ee4beg[6] , \tile_x7y1_ee4beg[5] , \tile_x7y1_ee4beg[4] , \tile_x7y1_ee4beg[3] , \tile_x7y1_ee4beg[2] , \tile_x7y1_ee4beg[1] , \tile_x7y1_ee4beg[0] }),
.ee4end({ \tile_x6y1_ee4beg[15] , \tile_x6y1_ee4beg[14] , \tile_x6y1_ee4beg[13] , \tile_x6y1_ee4beg[12] , \tile_x6y1_ee4beg[11] , \tile_x6y1_ee4beg[10] , \tile_x6y1_ee4beg[9] , \tile_x6y1_ee4beg[8] , \tile_x6y1_ee4beg[7] , \tile_x6y1_ee4beg[6] , \tile_x6y1_ee4beg[5] , \tile_x6y1_ee4beg[4] , \tile_x6y1_ee4beg[3] , \tile_x6y1_ee4beg[2] , \tile_x6y1_ee4beg[1] , \tile_x6y1_ee4beg[0] }),
.framedata({ \tile_x6y1_framedata_o[31] , \tile_x6y1_framedata_o[30] , \tile_x6y1_framedata_o[29] , \tile_x6y1_framedata_o[28] , \tile_x6y1_framedata_o[27] , \tile_x6y1_framedata_o[26] , \tile_x6y1_framedata_o[25] , \tile_x6y1_framedata_o[24] , \tile_x6y1_framedata_o[23] , \tile_x6y1_framedata_o[22] , \tile_x6y1_framedata_o[21] , \tile_x6y1_framedata_o[20] , \tile_x6y1_framedata_o[19] , \tile_x6y1_framedata_o[18] , \tile_x6y1_framedata_o[17] , \tile_x6y1_framedata_o[16] , \tile_x6y1_framedata_o[15] , \tile_x6y1_framedata_o[14] , \tile_x6y1_framedata_o[13] , \tile_x6y1_framedata_o[12] , \tile_x6y1_framedata_o[11] , \tile_x6y1_framedata_o[10] , \tile_x6y1_framedata_o[9] , \tile_x6y1_framedata_o[8] , \tile_x6y1_framedata_o[7] , \tile_x6y1_framedata_o[6] , \tile_x6y1_framedata_o[5] , \tile_x6y1_framedata_o[4] , \tile_x6y1_framedata_o[3] , \tile_x6y1_framedata_o[2] , \tile_x6y1_framedata_o[1] , \tile_x6y1_framedata_o[0] }),
.framedata_o({ \tile_x7y1_framedata_o[31] , \tile_x7y1_framedata_o[30] , \tile_x7y1_framedata_o[29] , \tile_x7y1_framedata_o[28] , \tile_x7y1_framedata_o[27] , \tile_x7y1_framedata_o[26] , \tile_x7y1_framedata_o[25] , \tile_x7y1_framedata_o[24] , \tile_x7y1_framedata_o[23] , \tile_x7y1_framedata_o[22] , \tile_x7y1_framedata_o[21] , \tile_x7y1_framedata_o[20] , \tile_x7y1_framedata_o[19] , \tile_x7y1_framedata_o[18] , \tile_x7y1_framedata_o[17] , \tile_x7y1_framedata_o[16] , \tile_x7y1_framedata_o[15] , \tile_x7y1_framedata_o[14] , \tile_x7y1_framedata_o[13] , \tile_x7y1_framedata_o[12] , \tile_x7y1_framedata_o[11] , \tile_x7y1_framedata_o[10] , \tile_x7y1_framedata_o[9] , \tile_x7y1_framedata_o[8] , \tile_x7y1_framedata_o[7] , \tile_x7y1_framedata_o[6] , \tile_x7y1_framedata_o[5] , \tile_x7y1_framedata_o[4] , \tile_x7y1_framedata_o[3] , \tile_x7y1_framedata_o[2] , \tile_x7y1_framedata_o[1] , \tile_x7y1_framedata_o[0] }),
.framestrobe({ \tile_x7y2_framestrobe_o[19] , \tile_x7y2_framestrobe_o[18] , \tile_x7y2_framestrobe_o[17] , \tile_x7y2_framestrobe_o[16] , \tile_x7y2_framestrobe_o[15] , \tile_x7y2_framestrobe_o[14] , \tile_x7y2_framestrobe_o[13] , \tile_x7y2_framestrobe_o[12] , \tile_x7y2_framestrobe_o[11] , \tile_x7y2_framestrobe_o[10] , \tile_x7y2_framestrobe_o[9] , \tile_x7y2_framestrobe_o[8] , \tile_x7y2_framestrobe_o[7] , \tile_x7y2_framestrobe_o[6] , \tile_x7y2_framestrobe_o[5] , \tile_x7y2_framestrobe_o[4] , \tile_x7y2_framestrobe_o[3] , \tile_x7y2_framestrobe_o[2] , \tile_x7y2_framestrobe_o[1] , \tile_x7y2_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y1_framestrobe_o[19] , \tile_x7y1_framestrobe_o[18] , \tile_x7y1_framestrobe_o[17] , \tile_x7y1_framestrobe_o[16] , \tile_x7y1_framestrobe_o[15] , \tile_x7y1_framestrobe_o[14] , \tile_x7y1_framestrobe_o[13] , \tile_x7y1_framestrobe_o[12] , \tile_x7y1_framestrobe_o[11] , \tile_x7y1_framestrobe_o[10] , \tile_x7y1_framestrobe_o[9] , \tile_x7y1_framestrobe_o[8] , \tile_x7y1_framestrobe_o[7] , \tile_x7y1_framestrobe_o[6] , \tile_x7y1_framestrobe_o[5] , \tile_x7y1_framestrobe_o[4] , \tile_x7y1_framestrobe_o[3] , \tile_x7y1_framestrobe_o[2] , \tile_x7y1_framestrobe_o[1] , \tile_x7y1_framestrobe_o[0] }),
.n1beg({ \tile_x7y1_n1beg[3] , \tile_x7y1_n1beg[2] , \tile_x7y1_n1beg[1] , \tile_x7y1_n1beg[0] }),
.n1end({ \tile_x7y2_n1beg[3] , \tile_x7y2_n1beg[2] , \tile_x7y2_n1beg[1] , \tile_x7y2_n1beg[0] }),
.n2beg({ \tile_x7y1_n2beg[7] , \tile_x7y1_n2beg[6] , \tile_x7y1_n2beg[5] , \tile_x7y1_n2beg[4] , \tile_x7y1_n2beg[3] , \tile_x7y1_n2beg[2] , \tile_x7y1_n2beg[1] , \tile_x7y1_n2beg[0] }),
.n2begb({ \tile_x7y1_n2begb[7] , \tile_x7y1_n2begb[6] , \tile_x7y1_n2begb[5] , \tile_x7y1_n2begb[4] , \tile_x7y1_n2begb[3] , \tile_x7y1_n2begb[2] , \tile_x7y1_n2begb[1] , \tile_x7y1_n2begb[0] }),
.n2end({ \tile_x7y2_n2begb[7] , \tile_x7y2_n2begb[6] , \tile_x7y2_n2begb[5] , \tile_x7y2_n2begb[4] , \tile_x7y2_n2begb[3] , \tile_x7y2_n2begb[2] , \tile_x7y2_n2begb[1] , \tile_x7y2_n2begb[0] }),
.n2mid({ \tile_x7y2_n2beg[7] , \tile_x7y2_n2beg[6] , \tile_x7y2_n2beg[5] , \tile_x7y2_n2beg[4] , \tile_x7y2_n2beg[3] , \tile_x7y2_n2beg[2] , \tile_x7y2_n2beg[1] , \tile_x7y2_n2beg[0] }),
.n4beg({ \tile_x7y1_n4beg[15] , \tile_x7y1_n4beg[14] , \tile_x7y1_n4beg[13] , \tile_x7y1_n4beg[12] , \tile_x7y1_n4beg[11] , \tile_x7y1_n4beg[10] , \tile_x7y1_n4beg[9] , \tile_x7y1_n4beg[8] , \tile_x7y1_n4beg[7] , \tile_x7y1_n4beg[6] , \tile_x7y1_n4beg[5] , \tile_x7y1_n4beg[4] , \tile_x7y1_n4beg[3] , \tile_x7y1_n4beg[2] , \tile_x7y1_n4beg[1] , \tile_x7y1_n4beg[0] }),
.n4end({ \tile_x7y2_n4beg[15] , \tile_x7y2_n4beg[14] , \tile_x7y2_n4beg[13] , \tile_x7y2_n4beg[12] , \tile_x7y2_n4beg[11] , \tile_x7y2_n4beg[10] , \tile_x7y2_n4beg[9] , \tile_x7y2_n4beg[8] , \tile_x7y2_n4beg[7] , \tile_x7y2_n4beg[6] , \tile_x7y2_n4beg[5] , \tile_x7y2_n4beg[4] , \tile_x7y2_n4beg[3] , \tile_x7y2_n4beg[2] , \tile_x7y2_n4beg[1] , \tile_x7y2_n4beg[0] }),
.nn4beg({ \tile_x7y1_nn4beg[15] , \tile_x7y1_nn4beg[14] , \tile_x7y1_nn4beg[13] , \tile_x7y1_nn4beg[12] , \tile_x7y1_nn4beg[11] , \tile_x7y1_nn4beg[10] , \tile_x7y1_nn4beg[9] , \tile_x7y1_nn4beg[8] , \tile_x7y1_nn4beg[7] , \tile_x7y1_nn4beg[6] , \tile_x7y1_nn4beg[5] , \tile_x7y1_nn4beg[4] , \tile_x7y1_nn4beg[3] , \tile_x7y1_nn4beg[2] , \tile_x7y1_nn4beg[1] , \tile_x7y1_nn4beg[0] }),
.nn4end({ \tile_x7y2_nn4beg[15] , \tile_x7y2_nn4beg[14] , \tile_x7y2_nn4beg[13] , \tile_x7y2_nn4beg[12] , \tile_x7y2_nn4beg[11] , \tile_x7y2_nn4beg[10] , \tile_x7y2_nn4beg[9] , \tile_x7y2_nn4beg[8] , \tile_x7y2_nn4beg[7] , \tile_x7y2_nn4beg[6] , \tile_x7y2_nn4beg[5] , \tile_x7y2_nn4beg[4] , \tile_x7y2_nn4beg[3] , \tile_x7y2_nn4beg[2] , \tile_x7y2_nn4beg[1] , \tile_x7y2_nn4beg[0] }),
.s1beg({ \tile_x7y1_s1beg[3] , \tile_x7y1_s1beg[2] , \tile_x7y1_s1beg[1] , \tile_x7y1_s1beg[0] }),
.s1end({ \tile_x7y0_s1beg[3] , \tile_x7y0_s1beg[2] , \tile_x7y0_s1beg[1] , \tile_x7y0_s1beg[0] }),
.s2beg({ \tile_x7y1_s2beg[7] , \tile_x7y1_s2beg[6] , \tile_x7y1_s2beg[5] , \tile_x7y1_s2beg[4] , \tile_x7y1_s2beg[3] , \tile_x7y1_s2beg[2] , \tile_x7y1_s2beg[1] , \tile_x7y1_s2beg[0] }),
.s2begb({ \tile_x7y1_s2begb[7] , \tile_x7y1_s2begb[6] , \tile_x7y1_s2begb[5] , \tile_x7y1_s2begb[4] , \tile_x7y1_s2begb[3] , \tile_x7y1_s2begb[2] , \tile_x7y1_s2begb[1] , \tile_x7y1_s2begb[0] }),
.s2end({ \tile_x7y0_s2begb[7] , \tile_x7y0_s2begb[6] , \tile_x7y0_s2begb[5] , \tile_x7y0_s2begb[4] , \tile_x7y0_s2begb[3] , \tile_x7y0_s2begb[2] , \tile_x7y0_s2begb[1] , \tile_x7y0_s2begb[0] }),
.s2mid({ \tile_x7y0_s2beg[7] , \tile_x7y0_s2beg[6] , \tile_x7y0_s2beg[5] , \tile_x7y0_s2beg[4] , \tile_x7y0_s2beg[3] , \tile_x7y0_s2beg[2] , \tile_x7y0_s2beg[1] , \tile_x7y0_s2beg[0] }),
.s4beg({ \tile_x7y1_s4beg[15] , \tile_x7y1_s4beg[14] , \tile_x7y1_s4beg[13] , \tile_x7y1_s4beg[12] , \tile_x7y1_s4beg[11] , \tile_x7y1_s4beg[10] , \tile_x7y1_s4beg[9] , \tile_x7y1_s4beg[8] , \tile_x7y1_s4beg[7] , \tile_x7y1_s4beg[6] , \tile_x7y1_s4beg[5] , \tile_x7y1_s4beg[4] , \tile_x7y1_s4beg[3] , \tile_x7y1_s4beg[2] , \tile_x7y1_s4beg[1] , \tile_x7y1_s4beg[0] }),
.s4end({ \tile_x7y0_s4beg[15] , \tile_x7y0_s4beg[14] , \tile_x7y0_s4beg[13] , \tile_x7y0_s4beg[12] , \tile_x7y0_s4beg[11] , \tile_x7y0_s4beg[10] , \tile_x7y0_s4beg[9] , \tile_x7y0_s4beg[8] , \tile_x7y0_s4beg[7] , \tile_x7y0_s4beg[6] , \tile_x7y0_s4beg[5] , \tile_x7y0_s4beg[4] , \tile_x7y0_s4beg[3] , \tile_x7y0_s4beg[2] , \tile_x7y0_s4beg[1] , \tile_x7y0_s4beg[0] }),
.ss4beg({ \tile_x7y1_ss4beg[15] , \tile_x7y1_ss4beg[14] , \tile_x7y1_ss4beg[13] , \tile_x7y1_ss4beg[12] , \tile_x7y1_ss4beg[11] , \tile_x7y1_ss4beg[10] , \tile_x7y1_ss4beg[9] , \tile_x7y1_ss4beg[8] , \tile_x7y1_ss4beg[7] , \tile_x7y1_ss4beg[6] , \tile_x7y1_ss4beg[5] , \tile_x7y1_ss4beg[4] , \tile_x7y1_ss4beg[3] , \tile_x7y1_ss4beg[2] , \tile_x7y1_ss4beg[1] , \tile_x7y1_ss4beg[0] }),
.ss4end({ \tile_x7y0_ss4beg[15] , \tile_x7y0_ss4beg[14] , \tile_x7y0_ss4beg[13] , \tile_x7y0_ss4beg[12] , \tile_x7y0_ss4beg[11] , \tile_x7y0_ss4beg[10] , \tile_x7y0_ss4beg[9] , \tile_x7y0_ss4beg[8] , \tile_x7y0_ss4beg[7] , \tile_x7y0_ss4beg[6] , \tile_x7y0_ss4beg[5] , \tile_x7y0_ss4beg[4] , \tile_x7y0_ss4beg[3] , \tile_x7y0_ss4beg[2] , \tile_x7y0_ss4beg[1] , \tile_x7y0_ss4beg[0] }),
.userclk(tile_x7y2_userclko),
.userclko(tile_x7y1_userclko),
.w1beg({ \tile_x7y1_w1beg[3] , \tile_x7y1_w1beg[2] , \tile_x7y1_w1beg[1] , \tile_x7y1_w1beg[0] }),
.w1end({ \tile_x8y1_w1beg[3] , \tile_x8y1_w1beg[2] , \tile_x8y1_w1beg[1] , \tile_x8y1_w1beg[0] }),
.w2beg({ \tile_x7y1_w2beg[7] , \tile_x7y1_w2beg[6] , \tile_x7y1_w2beg[5] , \tile_x7y1_w2beg[4] , \tile_x7y1_w2beg[3] , \tile_x7y1_w2beg[2] , \tile_x7y1_w2beg[1] , \tile_x7y1_w2beg[0] }),
.w2begb({ \tile_x7y1_w2begb[7] , \tile_x7y1_w2begb[6] , \tile_x7y1_w2begb[5] , \tile_x7y1_w2begb[4] , \tile_x7y1_w2begb[3] , \tile_x7y1_w2begb[2] , \tile_x7y1_w2begb[1] , \tile_x7y1_w2begb[0] }),
.w2end({ \tile_x8y1_w2begb[7] , \tile_x8y1_w2begb[6] , \tile_x8y1_w2begb[5] , \tile_x8y1_w2begb[4] , \tile_x8y1_w2begb[3] , \tile_x8y1_w2begb[2] , \tile_x8y1_w2begb[1] , \tile_x8y1_w2begb[0] }),
.w2mid({ \tile_x8y1_w2beg[7] , \tile_x8y1_w2beg[6] , \tile_x8y1_w2beg[5] , \tile_x8y1_w2beg[4] , \tile_x8y1_w2beg[3] , \tile_x8y1_w2beg[2] , \tile_x8y1_w2beg[1] , \tile_x8y1_w2beg[0] }),
.w6beg({ \tile_x7y1_w6beg[11] , \tile_x7y1_w6beg[10] , \tile_x7y1_w6beg[9] , \tile_x7y1_w6beg[8] , \tile_x7y1_w6beg[7] , \tile_x7y1_w6beg[6] , \tile_x7y1_w6beg[5] , \tile_x7y1_w6beg[4] , \tile_x7y1_w6beg[3] , \tile_x7y1_w6beg[2] , \tile_x7y1_w6beg[1] , \tile_x7y1_w6beg[0] }),
.w6end({ \tile_x8y1_w6beg[11] , \tile_x8y1_w6beg[10] , \tile_x8y1_w6beg[9] , \tile_x8y1_w6beg[8] , \tile_x8y1_w6beg[7] , \tile_x8y1_w6beg[6] , \tile_x8y1_w6beg[5] , \tile_x8y1_w6beg[4] , \tile_x8y1_w6beg[3] , \tile_x8y1_w6beg[2] , \tile_x8y1_w6beg[1] , \tile_x8y1_w6beg[0] }),
.ww4beg({ \tile_x7y1_ww4beg[15] , \tile_x7y1_ww4beg[14] , \tile_x7y1_ww4beg[13] , \tile_x7y1_ww4beg[12] , \tile_x7y1_ww4beg[11] , \tile_x7y1_ww4beg[10] , \tile_x7y1_ww4beg[9] , \tile_x7y1_ww4beg[8] , \tile_x7y1_ww4beg[7] , \tile_x7y1_ww4beg[6] , \tile_x7y1_ww4beg[5] , \tile_x7y1_ww4beg[4] , \tile_x7y1_ww4beg[3] , \tile_x7y1_ww4beg[2] , \tile_x7y1_ww4beg[1] , \tile_x7y1_ww4beg[0] }),
.ww4end({ \tile_x8y1_ww4beg[15] , \tile_x8y1_ww4beg[14] , \tile_x8y1_ww4beg[13] , \tile_x8y1_ww4beg[12] , \tile_x8y1_ww4beg[11] , \tile_x8y1_ww4beg[10] , \tile_x8y1_ww4beg[9] , \tile_x8y1_ww4beg[8] , \tile_x8y1_ww4beg[7] , \tile_x8y1_ww4beg[6] , \tile_x8y1_ww4beg[5] , \tile_x8y1_ww4beg[4] , \tile_x8y1_ww4beg[3] , \tile_x8y1_ww4beg[2] , \tile_x8y1_ww4beg[1] , \tile_x8y1_ww4beg[0] })
);
lut4ab tile_x7y2_lut4ab (
.ci(tile_x7y3_co),
.co(tile_x7y2_co),
.e1beg({ \tile_x7y2_e1beg[3] , \tile_x7y2_e1beg[2] , \tile_x7y2_e1beg[1] , \tile_x7y2_e1beg[0] }),
.e1end({ \tile_x6y2_e1beg[3] , \tile_x6y2_e1beg[2] , \tile_x6y2_e1beg[1] , \tile_x6y2_e1beg[0] }),
.e2beg({ \tile_x7y2_e2beg[7] , \tile_x7y2_e2beg[6] , \tile_x7y2_e2beg[5] , \tile_x7y2_e2beg[4] , \tile_x7y2_e2beg[3] , \tile_x7y2_e2beg[2] , \tile_x7y2_e2beg[1] , \tile_x7y2_e2beg[0] }),
.e2begb({ \tile_x7y2_e2begb[7] , \tile_x7y2_e2begb[6] , \tile_x7y2_e2begb[5] , \tile_x7y2_e2begb[4] , \tile_x7y2_e2begb[3] , \tile_x7y2_e2begb[2] , \tile_x7y2_e2begb[1] , \tile_x7y2_e2begb[0] }),
.e2end({ \tile_x6y2_e2begb[7] , \tile_x6y2_e2begb[6] , \tile_x6y2_e2begb[5] , \tile_x6y2_e2begb[4] , \tile_x6y2_e2begb[3] , \tile_x6y2_e2begb[2] , \tile_x6y2_e2begb[1] , \tile_x6y2_e2begb[0] }),
.e2mid({ \tile_x6y2_e2beg[7] , \tile_x6y2_e2beg[6] , \tile_x6y2_e2beg[5] , \tile_x6y2_e2beg[4] , \tile_x6y2_e2beg[3] , \tile_x6y2_e2beg[2] , \tile_x6y2_e2beg[1] , \tile_x6y2_e2beg[0] }),
.e6beg({ \tile_x7y2_e6beg[11] , \tile_x7y2_e6beg[10] , \tile_x7y2_e6beg[9] , \tile_x7y2_e6beg[8] , \tile_x7y2_e6beg[7] , \tile_x7y2_e6beg[6] , \tile_x7y2_e6beg[5] , \tile_x7y2_e6beg[4] , \tile_x7y2_e6beg[3] , \tile_x7y2_e6beg[2] , \tile_x7y2_e6beg[1] , \tile_x7y2_e6beg[0] }),
.e6end({ \tile_x6y2_e6beg[11] , \tile_x6y2_e6beg[10] , \tile_x6y2_e6beg[9] , \tile_x6y2_e6beg[8] , \tile_x6y2_e6beg[7] , \tile_x6y2_e6beg[6] , \tile_x6y2_e6beg[5] , \tile_x6y2_e6beg[4] , \tile_x6y2_e6beg[3] , \tile_x6y2_e6beg[2] , \tile_x6y2_e6beg[1] , \tile_x6y2_e6beg[0] }),
.ee4beg({ \tile_x7y2_ee4beg[15] , \tile_x7y2_ee4beg[14] , \tile_x7y2_ee4beg[13] , \tile_x7y2_ee4beg[12] , \tile_x7y2_ee4beg[11] , \tile_x7y2_ee4beg[10] , \tile_x7y2_ee4beg[9] , \tile_x7y2_ee4beg[8] , \tile_x7y2_ee4beg[7] , \tile_x7y2_ee4beg[6] , \tile_x7y2_ee4beg[5] , \tile_x7y2_ee4beg[4] , \tile_x7y2_ee4beg[3] , \tile_x7y2_ee4beg[2] , \tile_x7y2_ee4beg[1] , \tile_x7y2_ee4beg[0] }),
.ee4end({ \tile_x6y2_ee4beg[15] , \tile_x6y2_ee4beg[14] , \tile_x6y2_ee4beg[13] , \tile_x6y2_ee4beg[12] , \tile_x6y2_ee4beg[11] , \tile_x6y2_ee4beg[10] , \tile_x6y2_ee4beg[9] , \tile_x6y2_ee4beg[8] , \tile_x6y2_ee4beg[7] , \tile_x6y2_ee4beg[6] , \tile_x6y2_ee4beg[5] , \tile_x6y2_ee4beg[4] , \tile_x6y2_ee4beg[3] , \tile_x6y2_ee4beg[2] , \tile_x6y2_ee4beg[1] , \tile_x6y2_ee4beg[0] }),
.framedata({ \tile_x6y2_framedata_o[31] , \tile_x6y2_framedata_o[30] , \tile_x6y2_framedata_o[29] , \tile_x6y2_framedata_o[28] , \tile_x6y2_framedata_o[27] , \tile_x6y2_framedata_o[26] , \tile_x6y2_framedata_o[25] , \tile_x6y2_framedata_o[24] , \tile_x6y2_framedata_o[23] , \tile_x6y2_framedata_o[22] , \tile_x6y2_framedata_o[21] , \tile_x6y2_framedata_o[20] , \tile_x6y2_framedata_o[19] , \tile_x6y2_framedata_o[18] , \tile_x6y2_framedata_o[17] , \tile_x6y2_framedata_o[16] , \tile_x6y2_framedata_o[15] , \tile_x6y2_framedata_o[14] , \tile_x6y2_framedata_o[13] , \tile_x6y2_framedata_o[12] , \tile_x6y2_framedata_o[11] , \tile_x6y2_framedata_o[10] , \tile_x6y2_framedata_o[9] , \tile_x6y2_framedata_o[8] , \tile_x6y2_framedata_o[7] , \tile_x6y2_framedata_o[6] , \tile_x6y2_framedata_o[5] , \tile_x6y2_framedata_o[4] , \tile_x6y2_framedata_o[3] , \tile_x6y2_framedata_o[2] , \tile_x6y2_framedata_o[1] , \tile_x6y2_framedata_o[0] }),
.framedata_o({ \tile_x7y2_framedata_o[31] , \tile_x7y2_framedata_o[30] , \tile_x7y2_framedata_o[29] , \tile_x7y2_framedata_o[28] , \tile_x7y2_framedata_o[27] , \tile_x7y2_framedata_o[26] , \tile_x7y2_framedata_o[25] , \tile_x7y2_framedata_o[24] , \tile_x7y2_framedata_o[23] , \tile_x7y2_framedata_o[22] , \tile_x7y2_framedata_o[21] , \tile_x7y2_framedata_o[20] , \tile_x7y2_framedata_o[19] , \tile_x7y2_framedata_o[18] , \tile_x7y2_framedata_o[17] , \tile_x7y2_framedata_o[16] , \tile_x7y2_framedata_o[15] , \tile_x7y2_framedata_o[14] , \tile_x7y2_framedata_o[13] , \tile_x7y2_framedata_o[12] , \tile_x7y2_framedata_o[11] , \tile_x7y2_framedata_o[10] , \tile_x7y2_framedata_o[9] , \tile_x7y2_framedata_o[8] , \tile_x7y2_framedata_o[7] , \tile_x7y2_framedata_o[6] , \tile_x7y2_framedata_o[5] , \tile_x7y2_framedata_o[4] , \tile_x7y2_framedata_o[3] , \tile_x7y2_framedata_o[2] , \tile_x7y2_framedata_o[1] , \tile_x7y2_framedata_o[0] }),
.framestrobe({ \tile_x7y3_framestrobe_o[19] , \tile_x7y3_framestrobe_o[18] , \tile_x7y3_framestrobe_o[17] , \tile_x7y3_framestrobe_o[16] , \tile_x7y3_framestrobe_o[15] , \tile_x7y3_framestrobe_o[14] , \tile_x7y3_framestrobe_o[13] , \tile_x7y3_framestrobe_o[12] , \tile_x7y3_framestrobe_o[11] , \tile_x7y3_framestrobe_o[10] , \tile_x7y3_framestrobe_o[9] , \tile_x7y3_framestrobe_o[8] , \tile_x7y3_framestrobe_o[7] , \tile_x7y3_framestrobe_o[6] , \tile_x7y3_framestrobe_o[5] , \tile_x7y3_framestrobe_o[4] , \tile_x7y3_framestrobe_o[3] , \tile_x7y3_framestrobe_o[2] , \tile_x7y3_framestrobe_o[1] , \tile_x7y3_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y2_framestrobe_o[19] , \tile_x7y2_framestrobe_o[18] , \tile_x7y2_framestrobe_o[17] , \tile_x7y2_framestrobe_o[16] , \tile_x7y2_framestrobe_o[15] , \tile_x7y2_framestrobe_o[14] , \tile_x7y2_framestrobe_o[13] , \tile_x7y2_framestrobe_o[12] , \tile_x7y2_framestrobe_o[11] , \tile_x7y2_framestrobe_o[10] , \tile_x7y2_framestrobe_o[9] , \tile_x7y2_framestrobe_o[8] , \tile_x7y2_framestrobe_o[7] , \tile_x7y2_framestrobe_o[6] , \tile_x7y2_framestrobe_o[5] , \tile_x7y2_framestrobe_o[4] , \tile_x7y2_framestrobe_o[3] , \tile_x7y2_framestrobe_o[2] , \tile_x7y2_framestrobe_o[1] , \tile_x7y2_framestrobe_o[0] }),
.n1beg({ \tile_x7y2_n1beg[3] , \tile_x7y2_n1beg[2] , \tile_x7y2_n1beg[1] , \tile_x7y2_n1beg[0] }),
.n1end({ \tile_x7y3_n1beg[3] , \tile_x7y3_n1beg[2] , \tile_x7y3_n1beg[1] , \tile_x7y3_n1beg[0] }),
.n2beg({ \tile_x7y2_n2beg[7] , \tile_x7y2_n2beg[6] , \tile_x7y2_n2beg[5] , \tile_x7y2_n2beg[4] , \tile_x7y2_n2beg[3] , \tile_x7y2_n2beg[2] , \tile_x7y2_n2beg[1] , \tile_x7y2_n2beg[0] }),
.n2begb({ \tile_x7y2_n2begb[7] , \tile_x7y2_n2begb[6] , \tile_x7y2_n2begb[5] , \tile_x7y2_n2begb[4] , \tile_x7y2_n2begb[3] , \tile_x7y2_n2begb[2] , \tile_x7y2_n2begb[1] , \tile_x7y2_n2begb[0] }),
.n2end({ \tile_x7y3_n2begb[7] , \tile_x7y3_n2begb[6] , \tile_x7y3_n2begb[5] , \tile_x7y3_n2begb[4] , \tile_x7y3_n2begb[3] , \tile_x7y3_n2begb[2] , \tile_x7y3_n2begb[1] , \tile_x7y3_n2begb[0] }),
.n2mid({ \tile_x7y3_n2beg[7] , \tile_x7y3_n2beg[6] , \tile_x7y3_n2beg[5] , \tile_x7y3_n2beg[4] , \tile_x7y3_n2beg[3] , \tile_x7y3_n2beg[2] , \tile_x7y3_n2beg[1] , \tile_x7y3_n2beg[0] }),
.n4beg({ \tile_x7y2_n4beg[15] , \tile_x7y2_n4beg[14] , \tile_x7y2_n4beg[13] , \tile_x7y2_n4beg[12] , \tile_x7y2_n4beg[11] , \tile_x7y2_n4beg[10] , \tile_x7y2_n4beg[9] , \tile_x7y2_n4beg[8] , \tile_x7y2_n4beg[7] , \tile_x7y2_n4beg[6] , \tile_x7y2_n4beg[5] , \tile_x7y2_n4beg[4] , \tile_x7y2_n4beg[3] , \tile_x7y2_n4beg[2] , \tile_x7y2_n4beg[1] , \tile_x7y2_n4beg[0] }),
.n4end({ \tile_x7y3_n4beg[15] , \tile_x7y3_n4beg[14] , \tile_x7y3_n4beg[13] , \tile_x7y3_n4beg[12] , \tile_x7y3_n4beg[11] , \tile_x7y3_n4beg[10] , \tile_x7y3_n4beg[9] , \tile_x7y3_n4beg[8] , \tile_x7y3_n4beg[7] , \tile_x7y3_n4beg[6] , \tile_x7y3_n4beg[5] , \tile_x7y3_n4beg[4] , \tile_x7y3_n4beg[3] , \tile_x7y3_n4beg[2] , \tile_x7y3_n4beg[1] , \tile_x7y3_n4beg[0] }),
.nn4beg({ \tile_x7y2_nn4beg[15] , \tile_x7y2_nn4beg[14] , \tile_x7y2_nn4beg[13] , \tile_x7y2_nn4beg[12] , \tile_x7y2_nn4beg[11] , \tile_x7y2_nn4beg[10] , \tile_x7y2_nn4beg[9] , \tile_x7y2_nn4beg[8] , \tile_x7y2_nn4beg[7] , \tile_x7y2_nn4beg[6] , \tile_x7y2_nn4beg[5] , \tile_x7y2_nn4beg[4] , \tile_x7y2_nn4beg[3] , \tile_x7y2_nn4beg[2] , \tile_x7y2_nn4beg[1] , \tile_x7y2_nn4beg[0] }),
.nn4end({ \tile_x7y3_nn4beg[15] , \tile_x7y3_nn4beg[14] , \tile_x7y3_nn4beg[13] , \tile_x7y3_nn4beg[12] , \tile_x7y3_nn4beg[11] , \tile_x7y3_nn4beg[10] , \tile_x7y3_nn4beg[9] , \tile_x7y3_nn4beg[8] , \tile_x7y3_nn4beg[7] , \tile_x7y3_nn4beg[6] , \tile_x7y3_nn4beg[5] , \tile_x7y3_nn4beg[4] , \tile_x7y3_nn4beg[3] , \tile_x7y3_nn4beg[2] , \tile_x7y3_nn4beg[1] , \tile_x7y3_nn4beg[0] }),
.s1beg({ \tile_x7y2_s1beg[3] , \tile_x7y2_s1beg[2] , \tile_x7y2_s1beg[1] , \tile_x7y2_s1beg[0] }),
.s1end({ \tile_x7y1_s1beg[3] , \tile_x7y1_s1beg[2] , \tile_x7y1_s1beg[1] , \tile_x7y1_s1beg[0] }),
.s2beg({ \tile_x7y2_s2beg[7] , \tile_x7y2_s2beg[6] , \tile_x7y2_s2beg[5] , \tile_x7y2_s2beg[4] , \tile_x7y2_s2beg[3] , \tile_x7y2_s2beg[2] , \tile_x7y2_s2beg[1] , \tile_x7y2_s2beg[0] }),
.s2begb({ \tile_x7y2_s2begb[7] , \tile_x7y2_s2begb[6] , \tile_x7y2_s2begb[5] , \tile_x7y2_s2begb[4] , \tile_x7y2_s2begb[3] , \tile_x7y2_s2begb[2] , \tile_x7y2_s2begb[1] , \tile_x7y2_s2begb[0] }),
.s2end({ \tile_x7y1_s2begb[7] , \tile_x7y1_s2begb[6] , \tile_x7y1_s2begb[5] , \tile_x7y1_s2begb[4] , \tile_x7y1_s2begb[3] , \tile_x7y1_s2begb[2] , \tile_x7y1_s2begb[1] , \tile_x7y1_s2begb[0] }),
.s2mid({ \tile_x7y1_s2beg[7] , \tile_x7y1_s2beg[6] , \tile_x7y1_s2beg[5] , \tile_x7y1_s2beg[4] , \tile_x7y1_s2beg[3] , \tile_x7y1_s2beg[2] , \tile_x7y1_s2beg[1] , \tile_x7y1_s2beg[0] }),
.s4beg({ \tile_x7y2_s4beg[15] , \tile_x7y2_s4beg[14] , \tile_x7y2_s4beg[13] , \tile_x7y2_s4beg[12] , \tile_x7y2_s4beg[11] , \tile_x7y2_s4beg[10] , \tile_x7y2_s4beg[9] , \tile_x7y2_s4beg[8] , \tile_x7y2_s4beg[7] , \tile_x7y2_s4beg[6] , \tile_x7y2_s4beg[5] , \tile_x7y2_s4beg[4] , \tile_x7y2_s4beg[3] , \tile_x7y2_s4beg[2] , \tile_x7y2_s4beg[1] , \tile_x7y2_s4beg[0] }),
.s4end({ \tile_x7y1_s4beg[15] , \tile_x7y1_s4beg[14] , \tile_x7y1_s4beg[13] , \tile_x7y1_s4beg[12] , \tile_x7y1_s4beg[11] , \tile_x7y1_s4beg[10] , \tile_x7y1_s4beg[9] , \tile_x7y1_s4beg[8] , \tile_x7y1_s4beg[7] , \tile_x7y1_s4beg[6] , \tile_x7y1_s4beg[5] , \tile_x7y1_s4beg[4] , \tile_x7y1_s4beg[3] , \tile_x7y1_s4beg[2] , \tile_x7y1_s4beg[1] , \tile_x7y1_s4beg[0] }),
.ss4beg({ \tile_x7y2_ss4beg[15] , \tile_x7y2_ss4beg[14] , \tile_x7y2_ss4beg[13] , \tile_x7y2_ss4beg[12] , \tile_x7y2_ss4beg[11] , \tile_x7y2_ss4beg[10] , \tile_x7y2_ss4beg[9] , \tile_x7y2_ss4beg[8] , \tile_x7y2_ss4beg[7] , \tile_x7y2_ss4beg[6] , \tile_x7y2_ss4beg[5] , \tile_x7y2_ss4beg[4] , \tile_x7y2_ss4beg[3] , \tile_x7y2_ss4beg[2] , \tile_x7y2_ss4beg[1] , \tile_x7y2_ss4beg[0] }),
.ss4end({ \tile_x7y1_ss4beg[15] , \tile_x7y1_ss4beg[14] , \tile_x7y1_ss4beg[13] , \tile_x7y1_ss4beg[12] , \tile_x7y1_ss4beg[11] , \tile_x7y1_ss4beg[10] , \tile_x7y1_ss4beg[9] , \tile_x7y1_ss4beg[8] , \tile_x7y1_ss4beg[7] , \tile_x7y1_ss4beg[6] , \tile_x7y1_ss4beg[5] , \tile_x7y1_ss4beg[4] , \tile_x7y1_ss4beg[3] , \tile_x7y1_ss4beg[2] , \tile_x7y1_ss4beg[1] , \tile_x7y1_ss4beg[0] }),
.userclk(tile_x7y3_userclko),
.userclko(tile_x7y2_userclko),
.w1beg({ \tile_x7y2_w1beg[3] , \tile_x7y2_w1beg[2] , \tile_x7y2_w1beg[1] , \tile_x7y2_w1beg[0] }),
.w1end({ \tile_x8y2_w1beg[3] , \tile_x8y2_w1beg[2] , \tile_x8y2_w1beg[1] , \tile_x8y2_w1beg[0] }),
.w2beg({ \tile_x7y2_w2beg[7] , \tile_x7y2_w2beg[6] , \tile_x7y2_w2beg[5] , \tile_x7y2_w2beg[4] , \tile_x7y2_w2beg[3] , \tile_x7y2_w2beg[2] , \tile_x7y2_w2beg[1] , \tile_x7y2_w2beg[0] }),
.w2begb({ \tile_x7y2_w2begb[7] , \tile_x7y2_w2begb[6] , \tile_x7y2_w2begb[5] , \tile_x7y2_w2begb[4] , \tile_x7y2_w2begb[3] , \tile_x7y2_w2begb[2] , \tile_x7y2_w2begb[1] , \tile_x7y2_w2begb[0] }),
.w2end({ \tile_x8y2_w2begb[7] , \tile_x8y2_w2begb[6] , \tile_x8y2_w2begb[5] , \tile_x8y2_w2begb[4] , \tile_x8y2_w2begb[3] , \tile_x8y2_w2begb[2] , \tile_x8y2_w2begb[1] , \tile_x8y2_w2begb[0] }),
.w2mid({ \tile_x8y2_w2beg[7] , \tile_x8y2_w2beg[6] , \tile_x8y2_w2beg[5] , \tile_x8y2_w2beg[4] , \tile_x8y2_w2beg[3] , \tile_x8y2_w2beg[2] , \tile_x8y2_w2beg[1] , \tile_x8y2_w2beg[0] }),
.w6beg({ \tile_x7y2_w6beg[11] , \tile_x7y2_w6beg[10] , \tile_x7y2_w6beg[9] , \tile_x7y2_w6beg[8] , \tile_x7y2_w6beg[7] , \tile_x7y2_w6beg[6] , \tile_x7y2_w6beg[5] , \tile_x7y2_w6beg[4] , \tile_x7y2_w6beg[3] , \tile_x7y2_w6beg[2] , \tile_x7y2_w6beg[1] , \tile_x7y2_w6beg[0] }),
.w6end({ \tile_x8y2_w6beg[11] , \tile_x8y2_w6beg[10] , \tile_x8y2_w6beg[9] , \tile_x8y2_w6beg[8] , \tile_x8y2_w6beg[7] , \tile_x8y2_w6beg[6] , \tile_x8y2_w6beg[5] , \tile_x8y2_w6beg[4] , \tile_x8y2_w6beg[3] , \tile_x8y2_w6beg[2] , \tile_x8y2_w6beg[1] , \tile_x8y2_w6beg[0] }),
.ww4beg({ \tile_x7y2_ww4beg[15] , \tile_x7y2_ww4beg[14] , \tile_x7y2_ww4beg[13] , \tile_x7y2_ww4beg[12] , \tile_x7y2_ww4beg[11] , \tile_x7y2_ww4beg[10] , \tile_x7y2_ww4beg[9] , \tile_x7y2_ww4beg[8] , \tile_x7y2_ww4beg[7] , \tile_x7y2_ww4beg[6] , \tile_x7y2_ww4beg[5] , \tile_x7y2_ww4beg[4] , \tile_x7y2_ww4beg[3] , \tile_x7y2_ww4beg[2] , \tile_x7y2_ww4beg[1] , \tile_x7y2_ww4beg[0] }),
.ww4end({ \tile_x8y2_ww4beg[15] , \tile_x8y2_ww4beg[14] , \tile_x8y2_ww4beg[13] , \tile_x8y2_ww4beg[12] , \tile_x8y2_ww4beg[11] , \tile_x8y2_ww4beg[10] , \tile_x8y2_ww4beg[9] , \tile_x8y2_ww4beg[8] , \tile_x8y2_ww4beg[7] , \tile_x8y2_ww4beg[6] , \tile_x8y2_ww4beg[5] , \tile_x8y2_ww4beg[4] , \tile_x8y2_ww4beg[3] , \tile_x8y2_ww4beg[2] , \tile_x8y2_ww4beg[1] , \tile_x8y2_ww4beg[0] })
);
lut4ab tile_x7y3_lut4ab (
.ci(tile_x7y4_co),
.co(tile_x7y3_co),
.e1beg({ \tile_x7y3_e1beg[3] , \tile_x7y3_e1beg[2] , \tile_x7y3_e1beg[1] , \tile_x7y3_e1beg[0] }),
.e1end({ \tile_x6y3_e1beg[3] , \tile_x6y3_e1beg[2] , \tile_x6y3_e1beg[1] , \tile_x6y3_e1beg[0] }),
.e2beg({ \tile_x7y3_e2beg[7] , \tile_x7y3_e2beg[6] , \tile_x7y3_e2beg[5] , \tile_x7y3_e2beg[4] , \tile_x7y3_e2beg[3] , \tile_x7y3_e2beg[2] , \tile_x7y3_e2beg[1] , \tile_x7y3_e2beg[0] }),
.e2begb({ \tile_x7y3_e2begb[7] , \tile_x7y3_e2begb[6] , \tile_x7y3_e2begb[5] , \tile_x7y3_e2begb[4] , \tile_x7y3_e2begb[3] , \tile_x7y3_e2begb[2] , \tile_x7y3_e2begb[1] , \tile_x7y3_e2begb[0] }),
.e2end({ \tile_x6y3_e2begb[7] , \tile_x6y3_e2begb[6] , \tile_x6y3_e2begb[5] , \tile_x6y3_e2begb[4] , \tile_x6y3_e2begb[3] , \tile_x6y3_e2begb[2] , \tile_x6y3_e2begb[1] , \tile_x6y3_e2begb[0] }),
.e2mid({ \tile_x6y3_e2beg[7] , \tile_x6y3_e2beg[6] , \tile_x6y3_e2beg[5] , \tile_x6y3_e2beg[4] , \tile_x6y3_e2beg[3] , \tile_x6y3_e2beg[2] , \tile_x6y3_e2beg[1] , \tile_x6y3_e2beg[0] }),
.e6beg({ \tile_x7y3_e6beg[11] , \tile_x7y3_e6beg[10] , \tile_x7y3_e6beg[9] , \tile_x7y3_e6beg[8] , \tile_x7y3_e6beg[7] , \tile_x7y3_e6beg[6] , \tile_x7y3_e6beg[5] , \tile_x7y3_e6beg[4] , \tile_x7y3_e6beg[3] , \tile_x7y3_e6beg[2] , \tile_x7y3_e6beg[1] , \tile_x7y3_e6beg[0] }),
.e6end({ \tile_x6y3_e6beg[11] , \tile_x6y3_e6beg[10] , \tile_x6y3_e6beg[9] , \tile_x6y3_e6beg[8] , \tile_x6y3_e6beg[7] , \tile_x6y3_e6beg[6] , \tile_x6y3_e6beg[5] , \tile_x6y3_e6beg[4] , \tile_x6y3_e6beg[3] , \tile_x6y3_e6beg[2] , \tile_x6y3_e6beg[1] , \tile_x6y3_e6beg[0] }),
.ee4beg({ \tile_x7y3_ee4beg[15] , \tile_x7y3_ee4beg[14] , \tile_x7y3_ee4beg[13] , \tile_x7y3_ee4beg[12] , \tile_x7y3_ee4beg[11] , \tile_x7y3_ee4beg[10] , \tile_x7y3_ee4beg[9] , \tile_x7y3_ee4beg[8] , \tile_x7y3_ee4beg[7] , \tile_x7y3_ee4beg[6] , \tile_x7y3_ee4beg[5] , \tile_x7y3_ee4beg[4] , \tile_x7y3_ee4beg[3] , \tile_x7y3_ee4beg[2] , \tile_x7y3_ee4beg[1] , \tile_x7y3_ee4beg[0] }),
.ee4end({ \tile_x6y3_ee4beg[15] , \tile_x6y3_ee4beg[14] , \tile_x6y3_ee4beg[13] , \tile_x6y3_ee4beg[12] , \tile_x6y3_ee4beg[11] , \tile_x6y3_ee4beg[10] , \tile_x6y3_ee4beg[9] , \tile_x6y3_ee4beg[8] , \tile_x6y3_ee4beg[7] , \tile_x6y3_ee4beg[6] , \tile_x6y3_ee4beg[5] , \tile_x6y3_ee4beg[4] , \tile_x6y3_ee4beg[3] , \tile_x6y3_ee4beg[2] , \tile_x6y3_ee4beg[1] , \tile_x6y3_ee4beg[0] }),
.framedata({ \tile_x6y3_framedata_o[31] , \tile_x6y3_framedata_o[30] , \tile_x6y3_framedata_o[29] , \tile_x6y3_framedata_o[28] , \tile_x6y3_framedata_o[27] , \tile_x6y3_framedata_o[26] , \tile_x6y3_framedata_o[25] , \tile_x6y3_framedata_o[24] , \tile_x6y3_framedata_o[23] , \tile_x6y3_framedata_o[22] , \tile_x6y3_framedata_o[21] , \tile_x6y3_framedata_o[20] , \tile_x6y3_framedata_o[19] , \tile_x6y3_framedata_o[18] , \tile_x6y3_framedata_o[17] , \tile_x6y3_framedata_o[16] , \tile_x6y3_framedata_o[15] , \tile_x6y3_framedata_o[14] , \tile_x6y3_framedata_o[13] , \tile_x6y3_framedata_o[12] , \tile_x6y3_framedata_o[11] , \tile_x6y3_framedata_o[10] , \tile_x6y3_framedata_o[9] , \tile_x6y3_framedata_o[8] , \tile_x6y3_framedata_o[7] , \tile_x6y3_framedata_o[6] , \tile_x6y3_framedata_o[5] , \tile_x6y3_framedata_o[4] , \tile_x6y3_framedata_o[3] , \tile_x6y3_framedata_o[2] , \tile_x6y3_framedata_o[1] , \tile_x6y3_framedata_o[0] }),
.framedata_o({ \tile_x7y3_framedata_o[31] , \tile_x7y3_framedata_o[30] , \tile_x7y3_framedata_o[29] , \tile_x7y3_framedata_o[28] , \tile_x7y3_framedata_o[27] , \tile_x7y3_framedata_o[26] , \tile_x7y3_framedata_o[25] , \tile_x7y3_framedata_o[24] , \tile_x7y3_framedata_o[23] , \tile_x7y3_framedata_o[22] , \tile_x7y3_framedata_o[21] , \tile_x7y3_framedata_o[20] , \tile_x7y3_framedata_o[19] , \tile_x7y3_framedata_o[18] , \tile_x7y3_framedata_o[17] , \tile_x7y3_framedata_o[16] , \tile_x7y3_framedata_o[15] , \tile_x7y3_framedata_o[14] , \tile_x7y3_framedata_o[13] , \tile_x7y3_framedata_o[12] , \tile_x7y3_framedata_o[11] , \tile_x7y3_framedata_o[10] , \tile_x7y3_framedata_o[9] , \tile_x7y3_framedata_o[8] , \tile_x7y3_framedata_o[7] , \tile_x7y3_framedata_o[6] , \tile_x7y3_framedata_o[5] , \tile_x7y3_framedata_o[4] , \tile_x7y3_framedata_o[3] , \tile_x7y3_framedata_o[2] , \tile_x7y3_framedata_o[1] , \tile_x7y3_framedata_o[0] }),
.framestrobe({ \tile_x7y4_framestrobe_o[19] , \tile_x7y4_framestrobe_o[18] , \tile_x7y4_framestrobe_o[17] , \tile_x7y4_framestrobe_o[16] , \tile_x7y4_framestrobe_o[15] , \tile_x7y4_framestrobe_o[14] , \tile_x7y4_framestrobe_o[13] , \tile_x7y4_framestrobe_o[12] , \tile_x7y4_framestrobe_o[11] , \tile_x7y4_framestrobe_o[10] , \tile_x7y4_framestrobe_o[9] , \tile_x7y4_framestrobe_o[8] , \tile_x7y4_framestrobe_o[7] , \tile_x7y4_framestrobe_o[6] , \tile_x7y4_framestrobe_o[5] , \tile_x7y4_framestrobe_o[4] , \tile_x7y4_framestrobe_o[3] , \tile_x7y4_framestrobe_o[2] , \tile_x7y4_framestrobe_o[1] , \tile_x7y4_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y3_framestrobe_o[19] , \tile_x7y3_framestrobe_o[18] , \tile_x7y3_framestrobe_o[17] , \tile_x7y3_framestrobe_o[16] , \tile_x7y3_framestrobe_o[15] , \tile_x7y3_framestrobe_o[14] , \tile_x7y3_framestrobe_o[13] , \tile_x7y3_framestrobe_o[12] , \tile_x7y3_framestrobe_o[11] , \tile_x7y3_framestrobe_o[10] , \tile_x7y3_framestrobe_o[9] , \tile_x7y3_framestrobe_o[8] , \tile_x7y3_framestrobe_o[7] , \tile_x7y3_framestrobe_o[6] , \tile_x7y3_framestrobe_o[5] , \tile_x7y3_framestrobe_o[4] , \tile_x7y3_framestrobe_o[3] , \tile_x7y3_framestrobe_o[2] , \tile_x7y3_framestrobe_o[1] , \tile_x7y3_framestrobe_o[0] }),
.n1beg({ \tile_x7y3_n1beg[3] , \tile_x7y3_n1beg[2] , \tile_x7y3_n1beg[1] , \tile_x7y3_n1beg[0] }),
.n1end({ \tile_x7y4_n1beg[3] , \tile_x7y4_n1beg[2] , \tile_x7y4_n1beg[1] , \tile_x7y4_n1beg[0] }),
.n2beg({ \tile_x7y3_n2beg[7] , \tile_x7y3_n2beg[6] , \tile_x7y3_n2beg[5] , \tile_x7y3_n2beg[4] , \tile_x7y3_n2beg[3] , \tile_x7y3_n2beg[2] , \tile_x7y3_n2beg[1] , \tile_x7y3_n2beg[0] }),
.n2begb({ \tile_x7y3_n2begb[7] , \tile_x7y3_n2begb[6] , \tile_x7y3_n2begb[5] , \tile_x7y3_n2begb[4] , \tile_x7y3_n2begb[3] , \tile_x7y3_n2begb[2] , \tile_x7y3_n2begb[1] , \tile_x7y3_n2begb[0] }),
.n2end({ \tile_x7y4_n2begb[7] , \tile_x7y4_n2begb[6] , \tile_x7y4_n2begb[5] , \tile_x7y4_n2begb[4] , \tile_x7y4_n2begb[3] , \tile_x7y4_n2begb[2] , \tile_x7y4_n2begb[1] , \tile_x7y4_n2begb[0] }),
.n2mid({ \tile_x7y4_n2beg[7] , \tile_x7y4_n2beg[6] , \tile_x7y4_n2beg[5] , \tile_x7y4_n2beg[4] , \tile_x7y4_n2beg[3] , \tile_x7y4_n2beg[2] , \tile_x7y4_n2beg[1] , \tile_x7y4_n2beg[0] }),
.n4beg({ \tile_x7y3_n4beg[15] , \tile_x7y3_n4beg[14] , \tile_x7y3_n4beg[13] , \tile_x7y3_n4beg[12] , \tile_x7y3_n4beg[11] , \tile_x7y3_n4beg[10] , \tile_x7y3_n4beg[9] , \tile_x7y3_n4beg[8] , \tile_x7y3_n4beg[7] , \tile_x7y3_n4beg[6] , \tile_x7y3_n4beg[5] , \tile_x7y3_n4beg[4] , \tile_x7y3_n4beg[3] , \tile_x7y3_n4beg[2] , \tile_x7y3_n4beg[1] , \tile_x7y3_n4beg[0] }),
.n4end({ \tile_x7y4_n4beg[15] , \tile_x7y4_n4beg[14] , \tile_x7y4_n4beg[13] , \tile_x7y4_n4beg[12] , \tile_x7y4_n4beg[11] , \tile_x7y4_n4beg[10] , \tile_x7y4_n4beg[9] , \tile_x7y4_n4beg[8] , \tile_x7y4_n4beg[7] , \tile_x7y4_n4beg[6] , \tile_x7y4_n4beg[5] , \tile_x7y4_n4beg[4] , \tile_x7y4_n4beg[3] , \tile_x7y4_n4beg[2] , \tile_x7y4_n4beg[1] , \tile_x7y4_n4beg[0] }),
.nn4beg({ \tile_x7y3_nn4beg[15] , \tile_x7y3_nn4beg[14] , \tile_x7y3_nn4beg[13] , \tile_x7y3_nn4beg[12] , \tile_x7y3_nn4beg[11] , \tile_x7y3_nn4beg[10] , \tile_x7y3_nn4beg[9] , \tile_x7y3_nn4beg[8] , \tile_x7y3_nn4beg[7] , \tile_x7y3_nn4beg[6] , \tile_x7y3_nn4beg[5] , \tile_x7y3_nn4beg[4] , \tile_x7y3_nn4beg[3] , \tile_x7y3_nn4beg[2] , \tile_x7y3_nn4beg[1] , \tile_x7y3_nn4beg[0] }),
.nn4end({ \tile_x7y4_nn4beg[15] , \tile_x7y4_nn4beg[14] , \tile_x7y4_nn4beg[13] , \tile_x7y4_nn4beg[12] , \tile_x7y4_nn4beg[11] , \tile_x7y4_nn4beg[10] , \tile_x7y4_nn4beg[9] , \tile_x7y4_nn4beg[8] , \tile_x7y4_nn4beg[7] , \tile_x7y4_nn4beg[6] , \tile_x7y4_nn4beg[5] , \tile_x7y4_nn4beg[4] , \tile_x7y4_nn4beg[3] , \tile_x7y4_nn4beg[2] , \tile_x7y4_nn4beg[1] , \tile_x7y4_nn4beg[0] }),
.s1beg({ \tile_x7y3_s1beg[3] , \tile_x7y3_s1beg[2] , \tile_x7y3_s1beg[1] , \tile_x7y3_s1beg[0] }),
.s1end({ \tile_x7y2_s1beg[3] , \tile_x7y2_s1beg[2] , \tile_x7y2_s1beg[1] , \tile_x7y2_s1beg[0] }),
.s2beg({ \tile_x7y3_s2beg[7] , \tile_x7y3_s2beg[6] , \tile_x7y3_s2beg[5] , \tile_x7y3_s2beg[4] , \tile_x7y3_s2beg[3] , \tile_x7y3_s2beg[2] , \tile_x7y3_s2beg[1] , \tile_x7y3_s2beg[0] }),
.s2begb({ \tile_x7y3_s2begb[7] , \tile_x7y3_s2begb[6] , \tile_x7y3_s2begb[5] , \tile_x7y3_s2begb[4] , \tile_x7y3_s2begb[3] , \tile_x7y3_s2begb[2] , \tile_x7y3_s2begb[1] , \tile_x7y3_s2begb[0] }),
.s2end({ \tile_x7y2_s2begb[7] , \tile_x7y2_s2begb[6] , \tile_x7y2_s2begb[5] , \tile_x7y2_s2begb[4] , \tile_x7y2_s2begb[3] , \tile_x7y2_s2begb[2] , \tile_x7y2_s2begb[1] , \tile_x7y2_s2begb[0] }),
.s2mid({ \tile_x7y2_s2beg[7] , \tile_x7y2_s2beg[6] , \tile_x7y2_s2beg[5] , \tile_x7y2_s2beg[4] , \tile_x7y2_s2beg[3] , \tile_x7y2_s2beg[2] , \tile_x7y2_s2beg[1] , \tile_x7y2_s2beg[0] }),
.s4beg({ \tile_x7y3_s4beg[15] , \tile_x7y3_s4beg[14] , \tile_x7y3_s4beg[13] , \tile_x7y3_s4beg[12] , \tile_x7y3_s4beg[11] , \tile_x7y3_s4beg[10] , \tile_x7y3_s4beg[9] , \tile_x7y3_s4beg[8] , \tile_x7y3_s4beg[7] , \tile_x7y3_s4beg[6] , \tile_x7y3_s4beg[5] , \tile_x7y3_s4beg[4] , \tile_x7y3_s4beg[3] , \tile_x7y3_s4beg[2] , \tile_x7y3_s4beg[1] , \tile_x7y3_s4beg[0] }),
.s4end({ \tile_x7y2_s4beg[15] , \tile_x7y2_s4beg[14] , \tile_x7y2_s4beg[13] , \tile_x7y2_s4beg[12] , \tile_x7y2_s4beg[11] , \tile_x7y2_s4beg[10] , \tile_x7y2_s4beg[9] , \tile_x7y2_s4beg[8] , \tile_x7y2_s4beg[7] , \tile_x7y2_s4beg[6] , \tile_x7y2_s4beg[5] , \tile_x7y2_s4beg[4] , \tile_x7y2_s4beg[3] , \tile_x7y2_s4beg[2] , \tile_x7y2_s4beg[1] , \tile_x7y2_s4beg[0] }),
.ss4beg({ \tile_x7y3_ss4beg[15] , \tile_x7y3_ss4beg[14] , \tile_x7y3_ss4beg[13] , \tile_x7y3_ss4beg[12] , \tile_x7y3_ss4beg[11] , \tile_x7y3_ss4beg[10] , \tile_x7y3_ss4beg[9] , \tile_x7y3_ss4beg[8] , \tile_x7y3_ss4beg[7] , \tile_x7y3_ss4beg[6] , \tile_x7y3_ss4beg[5] , \tile_x7y3_ss4beg[4] , \tile_x7y3_ss4beg[3] , \tile_x7y3_ss4beg[2] , \tile_x7y3_ss4beg[1] , \tile_x7y3_ss4beg[0] }),
.ss4end({ \tile_x7y2_ss4beg[15] , \tile_x7y2_ss4beg[14] , \tile_x7y2_ss4beg[13] , \tile_x7y2_ss4beg[12] , \tile_x7y2_ss4beg[11] , \tile_x7y2_ss4beg[10] , \tile_x7y2_ss4beg[9] , \tile_x7y2_ss4beg[8] , \tile_x7y2_ss4beg[7] , \tile_x7y2_ss4beg[6] , \tile_x7y2_ss4beg[5] , \tile_x7y2_ss4beg[4] , \tile_x7y2_ss4beg[3] , \tile_x7y2_ss4beg[2] , \tile_x7y2_ss4beg[1] , \tile_x7y2_ss4beg[0] }),
.userclk(tile_x7y4_userclko),
.userclko(tile_x7y3_userclko),
.w1beg({ \tile_x7y3_w1beg[3] , \tile_x7y3_w1beg[2] , \tile_x7y3_w1beg[1] , \tile_x7y3_w1beg[0] }),
.w1end({ \tile_x8y3_w1beg[3] , \tile_x8y3_w1beg[2] , \tile_x8y3_w1beg[1] , \tile_x8y3_w1beg[0] }),
.w2beg({ \tile_x7y3_w2beg[7] , \tile_x7y3_w2beg[6] , \tile_x7y3_w2beg[5] , \tile_x7y3_w2beg[4] , \tile_x7y3_w2beg[3] , \tile_x7y3_w2beg[2] , \tile_x7y3_w2beg[1] , \tile_x7y3_w2beg[0] }),
.w2begb({ \tile_x7y3_w2begb[7] , \tile_x7y3_w2begb[6] , \tile_x7y3_w2begb[5] , \tile_x7y3_w2begb[4] , \tile_x7y3_w2begb[3] , \tile_x7y3_w2begb[2] , \tile_x7y3_w2begb[1] , \tile_x7y3_w2begb[0] }),
.w2end({ \tile_x8y3_w2begb[7] , \tile_x8y3_w2begb[6] , \tile_x8y3_w2begb[5] , \tile_x8y3_w2begb[4] , \tile_x8y3_w2begb[3] , \tile_x8y3_w2begb[2] , \tile_x8y3_w2begb[1] , \tile_x8y3_w2begb[0] }),
.w2mid({ \tile_x8y3_w2beg[7] , \tile_x8y3_w2beg[6] , \tile_x8y3_w2beg[5] , \tile_x8y3_w2beg[4] , \tile_x8y3_w2beg[3] , \tile_x8y3_w2beg[2] , \tile_x8y3_w2beg[1] , \tile_x8y3_w2beg[0] }),
.w6beg({ \tile_x7y3_w6beg[11] , \tile_x7y3_w6beg[10] , \tile_x7y3_w6beg[9] , \tile_x7y3_w6beg[8] , \tile_x7y3_w6beg[7] , \tile_x7y3_w6beg[6] , \tile_x7y3_w6beg[5] , \tile_x7y3_w6beg[4] , \tile_x7y3_w6beg[3] , \tile_x7y3_w6beg[2] , \tile_x7y3_w6beg[1] , \tile_x7y3_w6beg[0] }),
.w6end({ \tile_x8y3_w6beg[11] , \tile_x8y3_w6beg[10] , \tile_x8y3_w6beg[9] , \tile_x8y3_w6beg[8] , \tile_x8y3_w6beg[7] , \tile_x8y3_w6beg[6] , \tile_x8y3_w6beg[5] , \tile_x8y3_w6beg[4] , \tile_x8y3_w6beg[3] , \tile_x8y3_w6beg[2] , \tile_x8y3_w6beg[1] , \tile_x8y3_w6beg[0] }),
.ww4beg({ \tile_x7y3_ww4beg[15] , \tile_x7y3_ww4beg[14] , \tile_x7y3_ww4beg[13] , \tile_x7y3_ww4beg[12] , \tile_x7y3_ww4beg[11] , \tile_x7y3_ww4beg[10] , \tile_x7y3_ww4beg[9] , \tile_x7y3_ww4beg[8] , \tile_x7y3_ww4beg[7] , \tile_x7y3_ww4beg[6] , \tile_x7y3_ww4beg[5] , \tile_x7y3_ww4beg[4] , \tile_x7y3_ww4beg[3] , \tile_x7y3_ww4beg[2] , \tile_x7y3_ww4beg[1] , \tile_x7y3_ww4beg[0] }),
.ww4end({ \tile_x8y3_ww4beg[15] , \tile_x8y3_ww4beg[14] , \tile_x8y3_ww4beg[13] , \tile_x8y3_ww4beg[12] , \tile_x8y3_ww4beg[11] , \tile_x8y3_ww4beg[10] , \tile_x8y3_ww4beg[9] , \tile_x8y3_ww4beg[8] , \tile_x8y3_ww4beg[7] , \tile_x8y3_ww4beg[6] , \tile_x8y3_ww4beg[5] , \tile_x8y3_ww4beg[4] , \tile_x8y3_ww4beg[3] , \tile_x8y3_ww4beg[2] , \tile_x8y3_ww4beg[1] , \tile_x8y3_ww4beg[0] })
);
lut4ab tile_x7y4_lut4ab (
.ci(tile_x7y5_co),
.co(tile_x7y4_co),
.e1beg({ \tile_x7y4_e1beg[3] , \tile_x7y4_e1beg[2] , \tile_x7y4_e1beg[1] , \tile_x7y4_e1beg[0] }),
.e1end({ \tile_x6y4_e1beg[3] , \tile_x6y4_e1beg[2] , \tile_x6y4_e1beg[1] , \tile_x6y4_e1beg[0] }),
.e2beg({ \tile_x7y4_e2beg[7] , \tile_x7y4_e2beg[6] , \tile_x7y4_e2beg[5] , \tile_x7y4_e2beg[4] , \tile_x7y4_e2beg[3] , \tile_x7y4_e2beg[2] , \tile_x7y4_e2beg[1] , \tile_x7y4_e2beg[0] }),
.e2begb({ \tile_x7y4_e2begb[7] , \tile_x7y4_e2begb[6] , \tile_x7y4_e2begb[5] , \tile_x7y4_e2begb[4] , \tile_x7y4_e2begb[3] , \tile_x7y4_e2begb[2] , \tile_x7y4_e2begb[1] , \tile_x7y4_e2begb[0] }),
.e2end({ \tile_x6y4_e2begb[7] , \tile_x6y4_e2begb[6] , \tile_x6y4_e2begb[5] , \tile_x6y4_e2begb[4] , \tile_x6y4_e2begb[3] , \tile_x6y4_e2begb[2] , \tile_x6y4_e2begb[1] , \tile_x6y4_e2begb[0] }),
.e2mid({ \tile_x6y4_e2beg[7] , \tile_x6y4_e2beg[6] , \tile_x6y4_e2beg[5] , \tile_x6y4_e2beg[4] , \tile_x6y4_e2beg[3] , \tile_x6y4_e2beg[2] , \tile_x6y4_e2beg[1] , \tile_x6y4_e2beg[0] }),
.e6beg({ \tile_x7y4_e6beg[11] , \tile_x7y4_e6beg[10] , \tile_x7y4_e6beg[9] , \tile_x7y4_e6beg[8] , \tile_x7y4_e6beg[7] , \tile_x7y4_e6beg[6] , \tile_x7y4_e6beg[5] , \tile_x7y4_e6beg[4] , \tile_x7y4_e6beg[3] , \tile_x7y4_e6beg[2] , \tile_x7y4_e6beg[1] , \tile_x7y4_e6beg[0] }),
.e6end({ \tile_x6y4_e6beg[11] , \tile_x6y4_e6beg[10] , \tile_x6y4_e6beg[9] , \tile_x6y4_e6beg[8] , \tile_x6y4_e6beg[7] , \tile_x6y4_e6beg[6] , \tile_x6y4_e6beg[5] , \tile_x6y4_e6beg[4] , \tile_x6y4_e6beg[3] , \tile_x6y4_e6beg[2] , \tile_x6y4_e6beg[1] , \tile_x6y4_e6beg[0] }),
.ee4beg({ \tile_x7y4_ee4beg[15] , \tile_x7y4_ee4beg[14] , \tile_x7y4_ee4beg[13] , \tile_x7y4_ee4beg[12] , \tile_x7y4_ee4beg[11] , \tile_x7y4_ee4beg[10] , \tile_x7y4_ee4beg[9] , \tile_x7y4_ee4beg[8] , \tile_x7y4_ee4beg[7] , \tile_x7y4_ee4beg[6] , \tile_x7y4_ee4beg[5] , \tile_x7y4_ee4beg[4] , \tile_x7y4_ee4beg[3] , \tile_x7y4_ee4beg[2] , \tile_x7y4_ee4beg[1] , \tile_x7y4_ee4beg[0] }),
.ee4end({ \tile_x6y4_ee4beg[15] , \tile_x6y4_ee4beg[14] , \tile_x6y4_ee4beg[13] , \tile_x6y4_ee4beg[12] , \tile_x6y4_ee4beg[11] , \tile_x6y4_ee4beg[10] , \tile_x6y4_ee4beg[9] , \tile_x6y4_ee4beg[8] , \tile_x6y4_ee4beg[7] , \tile_x6y4_ee4beg[6] , \tile_x6y4_ee4beg[5] , \tile_x6y4_ee4beg[4] , \tile_x6y4_ee4beg[3] , \tile_x6y4_ee4beg[2] , \tile_x6y4_ee4beg[1] , \tile_x6y4_ee4beg[0] }),
.framedata({ \tile_x6y4_framedata_o[31] , \tile_x6y4_framedata_o[30] , \tile_x6y4_framedata_o[29] , \tile_x6y4_framedata_o[28] , \tile_x6y4_framedata_o[27] , \tile_x6y4_framedata_o[26] , \tile_x6y4_framedata_o[25] , \tile_x6y4_framedata_o[24] , \tile_x6y4_framedata_o[23] , \tile_x6y4_framedata_o[22] , \tile_x6y4_framedata_o[21] , \tile_x6y4_framedata_o[20] , \tile_x6y4_framedata_o[19] , \tile_x6y4_framedata_o[18] , \tile_x6y4_framedata_o[17] , \tile_x6y4_framedata_o[16] , \tile_x6y4_framedata_o[15] , \tile_x6y4_framedata_o[14] , \tile_x6y4_framedata_o[13] , \tile_x6y4_framedata_o[12] , \tile_x6y4_framedata_o[11] , \tile_x6y4_framedata_o[10] , \tile_x6y4_framedata_o[9] , \tile_x6y4_framedata_o[8] , \tile_x6y4_framedata_o[7] , \tile_x6y4_framedata_o[6] , \tile_x6y4_framedata_o[5] , \tile_x6y4_framedata_o[4] , \tile_x6y4_framedata_o[3] , \tile_x6y4_framedata_o[2] , \tile_x6y4_framedata_o[1] , \tile_x6y4_framedata_o[0] }),
.framedata_o({ \tile_x7y4_framedata_o[31] , \tile_x7y4_framedata_o[30] , \tile_x7y4_framedata_o[29] , \tile_x7y4_framedata_o[28] , \tile_x7y4_framedata_o[27] , \tile_x7y4_framedata_o[26] , \tile_x7y4_framedata_o[25] , \tile_x7y4_framedata_o[24] , \tile_x7y4_framedata_o[23] , \tile_x7y4_framedata_o[22] , \tile_x7y4_framedata_o[21] , \tile_x7y4_framedata_o[20] , \tile_x7y4_framedata_o[19] , \tile_x7y4_framedata_o[18] , \tile_x7y4_framedata_o[17] , \tile_x7y4_framedata_o[16] , \tile_x7y4_framedata_o[15] , \tile_x7y4_framedata_o[14] , \tile_x7y4_framedata_o[13] , \tile_x7y4_framedata_o[12] , \tile_x7y4_framedata_o[11] , \tile_x7y4_framedata_o[10] , \tile_x7y4_framedata_o[9] , \tile_x7y4_framedata_o[8] , \tile_x7y4_framedata_o[7] , \tile_x7y4_framedata_o[6] , \tile_x7y4_framedata_o[5] , \tile_x7y4_framedata_o[4] , \tile_x7y4_framedata_o[3] , \tile_x7y4_framedata_o[2] , \tile_x7y4_framedata_o[1] , \tile_x7y4_framedata_o[0] }),
.framestrobe({ \tile_x7y5_framestrobe_o[19] , \tile_x7y5_framestrobe_o[18] , \tile_x7y5_framestrobe_o[17] , \tile_x7y5_framestrobe_o[16] , \tile_x7y5_framestrobe_o[15] , \tile_x7y5_framestrobe_o[14] , \tile_x7y5_framestrobe_o[13] , \tile_x7y5_framestrobe_o[12] , \tile_x7y5_framestrobe_o[11] , \tile_x7y5_framestrobe_o[10] , \tile_x7y5_framestrobe_o[9] , \tile_x7y5_framestrobe_o[8] , \tile_x7y5_framestrobe_o[7] , \tile_x7y5_framestrobe_o[6] , \tile_x7y5_framestrobe_o[5] , \tile_x7y5_framestrobe_o[4] , \tile_x7y5_framestrobe_o[3] , \tile_x7y5_framestrobe_o[2] , \tile_x7y5_framestrobe_o[1] , \tile_x7y5_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y4_framestrobe_o[19] , \tile_x7y4_framestrobe_o[18] , \tile_x7y4_framestrobe_o[17] , \tile_x7y4_framestrobe_o[16] , \tile_x7y4_framestrobe_o[15] , \tile_x7y4_framestrobe_o[14] , \tile_x7y4_framestrobe_o[13] , \tile_x7y4_framestrobe_o[12] , \tile_x7y4_framestrobe_o[11] , \tile_x7y4_framestrobe_o[10] , \tile_x7y4_framestrobe_o[9] , \tile_x7y4_framestrobe_o[8] , \tile_x7y4_framestrobe_o[7] , \tile_x7y4_framestrobe_o[6] , \tile_x7y4_framestrobe_o[5] , \tile_x7y4_framestrobe_o[4] , \tile_x7y4_framestrobe_o[3] , \tile_x7y4_framestrobe_o[2] , \tile_x7y4_framestrobe_o[1] , \tile_x7y4_framestrobe_o[0] }),
.n1beg({ \tile_x7y4_n1beg[3] , \tile_x7y4_n1beg[2] , \tile_x7y4_n1beg[1] , \tile_x7y4_n1beg[0] }),
.n1end({ \tile_x7y5_n1beg[3] , \tile_x7y5_n1beg[2] , \tile_x7y5_n1beg[1] , \tile_x7y5_n1beg[0] }),
.n2beg({ \tile_x7y4_n2beg[7] , \tile_x7y4_n2beg[6] , \tile_x7y4_n2beg[5] , \tile_x7y4_n2beg[4] , \tile_x7y4_n2beg[3] , \tile_x7y4_n2beg[2] , \tile_x7y4_n2beg[1] , \tile_x7y4_n2beg[0] }),
.n2begb({ \tile_x7y4_n2begb[7] , \tile_x7y4_n2begb[6] , \tile_x7y4_n2begb[5] , \tile_x7y4_n2begb[4] , \tile_x7y4_n2begb[3] , \tile_x7y4_n2begb[2] , \tile_x7y4_n2begb[1] , \tile_x7y4_n2begb[0] }),
.n2end({ \tile_x7y5_n2begb[7] , \tile_x7y5_n2begb[6] , \tile_x7y5_n2begb[5] , \tile_x7y5_n2begb[4] , \tile_x7y5_n2begb[3] , \tile_x7y5_n2begb[2] , \tile_x7y5_n2begb[1] , \tile_x7y5_n2begb[0] }),
.n2mid({ \tile_x7y5_n2beg[7] , \tile_x7y5_n2beg[6] , \tile_x7y5_n2beg[5] , \tile_x7y5_n2beg[4] , \tile_x7y5_n2beg[3] , \tile_x7y5_n2beg[2] , \tile_x7y5_n2beg[1] , \tile_x7y5_n2beg[0] }),
.n4beg({ \tile_x7y4_n4beg[15] , \tile_x7y4_n4beg[14] , \tile_x7y4_n4beg[13] , \tile_x7y4_n4beg[12] , \tile_x7y4_n4beg[11] , \tile_x7y4_n4beg[10] , \tile_x7y4_n4beg[9] , \tile_x7y4_n4beg[8] , \tile_x7y4_n4beg[7] , \tile_x7y4_n4beg[6] , \tile_x7y4_n4beg[5] , \tile_x7y4_n4beg[4] , \tile_x7y4_n4beg[3] , \tile_x7y4_n4beg[2] , \tile_x7y4_n4beg[1] , \tile_x7y4_n4beg[0] }),
.n4end({ \tile_x7y5_n4beg[15] , \tile_x7y5_n4beg[14] , \tile_x7y5_n4beg[13] , \tile_x7y5_n4beg[12] , \tile_x7y5_n4beg[11] , \tile_x7y5_n4beg[10] , \tile_x7y5_n4beg[9] , \tile_x7y5_n4beg[8] , \tile_x7y5_n4beg[7] , \tile_x7y5_n4beg[6] , \tile_x7y5_n4beg[5] , \tile_x7y5_n4beg[4] , \tile_x7y5_n4beg[3] , \tile_x7y5_n4beg[2] , \tile_x7y5_n4beg[1] , \tile_x7y5_n4beg[0] }),
.nn4beg({ \tile_x7y4_nn4beg[15] , \tile_x7y4_nn4beg[14] , \tile_x7y4_nn4beg[13] , \tile_x7y4_nn4beg[12] , \tile_x7y4_nn4beg[11] , \tile_x7y4_nn4beg[10] , \tile_x7y4_nn4beg[9] , \tile_x7y4_nn4beg[8] , \tile_x7y4_nn4beg[7] , \tile_x7y4_nn4beg[6] , \tile_x7y4_nn4beg[5] , \tile_x7y4_nn4beg[4] , \tile_x7y4_nn4beg[3] , \tile_x7y4_nn4beg[2] , \tile_x7y4_nn4beg[1] , \tile_x7y4_nn4beg[0] }),
.nn4end({ \tile_x7y5_nn4beg[15] , \tile_x7y5_nn4beg[14] , \tile_x7y5_nn4beg[13] , \tile_x7y5_nn4beg[12] , \tile_x7y5_nn4beg[11] , \tile_x7y5_nn4beg[10] , \tile_x7y5_nn4beg[9] , \tile_x7y5_nn4beg[8] , \tile_x7y5_nn4beg[7] , \tile_x7y5_nn4beg[6] , \tile_x7y5_nn4beg[5] , \tile_x7y5_nn4beg[4] , \tile_x7y5_nn4beg[3] , \tile_x7y5_nn4beg[2] , \tile_x7y5_nn4beg[1] , \tile_x7y5_nn4beg[0] }),
.s1beg({ \tile_x7y4_s1beg[3] , \tile_x7y4_s1beg[2] , \tile_x7y4_s1beg[1] , \tile_x7y4_s1beg[0] }),
.s1end({ \tile_x7y3_s1beg[3] , \tile_x7y3_s1beg[2] , \tile_x7y3_s1beg[1] , \tile_x7y3_s1beg[0] }),
.s2beg({ \tile_x7y4_s2beg[7] , \tile_x7y4_s2beg[6] , \tile_x7y4_s2beg[5] , \tile_x7y4_s2beg[4] , \tile_x7y4_s2beg[3] , \tile_x7y4_s2beg[2] , \tile_x7y4_s2beg[1] , \tile_x7y4_s2beg[0] }),
.s2begb({ \tile_x7y4_s2begb[7] , \tile_x7y4_s2begb[6] , \tile_x7y4_s2begb[5] , \tile_x7y4_s2begb[4] , \tile_x7y4_s2begb[3] , \tile_x7y4_s2begb[2] , \tile_x7y4_s2begb[1] , \tile_x7y4_s2begb[0] }),
.s2end({ \tile_x7y3_s2begb[7] , \tile_x7y3_s2begb[6] , \tile_x7y3_s2begb[5] , \tile_x7y3_s2begb[4] , \tile_x7y3_s2begb[3] , \tile_x7y3_s2begb[2] , \tile_x7y3_s2begb[1] , \tile_x7y3_s2begb[0] }),
.s2mid({ \tile_x7y3_s2beg[7] , \tile_x7y3_s2beg[6] , \tile_x7y3_s2beg[5] , \tile_x7y3_s2beg[4] , \tile_x7y3_s2beg[3] , \tile_x7y3_s2beg[2] , \tile_x7y3_s2beg[1] , \tile_x7y3_s2beg[0] }),
.s4beg({ \tile_x7y4_s4beg[15] , \tile_x7y4_s4beg[14] , \tile_x7y4_s4beg[13] , \tile_x7y4_s4beg[12] , \tile_x7y4_s4beg[11] , \tile_x7y4_s4beg[10] , \tile_x7y4_s4beg[9] , \tile_x7y4_s4beg[8] , \tile_x7y4_s4beg[7] , \tile_x7y4_s4beg[6] , \tile_x7y4_s4beg[5] , \tile_x7y4_s4beg[4] , \tile_x7y4_s4beg[3] , \tile_x7y4_s4beg[2] , \tile_x7y4_s4beg[1] , \tile_x7y4_s4beg[0] }),
.s4end({ \tile_x7y3_s4beg[15] , \tile_x7y3_s4beg[14] , \tile_x7y3_s4beg[13] , \tile_x7y3_s4beg[12] , \tile_x7y3_s4beg[11] , \tile_x7y3_s4beg[10] , \tile_x7y3_s4beg[9] , \tile_x7y3_s4beg[8] , \tile_x7y3_s4beg[7] , \tile_x7y3_s4beg[6] , \tile_x7y3_s4beg[5] , \tile_x7y3_s4beg[4] , \tile_x7y3_s4beg[3] , \tile_x7y3_s4beg[2] , \tile_x7y3_s4beg[1] , \tile_x7y3_s4beg[0] }),
.ss4beg({ \tile_x7y4_ss4beg[15] , \tile_x7y4_ss4beg[14] , \tile_x7y4_ss4beg[13] , \tile_x7y4_ss4beg[12] , \tile_x7y4_ss4beg[11] , \tile_x7y4_ss4beg[10] , \tile_x7y4_ss4beg[9] , \tile_x7y4_ss4beg[8] , \tile_x7y4_ss4beg[7] , \tile_x7y4_ss4beg[6] , \tile_x7y4_ss4beg[5] , \tile_x7y4_ss4beg[4] , \tile_x7y4_ss4beg[3] , \tile_x7y4_ss4beg[2] , \tile_x7y4_ss4beg[1] , \tile_x7y4_ss4beg[0] }),
.ss4end({ \tile_x7y3_ss4beg[15] , \tile_x7y3_ss4beg[14] , \tile_x7y3_ss4beg[13] , \tile_x7y3_ss4beg[12] , \tile_x7y3_ss4beg[11] , \tile_x7y3_ss4beg[10] , \tile_x7y3_ss4beg[9] , \tile_x7y3_ss4beg[8] , \tile_x7y3_ss4beg[7] , \tile_x7y3_ss4beg[6] , \tile_x7y3_ss4beg[5] , \tile_x7y3_ss4beg[4] , \tile_x7y3_ss4beg[3] , \tile_x7y3_ss4beg[2] , \tile_x7y3_ss4beg[1] , \tile_x7y3_ss4beg[0] }),
.userclk(tile_x7y5_userclko),
.userclko(tile_x7y4_userclko),
.w1beg({ \tile_x7y4_w1beg[3] , \tile_x7y4_w1beg[2] , \tile_x7y4_w1beg[1] , \tile_x7y4_w1beg[0] }),
.w1end({ \tile_x8y4_w1beg[3] , \tile_x8y4_w1beg[2] , \tile_x8y4_w1beg[1] , \tile_x8y4_w1beg[0] }),
.w2beg({ \tile_x7y4_w2beg[7] , \tile_x7y4_w2beg[6] , \tile_x7y4_w2beg[5] , \tile_x7y4_w2beg[4] , \tile_x7y4_w2beg[3] , \tile_x7y4_w2beg[2] , \tile_x7y4_w2beg[1] , \tile_x7y4_w2beg[0] }),
.w2begb({ \tile_x7y4_w2begb[7] , \tile_x7y4_w2begb[6] , \tile_x7y4_w2begb[5] , \tile_x7y4_w2begb[4] , \tile_x7y4_w2begb[3] , \tile_x7y4_w2begb[2] , \tile_x7y4_w2begb[1] , \tile_x7y4_w2begb[0] }),
.w2end({ \tile_x8y4_w2begb[7] , \tile_x8y4_w2begb[6] , \tile_x8y4_w2begb[5] , \tile_x8y4_w2begb[4] , \tile_x8y4_w2begb[3] , \tile_x8y4_w2begb[2] , \tile_x8y4_w2begb[1] , \tile_x8y4_w2begb[0] }),
.w2mid({ \tile_x8y4_w2beg[7] , \tile_x8y4_w2beg[6] , \tile_x8y4_w2beg[5] , \tile_x8y4_w2beg[4] , \tile_x8y4_w2beg[3] , \tile_x8y4_w2beg[2] , \tile_x8y4_w2beg[1] , \tile_x8y4_w2beg[0] }),
.w6beg({ \tile_x7y4_w6beg[11] , \tile_x7y4_w6beg[10] , \tile_x7y4_w6beg[9] , \tile_x7y4_w6beg[8] , \tile_x7y4_w6beg[7] , \tile_x7y4_w6beg[6] , \tile_x7y4_w6beg[5] , \tile_x7y4_w6beg[4] , \tile_x7y4_w6beg[3] , \tile_x7y4_w6beg[2] , \tile_x7y4_w6beg[1] , \tile_x7y4_w6beg[0] }),
.w6end({ \tile_x8y4_w6beg[11] , \tile_x8y4_w6beg[10] , \tile_x8y4_w6beg[9] , \tile_x8y4_w6beg[8] , \tile_x8y4_w6beg[7] , \tile_x8y4_w6beg[6] , \tile_x8y4_w6beg[5] , \tile_x8y4_w6beg[4] , \tile_x8y4_w6beg[3] , \tile_x8y4_w6beg[2] , \tile_x8y4_w6beg[1] , \tile_x8y4_w6beg[0] }),
.ww4beg({ \tile_x7y4_ww4beg[15] , \tile_x7y4_ww4beg[14] , \tile_x7y4_ww4beg[13] , \tile_x7y4_ww4beg[12] , \tile_x7y4_ww4beg[11] , \tile_x7y4_ww4beg[10] , \tile_x7y4_ww4beg[9] , \tile_x7y4_ww4beg[8] , \tile_x7y4_ww4beg[7] , \tile_x7y4_ww4beg[6] , \tile_x7y4_ww4beg[5] , \tile_x7y4_ww4beg[4] , \tile_x7y4_ww4beg[3] , \tile_x7y4_ww4beg[2] , \tile_x7y4_ww4beg[1] , \tile_x7y4_ww4beg[0] }),
.ww4end({ \tile_x8y4_ww4beg[15] , \tile_x8y4_ww4beg[14] , \tile_x8y4_ww4beg[13] , \tile_x8y4_ww4beg[12] , \tile_x8y4_ww4beg[11] , \tile_x8y4_ww4beg[10] , \tile_x8y4_ww4beg[9] , \tile_x8y4_ww4beg[8] , \tile_x8y4_ww4beg[7] , \tile_x8y4_ww4beg[6] , \tile_x8y4_ww4beg[5] , \tile_x8y4_ww4beg[4] , \tile_x8y4_ww4beg[3] , \tile_x8y4_ww4beg[2] , \tile_x8y4_ww4beg[1] , \tile_x8y4_ww4beg[0] })
);
lut4ab tile_x7y5_lut4ab (
.ci(tile_x7y6_co),
.co(tile_x7y5_co),
.e1beg({ \tile_x7y5_e1beg[3] , \tile_x7y5_e1beg[2] , \tile_x7y5_e1beg[1] , \tile_x7y5_e1beg[0] }),
.e1end({ \tile_x6y5_e1beg[3] , \tile_x6y5_e1beg[2] , \tile_x6y5_e1beg[1] , \tile_x6y5_e1beg[0] }),
.e2beg({ \tile_x7y5_e2beg[7] , \tile_x7y5_e2beg[6] , \tile_x7y5_e2beg[5] , \tile_x7y5_e2beg[4] , \tile_x7y5_e2beg[3] , \tile_x7y5_e2beg[2] , \tile_x7y5_e2beg[1] , \tile_x7y5_e2beg[0] }),
.e2begb({ \tile_x7y5_e2begb[7] , \tile_x7y5_e2begb[6] , \tile_x7y5_e2begb[5] , \tile_x7y5_e2begb[4] , \tile_x7y5_e2begb[3] , \tile_x7y5_e2begb[2] , \tile_x7y5_e2begb[1] , \tile_x7y5_e2begb[0] }),
.e2end({ \tile_x6y5_e2begb[7] , \tile_x6y5_e2begb[6] , \tile_x6y5_e2begb[5] , \tile_x6y5_e2begb[4] , \tile_x6y5_e2begb[3] , \tile_x6y5_e2begb[2] , \tile_x6y5_e2begb[1] , \tile_x6y5_e2begb[0] }),
.e2mid({ \tile_x6y5_e2beg[7] , \tile_x6y5_e2beg[6] , \tile_x6y5_e2beg[5] , \tile_x6y5_e2beg[4] , \tile_x6y5_e2beg[3] , \tile_x6y5_e2beg[2] , \tile_x6y5_e2beg[1] , \tile_x6y5_e2beg[0] }),
.e6beg({ \tile_x7y5_e6beg[11] , \tile_x7y5_e6beg[10] , \tile_x7y5_e6beg[9] , \tile_x7y5_e6beg[8] , \tile_x7y5_e6beg[7] , \tile_x7y5_e6beg[6] , \tile_x7y5_e6beg[5] , \tile_x7y5_e6beg[4] , \tile_x7y5_e6beg[3] , \tile_x7y5_e6beg[2] , \tile_x7y5_e6beg[1] , \tile_x7y5_e6beg[0] }),
.e6end({ \tile_x6y5_e6beg[11] , \tile_x6y5_e6beg[10] , \tile_x6y5_e6beg[9] , \tile_x6y5_e6beg[8] , \tile_x6y5_e6beg[7] , \tile_x6y5_e6beg[6] , \tile_x6y5_e6beg[5] , \tile_x6y5_e6beg[4] , \tile_x6y5_e6beg[3] , \tile_x6y5_e6beg[2] , \tile_x6y5_e6beg[1] , \tile_x6y5_e6beg[0] }),
.ee4beg({ \tile_x7y5_ee4beg[15] , \tile_x7y5_ee4beg[14] , \tile_x7y5_ee4beg[13] , \tile_x7y5_ee4beg[12] , \tile_x7y5_ee4beg[11] , \tile_x7y5_ee4beg[10] , \tile_x7y5_ee4beg[9] , \tile_x7y5_ee4beg[8] , \tile_x7y5_ee4beg[7] , \tile_x7y5_ee4beg[6] , \tile_x7y5_ee4beg[5] , \tile_x7y5_ee4beg[4] , \tile_x7y5_ee4beg[3] , \tile_x7y5_ee4beg[2] , \tile_x7y5_ee4beg[1] , \tile_x7y5_ee4beg[0] }),
.ee4end({ \tile_x6y5_ee4beg[15] , \tile_x6y5_ee4beg[14] , \tile_x6y5_ee4beg[13] , \tile_x6y5_ee4beg[12] , \tile_x6y5_ee4beg[11] , \tile_x6y5_ee4beg[10] , \tile_x6y5_ee4beg[9] , \tile_x6y5_ee4beg[8] , \tile_x6y5_ee4beg[7] , \tile_x6y5_ee4beg[6] , \tile_x6y5_ee4beg[5] , \tile_x6y5_ee4beg[4] , \tile_x6y5_ee4beg[3] , \tile_x6y5_ee4beg[2] , \tile_x6y5_ee4beg[1] , \tile_x6y5_ee4beg[0] }),
.framedata({ \tile_x6y5_framedata_o[31] , \tile_x6y5_framedata_o[30] , \tile_x6y5_framedata_o[29] , \tile_x6y5_framedata_o[28] , \tile_x6y5_framedata_o[27] , \tile_x6y5_framedata_o[26] , \tile_x6y5_framedata_o[25] , \tile_x6y5_framedata_o[24] , \tile_x6y5_framedata_o[23] , \tile_x6y5_framedata_o[22] , \tile_x6y5_framedata_o[21] , \tile_x6y5_framedata_o[20] , \tile_x6y5_framedata_o[19] , \tile_x6y5_framedata_o[18] , \tile_x6y5_framedata_o[17] , \tile_x6y5_framedata_o[16] , \tile_x6y5_framedata_o[15] , \tile_x6y5_framedata_o[14] , \tile_x6y5_framedata_o[13] , \tile_x6y5_framedata_o[12] , \tile_x6y5_framedata_o[11] , \tile_x6y5_framedata_o[10] , \tile_x6y5_framedata_o[9] , \tile_x6y5_framedata_o[8] , \tile_x6y5_framedata_o[7] , \tile_x6y5_framedata_o[6] , \tile_x6y5_framedata_o[5] , \tile_x6y5_framedata_o[4] , \tile_x6y5_framedata_o[3] , \tile_x6y5_framedata_o[2] , \tile_x6y5_framedata_o[1] , \tile_x6y5_framedata_o[0] }),
.framedata_o({ \tile_x7y5_framedata_o[31] , \tile_x7y5_framedata_o[30] , \tile_x7y5_framedata_o[29] , \tile_x7y5_framedata_o[28] , \tile_x7y5_framedata_o[27] , \tile_x7y5_framedata_o[26] , \tile_x7y5_framedata_o[25] , \tile_x7y5_framedata_o[24] , \tile_x7y5_framedata_o[23] , \tile_x7y5_framedata_o[22] , \tile_x7y5_framedata_o[21] , \tile_x7y5_framedata_o[20] , \tile_x7y5_framedata_o[19] , \tile_x7y5_framedata_o[18] , \tile_x7y5_framedata_o[17] , \tile_x7y5_framedata_o[16] , \tile_x7y5_framedata_o[15] , \tile_x7y5_framedata_o[14] , \tile_x7y5_framedata_o[13] , \tile_x7y5_framedata_o[12] , \tile_x7y5_framedata_o[11] , \tile_x7y5_framedata_o[10] , \tile_x7y5_framedata_o[9] , \tile_x7y5_framedata_o[8] , \tile_x7y5_framedata_o[7] , \tile_x7y5_framedata_o[6] , \tile_x7y5_framedata_o[5] , \tile_x7y5_framedata_o[4] , \tile_x7y5_framedata_o[3] , \tile_x7y5_framedata_o[2] , \tile_x7y5_framedata_o[1] , \tile_x7y5_framedata_o[0] }),
.framestrobe({ \tile_x7y6_framestrobe_o[19] , \tile_x7y6_framestrobe_o[18] , \tile_x7y6_framestrobe_o[17] , \tile_x7y6_framestrobe_o[16] , \tile_x7y6_framestrobe_o[15] , \tile_x7y6_framestrobe_o[14] , \tile_x7y6_framestrobe_o[13] , \tile_x7y6_framestrobe_o[12] , \tile_x7y6_framestrobe_o[11] , \tile_x7y6_framestrobe_o[10] , \tile_x7y6_framestrobe_o[9] , \tile_x7y6_framestrobe_o[8] , \tile_x7y6_framestrobe_o[7] , \tile_x7y6_framestrobe_o[6] , \tile_x7y6_framestrobe_o[5] , \tile_x7y6_framestrobe_o[4] , \tile_x7y6_framestrobe_o[3] , \tile_x7y6_framestrobe_o[2] , \tile_x7y6_framestrobe_o[1] , \tile_x7y6_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y5_framestrobe_o[19] , \tile_x7y5_framestrobe_o[18] , \tile_x7y5_framestrobe_o[17] , \tile_x7y5_framestrobe_o[16] , \tile_x7y5_framestrobe_o[15] , \tile_x7y5_framestrobe_o[14] , \tile_x7y5_framestrobe_o[13] , \tile_x7y5_framestrobe_o[12] , \tile_x7y5_framestrobe_o[11] , \tile_x7y5_framestrobe_o[10] , \tile_x7y5_framestrobe_o[9] , \tile_x7y5_framestrobe_o[8] , \tile_x7y5_framestrobe_o[7] , \tile_x7y5_framestrobe_o[6] , \tile_x7y5_framestrobe_o[5] , \tile_x7y5_framestrobe_o[4] , \tile_x7y5_framestrobe_o[3] , \tile_x7y5_framestrobe_o[2] , \tile_x7y5_framestrobe_o[1] , \tile_x7y5_framestrobe_o[0] }),
.n1beg({ \tile_x7y5_n1beg[3] , \tile_x7y5_n1beg[2] , \tile_x7y5_n1beg[1] , \tile_x7y5_n1beg[0] }),
.n1end({ \tile_x7y6_n1beg[3] , \tile_x7y6_n1beg[2] , \tile_x7y6_n1beg[1] , \tile_x7y6_n1beg[0] }),
.n2beg({ \tile_x7y5_n2beg[7] , \tile_x7y5_n2beg[6] , \tile_x7y5_n2beg[5] , \tile_x7y5_n2beg[4] , \tile_x7y5_n2beg[3] , \tile_x7y5_n2beg[2] , \tile_x7y5_n2beg[1] , \tile_x7y5_n2beg[0] }),
.n2begb({ \tile_x7y5_n2begb[7] , \tile_x7y5_n2begb[6] , \tile_x7y5_n2begb[5] , \tile_x7y5_n2begb[4] , \tile_x7y5_n2begb[3] , \tile_x7y5_n2begb[2] , \tile_x7y5_n2begb[1] , \tile_x7y5_n2begb[0] }),
.n2end({ \tile_x7y6_n2begb[7] , \tile_x7y6_n2begb[6] , \tile_x7y6_n2begb[5] , \tile_x7y6_n2begb[4] , \tile_x7y6_n2begb[3] , \tile_x7y6_n2begb[2] , \tile_x7y6_n2begb[1] , \tile_x7y6_n2begb[0] }),
.n2mid({ \tile_x7y6_n2beg[7] , \tile_x7y6_n2beg[6] , \tile_x7y6_n2beg[5] , \tile_x7y6_n2beg[4] , \tile_x7y6_n2beg[3] , \tile_x7y6_n2beg[2] , \tile_x7y6_n2beg[1] , \tile_x7y6_n2beg[0] }),
.n4beg({ \tile_x7y5_n4beg[15] , \tile_x7y5_n4beg[14] , \tile_x7y5_n4beg[13] , \tile_x7y5_n4beg[12] , \tile_x7y5_n4beg[11] , \tile_x7y5_n4beg[10] , \tile_x7y5_n4beg[9] , \tile_x7y5_n4beg[8] , \tile_x7y5_n4beg[7] , \tile_x7y5_n4beg[6] , \tile_x7y5_n4beg[5] , \tile_x7y5_n4beg[4] , \tile_x7y5_n4beg[3] , \tile_x7y5_n4beg[2] , \tile_x7y5_n4beg[1] , \tile_x7y5_n4beg[0] }),
.n4end({ \tile_x7y6_n4beg[15] , \tile_x7y6_n4beg[14] , \tile_x7y6_n4beg[13] , \tile_x7y6_n4beg[12] , \tile_x7y6_n4beg[11] , \tile_x7y6_n4beg[10] , \tile_x7y6_n4beg[9] , \tile_x7y6_n4beg[8] , \tile_x7y6_n4beg[7] , \tile_x7y6_n4beg[6] , \tile_x7y6_n4beg[5] , \tile_x7y6_n4beg[4] , \tile_x7y6_n4beg[3] , \tile_x7y6_n4beg[2] , \tile_x7y6_n4beg[1] , \tile_x7y6_n4beg[0] }),
.nn4beg({ \tile_x7y5_nn4beg[15] , \tile_x7y5_nn4beg[14] , \tile_x7y5_nn4beg[13] , \tile_x7y5_nn4beg[12] , \tile_x7y5_nn4beg[11] , \tile_x7y5_nn4beg[10] , \tile_x7y5_nn4beg[9] , \tile_x7y5_nn4beg[8] , \tile_x7y5_nn4beg[7] , \tile_x7y5_nn4beg[6] , \tile_x7y5_nn4beg[5] , \tile_x7y5_nn4beg[4] , \tile_x7y5_nn4beg[3] , \tile_x7y5_nn4beg[2] , \tile_x7y5_nn4beg[1] , \tile_x7y5_nn4beg[0] }),
.nn4end({ \tile_x7y6_nn4beg[15] , \tile_x7y6_nn4beg[14] , \tile_x7y6_nn4beg[13] , \tile_x7y6_nn4beg[12] , \tile_x7y6_nn4beg[11] , \tile_x7y6_nn4beg[10] , \tile_x7y6_nn4beg[9] , \tile_x7y6_nn4beg[8] , \tile_x7y6_nn4beg[7] , \tile_x7y6_nn4beg[6] , \tile_x7y6_nn4beg[5] , \tile_x7y6_nn4beg[4] , \tile_x7y6_nn4beg[3] , \tile_x7y6_nn4beg[2] , \tile_x7y6_nn4beg[1] , \tile_x7y6_nn4beg[0] }),
.s1beg({ \tile_x7y5_s1beg[3] , \tile_x7y5_s1beg[2] , \tile_x7y5_s1beg[1] , \tile_x7y5_s1beg[0] }),
.s1end({ \tile_x7y4_s1beg[3] , \tile_x7y4_s1beg[2] , \tile_x7y4_s1beg[1] , \tile_x7y4_s1beg[0] }),
.s2beg({ \tile_x7y5_s2beg[7] , \tile_x7y5_s2beg[6] , \tile_x7y5_s2beg[5] , \tile_x7y5_s2beg[4] , \tile_x7y5_s2beg[3] , \tile_x7y5_s2beg[2] , \tile_x7y5_s2beg[1] , \tile_x7y5_s2beg[0] }),
.s2begb({ \tile_x7y5_s2begb[7] , \tile_x7y5_s2begb[6] , \tile_x7y5_s2begb[5] , \tile_x7y5_s2begb[4] , \tile_x7y5_s2begb[3] , \tile_x7y5_s2begb[2] , \tile_x7y5_s2begb[1] , \tile_x7y5_s2begb[0] }),
.s2end({ \tile_x7y4_s2begb[7] , \tile_x7y4_s2begb[6] , \tile_x7y4_s2begb[5] , \tile_x7y4_s2begb[4] , \tile_x7y4_s2begb[3] , \tile_x7y4_s2begb[2] , \tile_x7y4_s2begb[1] , \tile_x7y4_s2begb[0] }),
.s2mid({ \tile_x7y4_s2beg[7] , \tile_x7y4_s2beg[6] , \tile_x7y4_s2beg[5] , \tile_x7y4_s2beg[4] , \tile_x7y4_s2beg[3] , \tile_x7y4_s2beg[2] , \tile_x7y4_s2beg[1] , \tile_x7y4_s2beg[0] }),
.s4beg({ \tile_x7y5_s4beg[15] , \tile_x7y5_s4beg[14] , \tile_x7y5_s4beg[13] , \tile_x7y5_s4beg[12] , \tile_x7y5_s4beg[11] , \tile_x7y5_s4beg[10] , \tile_x7y5_s4beg[9] , \tile_x7y5_s4beg[8] , \tile_x7y5_s4beg[7] , \tile_x7y5_s4beg[6] , \tile_x7y5_s4beg[5] , \tile_x7y5_s4beg[4] , \tile_x7y5_s4beg[3] , \tile_x7y5_s4beg[2] , \tile_x7y5_s4beg[1] , \tile_x7y5_s4beg[0] }),
.s4end({ \tile_x7y4_s4beg[15] , \tile_x7y4_s4beg[14] , \tile_x7y4_s4beg[13] , \tile_x7y4_s4beg[12] , \tile_x7y4_s4beg[11] , \tile_x7y4_s4beg[10] , \tile_x7y4_s4beg[9] , \tile_x7y4_s4beg[8] , \tile_x7y4_s4beg[7] , \tile_x7y4_s4beg[6] , \tile_x7y4_s4beg[5] , \tile_x7y4_s4beg[4] , \tile_x7y4_s4beg[3] , \tile_x7y4_s4beg[2] , \tile_x7y4_s4beg[1] , \tile_x7y4_s4beg[0] }),
.ss4beg({ \tile_x7y5_ss4beg[15] , \tile_x7y5_ss4beg[14] , \tile_x7y5_ss4beg[13] , \tile_x7y5_ss4beg[12] , \tile_x7y5_ss4beg[11] , \tile_x7y5_ss4beg[10] , \tile_x7y5_ss4beg[9] , \tile_x7y5_ss4beg[8] , \tile_x7y5_ss4beg[7] , \tile_x7y5_ss4beg[6] , \tile_x7y5_ss4beg[5] , \tile_x7y5_ss4beg[4] , \tile_x7y5_ss4beg[3] , \tile_x7y5_ss4beg[2] , \tile_x7y5_ss4beg[1] , \tile_x7y5_ss4beg[0] }),
.ss4end({ \tile_x7y4_ss4beg[15] , \tile_x7y4_ss4beg[14] , \tile_x7y4_ss4beg[13] , \tile_x7y4_ss4beg[12] , \tile_x7y4_ss4beg[11] , \tile_x7y4_ss4beg[10] , \tile_x7y4_ss4beg[9] , \tile_x7y4_ss4beg[8] , \tile_x7y4_ss4beg[7] , \tile_x7y4_ss4beg[6] , \tile_x7y4_ss4beg[5] , \tile_x7y4_ss4beg[4] , \tile_x7y4_ss4beg[3] , \tile_x7y4_ss4beg[2] , \tile_x7y4_ss4beg[1] , \tile_x7y4_ss4beg[0] }),
.userclk(tile_x7y6_userclko),
.userclko(tile_x7y5_userclko),
.w1beg({ \tile_x7y5_w1beg[3] , \tile_x7y5_w1beg[2] , \tile_x7y5_w1beg[1] , \tile_x7y5_w1beg[0] }),
.w1end({ \tile_x8y5_w1beg[3] , \tile_x8y5_w1beg[2] , \tile_x8y5_w1beg[1] , \tile_x8y5_w1beg[0] }),
.w2beg({ \tile_x7y5_w2beg[7] , \tile_x7y5_w2beg[6] , \tile_x7y5_w2beg[5] , \tile_x7y5_w2beg[4] , \tile_x7y5_w2beg[3] , \tile_x7y5_w2beg[2] , \tile_x7y5_w2beg[1] , \tile_x7y5_w2beg[0] }),
.w2begb({ \tile_x7y5_w2begb[7] , \tile_x7y5_w2begb[6] , \tile_x7y5_w2begb[5] , \tile_x7y5_w2begb[4] , \tile_x7y5_w2begb[3] , \tile_x7y5_w2begb[2] , \tile_x7y5_w2begb[1] , \tile_x7y5_w2begb[0] }),
.w2end({ \tile_x8y5_w2begb[7] , \tile_x8y5_w2begb[6] , \tile_x8y5_w2begb[5] , \tile_x8y5_w2begb[4] , \tile_x8y5_w2begb[3] , \tile_x8y5_w2begb[2] , \tile_x8y5_w2begb[1] , \tile_x8y5_w2begb[0] }),
.w2mid({ \tile_x8y5_w2beg[7] , \tile_x8y5_w2beg[6] , \tile_x8y5_w2beg[5] , \tile_x8y5_w2beg[4] , \tile_x8y5_w2beg[3] , \tile_x8y5_w2beg[2] , \tile_x8y5_w2beg[1] , \tile_x8y5_w2beg[0] }),
.w6beg({ \tile_x7y5_w6beg[11] , \tile_x7y5_w6beg[10] , \tile_x7y5_w6beg[9] , \tile_x7y5_w6beg[8] , \tile_x7y5_w6beg[7] , \tile_x7y5_w6beg[6] , \tile_x7y5_w6beg[5] , \tile_x7y5_w6beg[4] , \tile_x7y5_w6beg[3] , \tile_x7y5_w6beg[2] , \tile_x7y5_w6beg[1] , \tile_x7y5_w6beg[0] }),
.w6end({ \tile_x8y5_w6beg[11] , \tile_x8y5_w6beg[10] , \tile_x8y5_w6beg[9] , \tile_x8y5_w6beg[8] , \tile_x8y5_w6beg[7] , \tile_x8y5_w6beg[6] , \tile_x8y5_w6beg[5] , \tile_x8y5_w6beg[4] , \tile_x8y5_w6beg[3] , \tile_x8y5_w6beg[2] , \tile_x8y5_w6beg[1] , \tile_x8y5_w6beg[0] }),
.ww4beg({ \tile_x7y5_ww4beg[15] , \tile_x7y5_ww4beg[14] , \tile_x7y5_ww4beg[13] , \tile_x7y5_ww4beg[12] , \tile_x7y5_ww4beg[11] , \tile_x7y5_ww4beg[10] , \tile_x7y5_ww4beg[9] , \tile_x7y5_ww4beg[8] , \tile_x7y5_ww4beg[7] , \tile_x7y5_ww4beg[6] , \tile_x7y5_ww4beg[5] , \tile_x7y5_ww4beg[4] , \tile_x7y5_ww4beg[3] , \tile_x7y5_ww4beg[2] , \tile_x7y5_ww4beg[1] , \tile_x7y5_ww4beg[0] }),
.ww4end({ \tile_x8y5_ww4beg[15] , \tile_x8y5_ww4beg[14] , \tile_x8y5_ww4beg[13] , \tile_x8y5_ww4beg[12] , \tile_x8y5_ww4beg[11] , \tile_x8y5_ww4beg[10] , \tile_x8y5_ww4beg[9] , \tile_x8y5_ww4beg[8] , \tile_x8y5_ww4beg[7] , \tile_x8y5_ww4beg[6] , \tile_x8y5_ww4beg[5] , \tile_x8y5_ww4beg[4] , \tile_x8y5_ww4beg[3] , \tile_x8y5_ww4beg[2] , \tile_x8y5_ww4beg[1] , \tile_x8y5_ww4beg[0] })
);
lut4ab tile_x7y6_lut4ab (
.ci(tile_x7y7_co),
.co(tile_x7y6_co),
.e1beg({ \tile_x7y6_e1beg[3] , \tile_x7y6_e1beg[2] , \tile_x7y6_e1beg[1] , \tile_x7y6_e1beg[0] }),
.e1end({ \tile_x6y6_e1beg[3] , \tile_x6y6_e1beg[2] , \tile_x6y6_e1beg[1] , \tile_x6y6_e1beg[0] }),
.e2beg({ \tile_x7y6_e2beg[7] , \tile_x7y6_e2beg[6] , \tile_x7y6_e2beg[5] , \tile_x7y6_e2beg[4] , \tile_x7y6_e2beg[3] , \tile_x7y6_e2beg[2] , \tile_x7y6_e2beg[1] , \tile_x7y6_e2beg[0] }),
.e2begb({ \tile_x7y6_e2begb[7] , \tile_x7y6_e2begb[6] , \tile_x7y6_e2begb[5] , \tile_x7y6_e2begb[4] , \tile_x7y6_e2begb[3] , \tile_x7y6_e2begb[2] , \tile_x7y6_e2begb[1] , \tile_x7y6_e2begb[0] }),
.e2end({ \tile_x6y6_e2begb[7] , \tile_x6y6_e2begb[6] , \tile_x6y6_e2begb[5] , \tile_x6y6_e2begb[4] , \tile_x6y6_e2begb[3] , \tile_x6y6_e2begb[2] , \tile_x6y6_e2begb[1] , \tile_x6y6_e2begb[0] }),
.e2mid({ \tile_x6y6_e2beg[7] , \tile_x6y6_e2beg[6] , \tile_x6y6_e2beg[5] , \tile_x6y6_e2beg[4] , \tile_x6y6_e2beg[3] , \tile_x6y6_e2beg[2] , \tile_x6y6_e2beg[1] , \tile_x6y6_e2beg[0] }),
.e6beg({ \tile_x7y6_e6beg[11] , \tile_x7y6_e6beg[10] , \tile_x7y6_e6beg[9] , \tile_x7y6_e6beg[8] , \tile_x7y6_e6beg[7] , \tile_x7y6_e6beg[6] , \tile_x7y6_e6beg[5] , \tile_x7y6_e6beg[4] , \tile_x7y6_e6beg[3] , \tile_x7y6_e6beg[2] , \tile_x7y6_e6beg[1] , \tile_x7y6_e6beg[0] }),
.e6end({ \tile_x6y6_e6beg[11] , \tile_x6y6_e6beg[10] , \tile_x6y6_e6beg[9] , \tile_x6y6_e6beg[8] , \tile_x6y6_e6beg[7] , \tile_x6y6_e6beg[6] , \tile_x6y6_e6beg[5] , \tile_x6y6_e6beg[4] , \tile_x6y6_e6beg[3] , \tile_x6y6_e6beg[2] , \tile_x6y6_e6beg[1] , \tile_x6y6_e6beg[0] }),
.ee4beg({ \tile_x7y6_ee4beg[15] , \tile_x7y6_ee4beg[14] , \tile_x7y6_ee4beg[13] , \tile_x7y6_ee4beg[12] , \tile_x7y6_ee4beg[11] , \tile_x7y6_ee4beg[10] , \tile_x7y6_ee4beg[9] , \tile_x7y6_ee4beg[8] , \tile_x7y6_ee4beg[7] , \tile_x7y6_ee4beg[6] , \tile_x7y6_ee4beg[5] , \tile_x7y6_ee4beg[4] , \tile_x7y6_ee4beg[3] , \tile_x7y6_ee4beg[2] , \tile_x7y6_ee4beg[1] , \tile_x7y6_ee4beg[0] }),
.ee4end({ \tile_x6y6_ee4beg[15] , \tile_x6y6_ee4beg[14] , \tile_x6y6_ee4beg[13] , \tile_x6y6_ee4beg[12] , \tile_x6y6_ee4beg[11] , \tile_x6y6_ee4beg[10] , \tile_x6y6_ee4beg[9] , \tile_x6y6_ee4beg[8] , \tile_x6y6_ee4beg[7] , \tile_x6y6_ee4beg[6] , \tile_x6y6_ee4beg[5] , \tile_x6y6_ee4beg[4] , \tile_x6y6_ee4beg[3] , \tile_x6y6_ee4beg[2] , \tile_x6y6_ee4beg[1] , \tile_x6y6_ee4beg[0] }),
.framedata({ \tile_x6y6_framedata_o[31] , \tile_x6y6_framedata_o[30] , \tile_x6y6_framedata_o[29] , \tile_x6y6_framedata_o[28] , \tile_x6y6_framedata_o[27] , \tile_x6y6_framedata_o[26] , \tile_x6y6_framedata_o[25] , \tile_x6y6_framedata_o[24] , \tile_x6y6_framedata_o[23] , \tile_x6y6_framedata_o[22] , \tile_x6y6_framedata_o[21] , \tile_x6y6_framedata_o[20] , \tile_x6y6_framedata_o[19] , \tile_x6y6_framedata_o[18] , \tile_x6y6_framedata_o[17] , \tile_x6y6_framedata_o[16] , \tile_x6y6_framedata_o[15] , \tile_x6y6_framedata_o[14] , \tile_x6y6_framedata_o[13] , \tile_x6y6_framedata_o[12] , \tile_x6y6_framedata_o[11] , \tile_x6y6_framedata_o[10] , \tile_x6y6_framedata_o[9] , \tile_x6y6_framedata_o[8] , \tile_x6y6_framedata_o[7] , \tile_x6y6_framedata_o[6] , \tile_x6y6_framedata_o[5] , \tile_x6y6_framedata_o[4] , \tile_x6y6_framedata_o[3] , \tile_x6y6_framedata_o[2] , \tile_x6y6_framedata_o[1] , \tile_x6y6_framedata_o[0] }),
.framedata_o({ \tile_x7y6_framedata_o[31] , \tile_x7y6_framedata_o[30] , \tile_x7y6_framedata_o[29] , \tile_x7y6_framedata_o[28] , \tile_x7y6_framedata_o[27] , \tile_x7y6_framedata_o[26] , \tile_x7y6_framedata_o[25] , \tile_x7y6_framedata_o[24] , \tile_x7y6_framedata_o[23] , \tile_x7y6_framedata_o[22] , \tile_x7y6_framedata_o[21] , \tile_x7y6_framedata_o[20] , \tile_x7y6_framedata_o[19] , \tile_x7y6_framedata_o[18] , \tile_x7y6_framedata_o[17] , \tile_x7y6_framedata_o[16] , \tile_x7y6_framedata_o[15] , \tile_x7y6_framedata_o[14] , \tile_x7y6_framedata_o[13] , \tile_x7y6_framedata_o[12] , \tile_x7y6_framedata_o[11] , \tile_x7y6_framedata_o[10] , \tile_x7y6_framedata_o[9] , \tile_x7y6_framedata_o[8] , \tile_x7y6_framedata_o[7] , \tile_x7y6_framedata_o[6] , \tile_x7y6_framedata_o[5] , \tile_x7y6_framedata_o[4] , \tile_x7y6_framedata_o[3] , \tile_x7y6_framedata_o[2] , \tile_x7y6_framedata_o[1] , \tile_x7y6_framedata_o[0] }),
.framestrobe({ \tile_x7y7_framestrobe_o[19] , \tile_x7y7_framestrobe_o[18] , \tile_x7y7_framestrobe_o[17] , \tile_x7y7_framestrobe_o[16] , \tile_x7y7_framestrobe_o[15] , \tile_x7y7_framestrobe_o[14] , \tile_x7y7_framestrobe_o[13] , \tile_x7y7_framestrobe_o[12] , \tile_x7y7_framestrobe_o[11] , \tile_x7y7_framestrobe_o[10] , \tile_x7y7_framestrobe_o[9] , \tile_x7y7_framestrobe_o[8] , \tile_x7y7_framestrobe_o[7] , \tile_x7y7_framestrobe_o[6] , \tile_x7y7_framestrobe_o[5] , \tile_x7y7_framestrobe_o[4] , \tile_x7y7_framestrobe_o[3] , \tile_x7y7_framestrobe_o[2] , \tile_x7y7_framestrobe_o[1] , \tile_x7y7_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y6_framestrobe_o[19] , \tile_x7y6_framestrobe_o[18] , \tile_x7y6_framestrobe_o[17] , \tile_x7y6_framestrobe_o[16] , \tile_x7y6_framestrobe_o[15] , \tile_x7y6_framestrobe_o[14] , \tile_x7y6_framestrobe_o[13] , \tile_x7y6_framestrobe_o[12] , \tile_x7y6_framestrobe_o[11] , \tile_x7y6_framestrobe_o[10] , \tile_x7y6_framestrobe_o[9] , \tile_x7y6_framestrobe_o[8] , \tile_x7y6_framestrobe_o[7] , \tile_x7y6_framestrobe_o[6] , \tile_x7y6_framestrobe_o[5] , \tile_x7y6_framestrobe_o[4] , \tile_x7y6_framestrobe_o[3] , \tile_x7y6_framestrobe_o[2] , \tile_x7y6_framestrobe_o[1] , \tile_x7y6_framestrobe_o[0] }),
.n1beg({ \tile_x7y6_n1beg[3] , \tile_x7y6_n1beg[2] , \tile_x7y6_n1beg[1] , \tile_x7y6_n1beg[0] }),
.n1end({ \tile_x7y7_n1beg[3] , \tile_x7y7_n1beg[2] , \tile_x7y7_n1beg[1] , \tile_x7y7_n1beg[0] }),
.n2beg({ \tile_x7y6_n2beg[7] , \tile_x7y6_n2beg[6] , \tile_x7y6_n2beg[5] , \tile_x7y6_n2beg[4] , \tile_x7y6_n2beg[3] , \tile_x7y6_n2beg[2] , \tile_x7y6_n2beg[1] , \tile_x7y6_n2beg[0] }),
.n2begb({ \tile_x7y6_n2begb[7] , \tile_x7y6_n2begb[6] , \tile_x7y6_n2begb[5] , \tile_x7y6_n2begb[4] , \tile_x7y6_n2begb[3] , \tile_x7y6_n2begb[2] , \tile_x7y6_n2begb[1] , \tile_x7y6_n2begb[0] }),
.n2end({ \tile_x7y7_n2begb[7] , \tile_x7y7_n2begb[6] , \tile_x7y7_n2begb[5] , \tile_x7y7_n2begb[4] , \tile_x7y7_n2begb[3] , \tile_x7y7_n2begb[2] , \tile_x7y7_n2begb[1] , \tile_x7y7_n2begb[0] }),
.n2mid({ \tile_x7y7_n2beg[7] , \tile_x7y7_n2beg[6] , \tile_x7y7_n2beg[5] , \tile_x7y7_n2beg[4] , \tile_x7y7_n2beg[3] , \tile_x7y7_n2beg[2] , \tile_x7y7_n2beg[1] , \tile_x7y7_n2beg[0] }),
.n4beg({ \tile_x7y6_n4beg[15] , \tile_x7y6_n4beg[14] , \tile_x7y6_n4beg[13] , \tile_x7y6_n4beg[12] , \tile_x7y6_n4beg[11] , \tile_x7y6_n4beg[10] , \tile_x7y6_n4beg[9] , \tile_x7y6_n4beg[8] , \tile_x7y6_n4beg[7] , \tile_x7y6_n4beg[6] , \tile_x7y6_n4beg[5] , \tile_x7y6_n4beg[4] , \tile_x7y6_n4beg[3] , \tile_x7y6_n4beg[2] , \tile_x7y6_n4beg[1] , \tile_x7y6_n4beg[0] }),
.n4end({ \tile_x7y7_n4beg[15] , \tile_x7y7_n4beg[14] , \tile_x7y7_n4beg[13] , \tile_x7y7_n4beg[12] , \tile_x7y7_n4beg[11] , \tile_x7y7_n4beg[10] , \tile_x7y7_n4beg[9] , \tile_x7y7_n4beg[8] , \tile_x7y7_n4beg[7] , \tile_x7y7_n4beg[6] , \tile_x7y7_n4beg[5] , \tile_x7y7_n4beg[4] , \tile_x7y7_n4beg[3] , \tile_x7y7_n4beg[2] , \tile_x7y7_n4beg[1] , \tile_x7y7_n4beg[0] }),
.nn4beg({ \tile_x7y6_nn4beg[15] , \tile_x7y6_nn4beg[14] , \tile_x7y6_nn4beg[13] , \tile_x7y6_nn4beg[12] , \tile_x7y6_nn4beg[11] , \tile_x7y6_nn4beg[10] , \tile_x7y6_nn4beg[9] , \tile_x7y6_nn4beg[8] , \tile_x7y6_nn4beg[7] , \tile_x7y6_nn4beg[6] , \tile_x7y6_nn4beg[5] , \tile_x7y6_nn4beg[4] , \tile_x7y6_nn4beg[3] , \tile_x7y6_nn4beg[2] , \tile_x7y6_nn4beg[1] , \tile_x7y6_nn4beg[0] }),
.nn4end({ \tile_x7y7_nn4beg[15] , \tile_x7y7_nn4beg[14] , \tile_x7y7_nn4beg[13] , \tile_x7y7_nn4beg[12] , \tile_x7y7_nn4beg[11] , \tile_x7y7_nn4beg[10] , \tile_x7y7_nn4beg[9] , \tile_x7y7_nn4beg[8] , \tile_x7y7_nn4beg[7] , \tile_x7y7_nn4beg[6] , \tile_x7y7_nn4beg[5] , \tile_x7y7_nn4beg[4] , \tile_x7y7_nn4beg[3] , \tile_x7y7_nn4beg[2] , \tile_x7y7_nn4beg[1] , \tile_x7y7_nn4beg[0] }),
.s1beg({ \tile_x7y6_s1beg[3] , \tile_x7y6_s1beg[2] , \tile_x7y6_s1beg[1] , \tile_x7y6_s1beg[0] }),
.s1end({ \tile_x7y5_s1beg[3] , \tile_x7y5_s1beg[2] , \tile_x7y5_s1beg[1] , \tile_x7y5_s1beg[0] }),
.s2beg({ \tile_x7y6_s2beg[7] , \tile_x7y6_s2beg[6] , \tile_x7y6_s2beg[5] , \tile_x7y6_s2beg[4] , \tile_x7y6_s2beg[3] , \tile_x7y6_s2beg[2] , \tile_x7y6_s2beg[1] , \tile_x7y6_s2beg[0] }),
.s2begb({ \tile_x7y6_s2begb[7] , \tile_x7y6_s2begb[6] , \tile_x7y6_s2begb[5] , \tile_x7y6_s2begb[4] , \tile_x7y6_s2begb[3] , \tile_x7y6_s2begb[2] , \tile_x7y6_s2begb[1] , \tile_x7y6_s2begb[0] }),
.s2end({ \tile_x7y5_s2begb[7] , \tile_x7y5_s2begb[6] , \tile_x7y5_s2begb[5] , \tile_x7y5_s2begb[4] , \tile_x7y5_s2begb[3] , \tile_x7y5_s2begb[2] , \tile_x7y5_s2begb[1] , \tile_x7y5_s2begb[0] }),
.s2mid({ \tile_x7y5_s2beg[7] , \tile_x7y5_s2beg[6] , \tile_x7y5_s2beg[5] , \tile_x7y5_s2beg[4] , \tile_x7y5_s2beg[3] , \tile_x7y5_s2beg[2] , \tile_x7y5_s2beg[1] , \tile_x7y5_s2beg[0] }),
.s4beg({ \tile_x7y6_s4beg[15] , \tile_x7y6_s4beg[14] , \tile_x7y6_s4beg[13] , \tile_x7y6_s4beg[12] , \tile_x7y6_s4beg[11] , \tile_x7y6_s4beg[10] , \tile_x7y6_s4beg[9] , \tile_x7y6_s4beg[8] , \tile_x7y6_s4beg[7] , \tile_x7y6_s4beg[6] , \tile_x7y6_s4beg[5] , \tile_x7y6_s4beg[4] , \tile_x7y6_s4beg[3] , \tile_x7y6_s4beg[2] , \tile_x7y6_s4beg[1] , \tile_x7y6_s4beg[0] }),
.s4end({ \tile_x7y5_s4beg[15] , \tile_x7y5_s4beg[14] , \tile_x7y5_s4beg[13] , \tile_x7y5_s4beg[12] , \tile_x7y5_s4beg[11] , \tile_x7y5_s4beg[10] , \tile_x7y5_s4beg[9] , \tile_x7y5_s4beg[8] , \tile_x7y5_s4beg[7] , \tile_x7y5_s4beg[6] , \tile_x7y5_s4beg[5] , \tile_x7y5_s4beg[4] , \tile_x7y5_s4beg[3] , \tile_x7y5_s4beg[2] , \tile_x7y5_s4beg[1] , \tile_x7y5_s4beg[0] }),
.ss4beg({ \tile_x7y6_ss4beg[15] , \tile_x7y6_ss4beg[14] , \tile_x7y6_ss4beg[13] , \tile_x7y6_ss4beg[12] , \tile_x7y6_ss4beg[11] , \tile_x7y6_ss4beg[10] , \tile_x7y6_ss4beg[9] , \tile_x7y6_ss4beg[8] , \tile_x7y6_ss4beg[7] , \tile_x7y6_ss4beg[6] , \tile_x7y6_ss4beg[5] , \tile_x7y6_ss4beg[4] , \tile_x7y6_ss4beg[3] , \tile_x7y6_ss4beg[2] , \tile_x7y6_ss4beg[1] , \tile_x7y6_ss4beg[0] }),
.ss4end({ \tile_x7y5_ss4beg[15] , \tile_x7y5_ss4beg[14] , \tile_x7y5_ss4beg[13] , \tile_x7y5_ss4beg[12] , \tile_x7y5_ss4beg[11] , \tile_x7y5_ss4beg[10] , \tile_x7y5_ss4beg[9] , \tile_x7y5_ss4beg[8] , \tile_x7y5_ss4beg[7] , \tile_x7y5_ss4beg[6] , \tile_x7y5_ss4beg[5] , \tile_x7y5_ss4beg[4] , \tile_x7y5_ss4beg[3] , \tile_x7y5_ss4beg[2] , \tile_x7y5_ss4beg[1] , \tile_x7y5_ss4beg[0] }),
.userclk(tile_x7y7_userclko),
.userclko(tile_x7y6_userclko),
.w1beg({ \tile_x7y6_w1beg[3] , \tile_x7y6_w1beg[2] , \tile_x7y6_w1beg[1] , \tile_x7y6_w1beg[0] }),
.w1end({ \tile_x8y6_w1beg[3] , \tile_x8y6_w1beg[2] , \tile_x8y6_w1beg[1] , \tile_x8y6_w1beg[0] }),
.w2beg({ \tile_x7y6_w2beg[7] , \tile_x7y6_w2beg[6] , \tile_x7y6_w2beg[5] , \tile_x7y6_w2beg[4] , \tile_x7y6_w2beg[3] , \tile_x7y6_w2beg[2] , \tile_x7y6_w2beg[1] , \tile_x7y6_w2beg[0] }),
.w2begb({ \tile_x7y6_w2begb[7] , \tile_x7y6_w2begb[6] , \tile_x7y6_w2begb[5] , \tile_x7y6_w2begb[4] , \tile_x7y6_w2begb[3] , \tile_x7y6_w2begb[2] , \tile_x7y6_w2begb[1] , \tile_x7y6_w2begb[0] }),
.w2end({ \tile_x8y6_w2begb[7] , \tile_x8y6_w2begb[6] , \tile_x8y6_w2begb[5] , \tile_x8y6_w2begb[4] , \tile_x8y6_w2begb[3] , \tile_x8y6_w2begb[2] , \tile_x8y6_w2begb[1] , \tile_x8y6_w2begb[0] }),
.w2mid({ \tile_x8y6_w2beg[7] , \tile_x8y6_w2beg[6] , \tile_x8y6_w2beg[5] , \tile_x8y6_w2beg[4] , \tile_x8y6_w2beg[3] , \tile_x8y6_w2beg[2] , \tile_x8y6_w2beg[1] , \tile_x8y6_w2beg[0] }),
.w6beg({ \tile_x7y6_w6beg[11] , \tile_x7y6_w6beg[10] , \tile_x7y6_w6beg[9] , \tile_x7y6_w6beg[8] , \tile_x7y6_w6beg[7] , \tile_x7y6_w6beg[6] , \tile_x7y6_w6beg[5] , \tile_x7y6_w6beg[4] , \tile_x7y6_w6beg[3] , \tile_x7y6_w6beg[2] , \tile_x7y6_w6beg[1] , \tile_x7y6_w6beg[0] }),
.w6end({ \tile_x8y6_w6beg[11] , \tile_x8y6_w6beg[10] , \tile_x8y6_w6beg[9] , \tile_x8y6_w6beg[8] , \tile_x8y6_w6beg[7] , \tile_x8y6_w6beg[6] , \tile_x8y6_w6beg[5] , \tile_x8y6_w6beg[4] , \tile_x8y6_w6beg[3] , \tile_x8y6_w6beg[2] , \tile_x8y6_w6beg[1] , \tile_x8y6_w6beg[0] }),
.ww4beg({ \tile_x7y6_ww4beg[15] , \tile_x7y6_ww4beg[14] , \tile_x7y6_ww4beg[13] , \tile_x7y6_ww4beg[12] , \tile_x7y6_ww4beg[11] , \tile_x7y6_ww4beg[10] , \tile_x7y6_ww4beg[9] , \tile_x7y6_ww4beg[8] , \tile_x7y6_ww4beg[7] , \tile_x7y6_ww4beg[6] , \tile_x7y6_ww4beg[5] , \tile_x7y6_ww4beg[4] , \tile_x7y6_ww4beg[3] , \tile_x7y6_ww4beg[2] , \tile_x7y6_ww4beg[1] , \tile_x7y6_ww4beg[0] }),
.ww4end({ \tile_x8y6_ww4beg[15] , \tile_x8y6_ww4beg[14] , \tile_x8y6_ww4beg[13] , \tile_x8y6_ww4beg[12] , \tile_x8y6_ww4beg[11] , \tile_x8y6_ww4beg[10] , \tile_x8y6_ww4beg[9] , \tile_x8y6_ww4beg[8] , \tile_x8y6_ww4beg[7] , \tile_x8y6_ww4beg[6] , \tile_x8y6_ww4beg[5] , \tile_x8y6_ww4beg[4] , \tile_x8y6_ww4beg[3] , \tile_x8y6_ww4beg[2] , \tile_x8y6_ww4beg[1] , \tile_x8y6_ww4beg[0] })
);
lut4ab tile_x7y7_lut4ab (
.ci(tile_x7y8_co),
.co(tile_x7y7_co),
.e1beg({ \tile_x7y7_e1beg[3] , \tile_x7y7_e1beg[2] , \tile_x7y7_e1beg[1] , \tile_x7y7_e1beg[0] }),
.e1end({ \tile_x6y7_e1beg[3] , \tile_x6y7_e1beg[2] , \tile_x6y7_e1beg[1] , \tile_x6y7_e1beg[0] }),
.e2beg({ \tile_x7y7_e2beg[7] , \tile_x7y7_e2beg[6] , \tile_x7y7_e2beg[5] , \tile_x7y7_e2beg[4] , \tile_x7y7_e2beg[3] , \tile_x7y7_e2beg[2] , \tile_x7y7_e2beg[1] , \tile_x7y7_e2beg[0] }),
.e2begb({ \tile_x7y7_e2begb[7] , \tile_x7y7_e2begb[6] , \tile_x7y7_e2begb[5] , \tile_x7y7_e2begb[4] , \tile_x7y7_e2begb[3] , \tile_x7y7_e2begb[2] , \tile_x7y7_e2begb[1] , \tile_x7y7_e2begb[0] }),
.e2end({ \tile_x6y7_e2begb[7] , \tile_x6y7_e2begb[6] , \tile_x6y7_e2begb[5] , \tile_x6y7_e2begb[4] , \tile_x6y7_e2begb[3] , \tile_x6y7_e2begb[2] , \tile_x6y7_e2begb[1] , \tile_x6y7_e2begb[0] }),
.e2mid({ \tile_x6y7_e2beg[7] , \tile_x6y7_e2beg[6] , \tile_x6y7_e2beg[5] , \tile_x6y7_e2beg[4] , \tile_x6y7_e2beg[3] , \tile_x6y7_e2beg[2] , \tile_x6y7_e2beg[1] , \tile_x6y7_e2beg[0] }),
.e6beg({ \tile_x7y7_e6beg[11] , \tile_x7y7_e6beg[10] , \tile_x7y7_e6beg[9] , \tile_x7y7_e6beg[8] , \tile_x7y7_e6beg[7] , \tile_x7y7_e6beg[6] , \tile_x7y7_e6beg[5] , \tile_x7y7_e6beg[4] , \tile_x7y7_e6beg[3] , \tile_x7y7_e6beg[2] , \tile_x7y7_e6beg[1] , \tile_x7y7_e6beg[0] }),
.e6end({ \tile_x6y7_e6beg[11] , \tile_x6y7_e6beg[10] , \tile_x6y7_e6beg[9] , \tile_x6y7_e6beg[8] , \tile_x6y7_e6beg[7] , \tile_x6y7_e6beg[6] , \tile_x6y7_e6beg[5] , \tile_x6y7_e6beg[4] , \tile_x6y7_e6beg[3] , \tile_x6y7_e6beg[2] , \tile_x6y7_e6beg[1] , \tile_x6y7_e6beg[0] }),
.ee4beg({ \tile_x7y7_ee4beg[15] , \tile_x7y7_ee4beg[14] , \tile_x7y7_ee4beg[13] , \tile_x7y7_ee4beg[12] , \tile_x7y7_ee4beg[11] , \tile_x7y7_ee4beg[10] , \tile_x7y7_ee4beg[9] , \tile_x7y7_ee4beg[8] , \tile_x7y7_ee4beg[7] , \tile_x7y7_ee4beg[6] , \tile_x7y7_ee4beg[5] , \tile_x7y7_ee4beg[4] , \tile_x7y7_ee4beg[3] , \tile_x7y7_ee4beg[2] , \tile_x7y7_ee4beg[1] , \tile_x7y7_ee4beg[0] }),
.ee4end({ \tile_x6y7_ee4beg[15] , \tile_x6y7_ee4beg[14] , \tile_x6y7_ee4beg[13] , \tile_x6y7_ee4beg[12] , \tile_x6y7_ee4beg[11] , \tile_x6y7_ee4beg[10] , \tile_x6y7_ee4beg[9] , \tile_x6y7_ee4beg[8] , \tile_x6y7_ee4beg[7] , \tile_x6y7_ee4beg[6] , \tile_x6y7_ee4beg[5] , \tile_x6y7_ee4beg[4] , \tile_x6y7_ee4beg[3] , \tile_x6y7_ee4beg[2] , \tile_x6y7_ee4beg[1] , \tile_x6y7_ee4beg[0] }),
.framedata({ \tile_x6y7_framedata_o[31] , \tile_x6y7_framedata_o[30] , \tile_x6y7_framedata_o[29] , \tile_x6y7_framedata_o[28] , \tile_x6y7_framedata_o[27] , \tile_x6y7_framedata_o[26] , \tile_x6y7_framedata_o[25] , \tile_x6y7_framedata_o[24] , \tile_x6y7_framedata_o[23] , \tile_x6y7_framedata_o[22] , \tile_x6y7_framedata_o[21] , \tile_x6y7_framedata_o[20] , \tile_x6y7_framedata_o[19] , \tile_x6y7_framedata_o[18] , \tile_x6y7_framedata_o[17] , \tile_x6y7_framedata_o[16] , \tile_x6y7_framedata_o[15] , \tile_x6y7_framedata_o[14] , \tile_x6y7_framedata_o[13] , \tile_x6y7_framedata_o[12] , \tile_x6y7_framedata_o[11] , \tile_x6y7_framedata_o[10] , \tile_x6y7_framedata_o[9] , \tile_x6y7_framedata_o[8] , \tile_x6y7_framedata_o[7] , \tile_x6y7_framedata_o[6] , \tile_x6y7_framedata_o[5] , \tile_x6y7_framedata_o[4] , \tile_x6y7_framedata_o[3] , \tile_x6y7_framedata_o[2] , \tile_x6y7_framedata_o[1] , \tile_x6y7_framedata_o[0] }),
.framedata_o({ \tile_x7y7_framedata_o[31] , \tile_x7y7_framedata_o[30] , \tile_x7y7_framedata_o[29] , \tile_x7y7_framedata_o[28] , \tile_x7y7_framedata_o[27] , \tile_x7y7_framedata_o[26] , \tile_x7y7_framedata_o[25] , \tile_x7y7_framedata_o[24] , \tile_x7y7_framedata_o[23] , \tile_x7y7_framedata_o[22] , \tile_x7y7_framedata_o[21] , \tile_x7y7_framedata_o[20] , \tile_x7y7_framedata_o[19] , \tile_x7y7_framedata_o[18] , \tile_x7y7_framedata_o[17] , \tile_x7y7_framedata_o[16] , \tile_x7y7_framedata_o[15] , \tile_x7y7_framedata_o[14] , \tile_x7y7_framedata_o[13] , \tile_x7y7_framedata_o[12] , \tile_x7y7_framedata_o[11] , \tile_x7y7_framedata_o[10] , \tile_x7y7_framedata_o[9] , \tile_x7y7_framedata_o[8] , \tile_x7y7_framedata_o[7] , \tile_x7y7_framedata_o[6] , \tile_x7y7_framedata_o[5] , \tile_x7y7_framedata_o[4] , \tile_x7y7_framedata_o[3] , \tile_x7y7_framedata_o[2] , \tile_x7y7_framedata_o[1] , \tile_x7y7_framedata_o[0] }),
.framestrobe({ \tile_x7y8_framestrobe_o[19] , \tile_x7y8_framestrobe_o[18] , \tile_x7y8_framestrobe_o[17] , \tile_x7y8_framestrobe_o[16] , \tile_x7y8_framestrobe_o[15] , \tile_x7y8_framestrobe_o[14] , \tile_x7y8_framestrobe_o[13] , \tile_x7y8_framestrobe_o[12] , \tile_x7y8_framestrobe_o[11] , \tile_x7y8_framestrobe_o[10] , \tile_x7y8_framestrobe_o[9] , \tile_x7y8_framestrobe_o[8] , \tile_x7y8_framestrobe_o[7] , \tile_x7y8_framestrobe_o[6] , \tile_x7y8_framestrobe_o[5] , \tile_x7y8_framestrobe_o[4] , \tile_x7y8_framestrobe_o[3] , \tile_x7y8_framestrobe_o[2] , \tile_x7y8_framestrobe_o[1] , \tile_x7y8_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y7_framestrobe_o[19] , \tile_x7y7_framestrobe_o[18] , \tile_x7y7_framestrobe_o[17] , \tile_x7y7_framestrobe_o[16] , \tile_x7y7_framestrobe_o[15] , \tile_x7y7_framestrobe_o[14] , \tile_x7y7_framestrobe_o[13] , \tile_x7y7_framestrobe_o[12] , \tile_x7y7_framestrobe_o[11] , \tile_x7y7_framestrobe_o[10] , \tile_x7y7_framestrobe_o[9] , \tile_x7y7_framestrobe_o[8] , \tile_x7y7_framestrobe_o[7] , \tile_x7y7_framestrobe_o[6] , \tile_x7y7_framestrobe_o[5] , \tile_x7y7_framestrobe_o[4] , \tile_x7y7_framestrobe_o[3] , \tile_x7y7_framestrobe_o[2] , \tile_x7y7_framestrobe_o[1] , \tile_x7y7_framestrobe_o[0] }),
.n1beg({ \tile_x7y7_n1beg[3] , \tile_x7y7_n1beg[2] , \tile_x7y7_n1beg[1] , \tile_x7y7_n1beg[0] }),
.n1end({ \tile_x7y8_n1beg[3] , \tile_x7y8_n1beg[2] , \tile_x7y8_n1beg[1] , \tile_x7y8_n1beg[0] }),
.n2beg({ \tile_x7y7_n2beg[7] , \tile_x7y7_n2beg[6] , \tile_x7y7_n2beg[5] , \tile_x7y7_n2beg[4] , \tile_x7y7_n2beg[3] , \tile_x7y7_n2beg[2] , \tile_x7y7_n2beg[1] , \tile_x7y7_n2beg[0] }),
.n2begb({ \tile_x7y7_n2begb[7] , \tile_x7y7_n2begb[6] , \tile_x7y7_n2begb[5] , \tile_x7y7_n2begb[4] , \tile_x7y7_n2begb[3] , \tile_x7y7_n2begb[2] , \tile_x7y7_n2begb[1] , \tile_x7y7_n2begb[0] }),
.n2end({ \tile_x7y8_n2begb[7] , \tile_x7y8_n2begb[6] , \tile_x7y8_n2begb[5] , \tile_x7y8_n2begb[4] , \tile_x7y8_n2begb[3] , \tile_x7y8_n2begb[2] , \tile_x7y8_n2begb[1] , \tile_x7y8_n2begb[0] }),
.n2mid({ \tile_x7y8_n2beg[7] , \tile_x7y8_n2beg[6] , \tile_x7y8_n2beg[5] , \tile_x7y8_n2beg[4] , \tile_x7y8_n2beg[3] , \tile_x7y8_n2beg[2] , \tile_x7y8_n2beg[1] , \tile_x7y8_n2beg[0] }),
.n4beg({ \tile_x7y7_n4beg[15] , \tile_x7y7_n4beg[14] , \tile_x7y7_n4beg[13] , \tile_x7y7_n4beg[12] , \tile_x7y7_n4beg[11] , \tile_x7y7_n4beg[10] , \tile_x7y7_n4beg[9] , \tile_x7y7_n4beg[8] , \tile_x7y7_n4beg[7] , \tile_x7y7_n4beg[6] , \tile_x7y7_n4beg[5] , \tile_x7y7_n4beg[4] , \tile_x7y7_n4beg[3] , \tile_x7y7_n4beg[2] , \tile_x7y7_n4beg[1] , \tile_x7y7_n4beg[0] }),
.n4end({ \tile_x7y8_n4beg[15] , \tile_x7y8_n4beg[14] , \tile_x7y8_n4beg[13] , \tile_x7y8_n4beg[12] , \tile_x7y8_n4beg[11] , \tile_x7y8_n4beg[10] , \tile_x7y8_n4beg[9] , \tile_x7y8_n4beg[8] , \tile_x7y8_n4beg[7] , \tile_x7y8_n4beg[6] , \tile_x7y8_n4beg[5] , \tile_x7y8_n4beg[4] , \tile_x7y8_n4beg[3] , \tile_x7y8_n4beg[2] , \tile_x7y8_n4beg[1] , \tile_x7y8_n4beg[0] }),
.nn4beg({ \tile_x7y7_nn4beg[15] , \tile_x7y7_nn4beg[14] , \tile_x7y7_nn4beg[13] , \tile_x7y7_nn4beg[12] , \tile_x7y7_nn4beg[11] , \tile_x7y7_nn4beg[10] , \tile_x7y7_nn4beg[9] , \tile_x7y7_nn4beg[8] , \tile_x7y7_nn4beg[7] , \tile_x7y7_nn4beg[6] , \tile_x7y7_nn4beg[5] , \tile_x7y7_nn4beg[4] , \tile_x7y7_nn4beg[3] , \tile_x7y7_nn4beg[2] , \tile_x7y7_nn4beg[1] , \tile_x7y7_nn4beg[0] }),
.nn4end({ \tile_x7y8_nn4beg[15] , \tile_x7y8_nn4beg[14] , \tile_x7y8_nn4beg[13] , \tile_x7y8_nn4beg[12] , \tile_x7y8_nn4beg[11] , \tile_x7y8_nn4beg[10] , \tile_x7y8_nn4beg[9] , \tile_x7y8_nn4beg[8] , \tile_x7y8_nn4beg[7] , \tile_x7y8_nn4beg[6] , \tile_x7y8_nn4beg[5] , \tile_x7y8_nn4beg[4] , \tile_x7y8_nn4beg[3] , \tile_x7y8_nn4beg[2] , \tile_x7y8_nn4beg[1] , \tile_x7y8_nn4beg[0] }),
.s1beg({ \tile_x7y7_s1beg[3] , \tile_x7y7_s1beg[2] , \tile_x7y7_s1beg[1] , \tile_x7y7_s1beg[0] }),
.s1end({ \tile_x7y6_s1beg[3] , \tile_x7y6_s1beg[2] , \tile_x7y6_s1beg[1] , \tile_x7y6_s1beg[0] }),
.s2beg({ \tile_x7y7_s2beg[7] , \tile_x7y7_s2beg[6] , \tile_x7y7_s2beg[5] , \tile_x7y7_s2beg[4] , \tile_x7y7_s2beg[3] , \tile_x7y7_s2beg[2] , \tile_x7y7_s2beg[1] , \tile_x7y7_s2beg[0] }),
.s2begb({ \tile_x7y7_s2begb[7] , \tile_x7y7_s2begb[6] , \tile_x7y7_s2begb[5] , \tile_x7y7_s2begb[4] , \tile_x7y7_s2begb[3] , \tile_x7y7_s2begb[2] , \tile_x7y7_s2begb[1] , \tile_x7y7_s2begb[0] }),
.s2end({ \tile_x7y6_s2begb[7] , \tile_x7y6_s2begb[6] , \tile_x7y6_s2begb[5] , \tile_x7y6_s2begb[4] , \tile_x7y6_s2begb[3] , \tile_x7y6_s2begb[2] , \tile_x7y6_s2begb[1] , \tile_x7y6_s2begb[0] }),
.s2mid({ \tile_x7y6_s2beg[7] , \tile_x7y6_s2beg[6] , \tile_x7y6_s2beg[5] , \tile_x7y6_s2beg[4] , \tile_x7y6_s2beg[3] , \tile_x7y6_s2beg[2] , \tile_x7y6_s2beg[1] , \tile_x7y6_s2beg[0] }),
.s4beg({ \tile_x7y7_s4beg[15] , \tile_x7y7_s4beg[14] , \tile_x7y7_s4beg[13] , \tile_x7y7_s4beg[12] , \tile_x7y7_s4beg[11] , \tile_x7y7_s4beg[10] , \tile_x7y7_s4beg[9] , \tile_x7y7_s4beg[8] , \tile_x7y7_s4beg[7] , \tile_x7y7_s4beg[6] , \tile_x7y7_s4beg[5] , \tile_x7y7_s4beg[4] , \tile_x7y7_s4beg[3] , \tile_x7y7_s4beg[2] , \tile_x7y7_s4beg[1] , \tile_x7y7_s4beg[0] }),
.s4end({ \tile_x7y6_s4beg[15] , \tile_x7y6_s4beg[14] , \tile_x7y6_s4beg[13] , \tile_x7y6_s4beg[12] , \tile_x7y6_s4beg[11] , \tile_x7y6_s4beg[10] , \tile_x7y6_s4beg[9] , \tile_x7y6_s4beg[8] , \tile_x7y6_s4beg[7] , \tile_x7y6_s4beg[6] , \tile_x7y6_s4beg[5] , \tile_x7y6_s4beg[4] , \tile_x7y6_s4beg[3] , \tile_x7y6_s4beg[2] , \tile_x7y6_s4beg[1] , \tile_x7y6_s4beg[0] }),
.ss4beg({ \tile_x7y7_ss4beg[15] , \tile_x7y7_ss4beg[14] , \tile_x7y7_ss4beg[13] , \tile_x7y7_ss4beg[12] , \tile_x7y7_ss4beg[11] , \tile_x7y7_ss4beg[10] , \tile_x7y7_ss4beg[9] , \tile_x7y7_ss4beg[8] , \tile_x7y7_ss4beg[7] , \tile_x7y7_ss4beg[6] , \tile_x7y7_ss4beg[5] , \tile_x7y7_ss4beg[4] , \tile_x7y7_ss4beg[3] , \tile_x7y7_ss4beg[2] , \tile_x7y7_ss4beg[1] , \tile_x7y7_ss4beg[0] }),
.ss4end({ \tile_x7y6_ss4beg[15] , \tile_x7y6_ss4beg[14] , \tile_x7y6_ss4beg[13] , \tile_x7y6_ss4beg[12] , \tile_x7y6_ss4beg[11] , \tile_x7y6_ss4beg[10] , \tile_x7y6_ss4beg[9] , \tile_x7y6_ss4beg[8] , \tile_x7y6_ss4beg[7] , \tile_x7y6_ss4beg[6] , \tile_x7y6_ss4beg[5] , \tile_x7y6_ss4beg[4] , \tile_x7y6_ss4beg[3] , \tile_x7y6_ss4beg[2] , \tile_x7y6_ss4beg[1] , \tile_x7y6_ss4beg[0] }),
.userclk(tile_x7y8_userclko),
.userclko(tile_x7y7_userclko),
.w1beg({ \tile_x7y7_w1beg[3] , \tile_x7y7_w1beg[2] , \tile_x7y7_w1beg[1] , \tile_x7y7_w1beg[0] }),
.w1end({ \tile_x8y7_w1beg[3] , \tile_x8y7_w1beg[2] , \tile_x8y7_w1beg[1] , \tile_x8y7_w1beg[0] }),
.w2beg({ \tile_x7y7_w2beg[7] , \tile_x7y7_w2beg[6] , \tile_x7y7_w2beg[5] , \tile_x7y7_w2beg[4] , \tile_x7y7_w2beg[3] , \tile_x7y7_w2beg[2] , \tile_x7y7_w2beg[1] , \tile_x7y7_w2beg[0] }),
.w2begb({ \tile_x7y7_w2begb[7] , \tile_x7y7_w2begb[6] , \tile_x7y7_w2begb[5] , \tile_x7y7_w2begb[4] , \tile_x7y7_w2begb[3] , \tile_x7y7_w2begb[2] , \tile_x7y7_w2begb[1] , \tile_x7y7_w2begb[0] }),
.w2end({ \tile_x8y7_w2begb[7] , \tile_x8y7_w2begb[6] , \tile_x8y7_w2begb[5] , \tile_x8y7_w2begb[4] , \tile_x8y7_w2begb[3] , \tile_x8y7_w2begb[2] , \tile_x8y7_w2begb[1] , \tile_x8y7_w2begb[0] }),
.w2mid({ \tile_x8y7_w2beg[7] , \tile_x8y7_w2beg[6] , \tile_x8y7_w2beg[5] , \tile_x8y7_w2beg[4] , \tile_x8y7_w2beg[3] , \tile_x8y7_w2beg[2] , \tile_x8y7_w2beg[1] , \tile_x8y7_w2beg[0] }),
.w6beg({ \tile_x7y7_w6beg[11] , \tile_x7y7_w6beg[10] , \tile_x7y7_w6beg[9] , \tile_x7y7_w6beg[8] , \tile_x7y7_w6beg[7] , \tile_x7y7_w6beg[6] , \tile_x7y7_w6beg[5] , \tile_x7y7_w6beg[4] , \tile_x7y7_w6beg[3] , \tile_x7y7_w6beg[2] , \tile_x7y7_w6beg[1] , \tile_x7y7_w6beg[0] }),
.w6end({ \tile_x8y7_w6beg[11] , \tile_x8y7_w6beg[10] , \tile_x8y7_w6beg[9] , \tile_x8y7_w6beg[8] , \tile_x8y7_w6beg[7] , \tile_x8y7_w6beg[6] , \tile_x8y7_w6beg[5] , \tile_x8y7_w6beg[4] , \tile_x8y7_w6beg[3] , \tile_x8y7_w6beg[2] , \tile_x8y7_w6beg[1] , \tile_x8y7_w6beg[0] }),
.ww4beg({ \tile_x7y7_ww4beg[15] , \tile_x7y7_ww4beg[14] , \tile_x7y7_ww4beg[13] , \tile_x7y7_ww4beg[12] , \tile_x7y7_ww4beg[11] , \tile_x7y7_ww4beg[10] , \tile_x7y7_ww4beg[9] , \tile_x7y7_ww4beg[8] , \tile_x7y7_ww4beg[7] , \tile_x7y7_ww4beg[6] , \tile_x7y7_ww4beg[5] , \tile_x7y7_ww4beg[4] , \tile_x7y7_ww4beg[3] , \tile_x7y7_ww4beg[2] , \tile_x7y7_ww4beg[1] , \tile_x7y7_ww4beg[0] }),
.ww4end({ \tile_x8y7_ww4beg[15] , \tile_x8y7_ww4beg[14] , \tile_x8y7_ww4beg[13] , \tile_x8y7_ww4beg[12] , \tile_x8y7_ww4beg[11] , \tile_x8y7_ww4beg[10] , \tile_x8y7_ww4beg[9] , \tile_x8y7_ww4beg[8] , \tile_x8y7_ww4beg[7] , \tile_x8y7_ww4beg[6] , \tile_x8y7_ww4beg[5] , \tile_x8y7_ww4beg[4] , \tile_x8y7_ww4beg[3] , \tile_x8y7_ww4beg[2] , \tile_x8y7_ww4beg[1] , \tile_x8y7_ww4beg[0] })
);
lut4ab tile_x7y8_lut4ab (
.ci(tile_x7y9_co),
.co(tile_x7y8_co),
.e1beg({ \tile_x7y8_e1beg[3] , \tile_x7y8_e1beg[2] , \tile_x7y8_e1beg[1] , \tile_x7y8_e1beg[0] }),
.e1end({ \tile_x6y8_e1beg[3] , \tile_x6y8_e1beg[2] , \tile_x6y8_e1beg[1] , \tile_x6y8_e1beg[0] }),
.e2beg({ \tile_x7y8_e2beg[7] , \tile_x7y8_e2beg[6] , \tile_x7y8_e2beg[5] , \tile_x7y8_e2beg[4] , \tile_x7y8_e2beg[3] , \tile_x7y8_e2beg[2] , \tile_x7y8_e2beg[1] , \tile_x7y8_e2beg[0] }),
.e2begb({ \tile_x7y8_e2begb[7] , \tile_x7y8_e2begb[6] , \tile_x7y8_e2begb[5] , \tile_x7y8_e2begb[4] , \tile_x7y8_e2begb[3] , \tile_x7y8_e2begb[2] , \tile_x7y8_e2begb[1] , \tile_x7y8_e2begb[0] }),
.e2end({ \tile_x6y8_e2begb[7] , \tile_x6y8_e2begb[6] , \tile_x6y8_e2begb[5] , \tile_x6y8_e2begb[4] , \tile_x6y8_e2begb[3] , \tile_x6y8_e2begb[2] , \tile_x6y8_e2begb[1] , \tile_x6y8_e2begb[0] }),
.e2mid({ \tile_x6y8_e2beg[7] , \tile_x6y8_e2beg[6] , \tile_x6y8_e2beg[5] , \tile_x6y8_e2beg[4] , \tile_x6y8_e2beg[3] , \tile_x6y8_e2beg[2] , \tile_x6y8_e2beg[1] , \tile_x6y8_e2beg[0] }),
.e6beg({ \tile_x7y8_e6beg[11] , \tile_x7y8_e6beg[10] , \tile_x7y8_e6beg[9] , \tile_x7y8_e6beg[8] , \tile_x7y8_e6beg[7] , \tile_x7y8_e6beg[6] , \tile_x7y8_e6beg[5] , \tile_x7y8_e6beg[4] , \tile_x7y8_e6beg[3] , \tile_x7y8_e6beg[2] , \tile_x7y8_e6beg[1] , \tile_x7y8_e6beg[0] }),
.e6end({ \tile_x6y8_e6beg[11] , \tile_x6y8_e6beg[10] , \tile_x6y8_e6beg[9] , \tile_x6y8_e6beg[8] , \tile_x6y8_e6beg[7] , \tile_x6y8_e6beg[6] , \tile_x6y8_e6beg[5] , \tile_x6y8_e6beg[4] , \tile_x6y8_e6beg[3] , \tile_x6y8_e6beg[2] , \tile_x6y8_e6beg[1] , \tile_x6y8_e6beg[0] }),
.ee4beg({ \tile_x7y8_ee4beg[15] , \tile_x7y8_ee4beg[14] , \tile_x7y8_ee4beg[13] , \tile_x7y8_ee4beg[12] , \tile_x7y8_ee4beg[11] , \tile_x7y8_ee4beg[10] , \tile_x7y8_ee4beg[9] , \tile_x7y8_ee4beg[8] , \tile_x7y8_ee4beg[7] , \tile_x7y8_ee4beg[6] , \tile_x7y8_ee4beg[5] , \tile_x7y8_ee4beg[4] , \tile_x7y8_ee4beg[3] , \tile_x7y8_ee4beg[2] , \tile_x7y8_ee4beg[1] , \tile_x7y8_ee4beg[0] }),
.ee4end({ \tile_x6y8_ee4beg[15] , \tile_x6y8_ee4beg[14] , \tile_x6y8_ee4beg[13] , \tile_x6y8_ee4beg[12] , \tile_x6y8_ee4beg[11] , \tile_x6y8_ee4beg[10] , \tile_x6y8_ee4beg[9] , \tile_x6y8_ee4beg[8] , \tile_x6y8_ee4beg[7] , \tile_x6y8_ee4beg[6] , \tile_x6y8_ee4beg[5] , \tile_x6y8_ee4beg[4] , \tile_x6y8_ee4beg[3] , \tile_x6y8_ee4beg[2] , \tile_x6y8_ee4beg[1] , \tile_x6y8_ee4beg[0] }),
.framedata({ \tile_x6y8_framedata_o[31] , \tile_x6y8_framedata_o[30] , \tile_x6y8_framedata_o[29] , \tile_x6y8_framedata_o[28] , \tile_x6y8_framedata_o[27] , \tile_x6y8_framedata_o[26] , \tile_x6y8_framedata_o[25] , \tile_x6y8_framedata_o[24] , \tile_x6y8_framedata_o[23] , \tile_x6y8_framedata_o[22] , \tile_x6y8_framedata_o[21] , \tile_x6y8_framedata_o[20] , \tile_x6y8_framedata_o[19] , \tile_x6y8_framedata_o[18] , \tile_x6y8_framedata_o[17] , \tile_x6y8_framedata_o[16] , \tile_x6y8_framedata_o[15] , \tile_x6y8_framedata_o[14] , \tile_x6y8_framedata_o[13] , \tile_x6y8_framedata_o[12] , \tile_x6y8_framedata_o[11] , \tile_x6y8_framedata_o[10] , \tile_x6y8_framedata_o[9] , \tile_x6y8_framedata_o[8] , \tile_x6y8_framedata_o[7] , \tile_x6y8_framedata_o[6] , \tile_x6y8_framedata_o[5] , \tile_x6y8_framedata_o[4] , \tile_x6y8_framedata_o[3] , \tile_x6y8_framedata_o[2] , \tile_x6y8_framedata_o[1] , \tile_x6y8_framedata_o[0] }),
.framedata_o({ \tile_x7y8_framedata_o[31] , \tile_x7y8_framedata_o[30] , \tile_x7y8_framedata_o[29] , \tile_x7y8_framedata_o[28] , \tile_x7y8_framedata_o[27] , \tile_x7y8_framedata_o[26] , \tile_x7y8_framedata_o[25] , \tile_x7y8_framedata_o[24] , \tile_x7y8_framedata_o[23] , \tile_x7y8_framedata_o[22] , \tile_x7y8_framedata_o[21] , \tile_x7y8_framedata_o[20] , \tile_x7y8_framedata_o[19] , \tile_x7y8_framedata_o[18] , \tile_x7y8_framedata_o[17] , \tile_x7y8_framedata_o[16] , \tile_x7y8_framedata_o[15] , \tile_x7y8_framedata_o[14] , \tile_x7y8_framedata_o[13] , \tile_x7y8_framedata_o[12] , \tile_x7y8_framedata_o[11] , \tile_x7y8_framedata_o[10] , \tile_x7y8_framedata_o[9] , \tile_x7y8_framedata_o[8] , \tile_x7y8_framedata_o[7] , \tile_x7y8_framedata_o[6] , \tile_x7y8_framedata_o[5] , \tile_x7y8_framedata_o[4] , \tile_x7y8_framedata_o[3] , \tile_x7y8_framedata_o[2] , \tile_x7y8_framedata_o[1] , \tile_x7y8_framedata_o[0] }),
.framestrobe({ \tile_x7y9_framestrobe_o[19] , \tile_x7y9_framestrobe_o[18] , \tile_x7y9_framestrobe_o[17] , \tile_x7y9_framestrobe_o[16] , \tile_x7y9_framestrobe_o[15] , \tile_x7y9_framestrobe_o[14] , \tile_x7y9_framestrobe_o[13] , \tile_x7y9_framestrobe_o[12] , \tile_x7y9_framestrobe_o[11] , \tile_x7y9_framestrobe_o[10] , \tile_x7y9_framestrobe_o[9] , \tile_x7y9_framestrobe_o[8] , \tile_x7y9_framestrobe_o[7] , \tile_x7y9_framestrobe_o[6] , \tile_x7y9_framestrobe_o[5] , \tile_x7y9_framestrobe_o[4] , \tile_x7y9_framestrobe_o[3] , \tile_x7y9_framestrobe_o[2] , \tile_x7y9_framestrobe_o[1] , \tile_x7y9_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y8_framestrobe_o[19] , \tile_x7y8_framestrobe_o[18] , \tile_x7y8_framestrobe_o[17] , \tile_x7y8_framestrobe_o[16] , \tile_x7y8_framestrobe_o[15] , \tile_x7y8_framestrobe_o[14] , \tile_x7y8_framestrobe_o[13] , \tile_x7y8_framestrobe_o[12] , \tile_x7y8_framestrobe_o[11] , \tile_x7y8_framestrobe_o[10] , \tile_x7y8_framestrobe_o[9] , \tile_x7y8_framestrobe_o[8] , \tile_x7y8_framestrobe_o[7] , \tile_x7y8_framestrobe_o[6] , \tile_x7y8_framestrobe_o[5] , \tile_x7y8_framestrobe_o[4] , \tile_x7y8_framestrobe_o[3] , \tile_x7y8_framestrobe_o[2] , \tile_x7y8_framestrobe_o[1] , \tile_x7y8_framestrobe_o[0] }),
.n1beg({ \tile_x7y8_n1beg[3] , \tile_x7y8_n1beg[2] , \tile_x7y8_n1beg[1] , \tile_x7y8_n1beg[0] }),
.n1end({ \tile_x7y9_n1beg[3] , \tile_x7y9_n1beg[2] , \tile_x7y9_n1beg[1] , \tile_x7y9_n1beg[0] }),
.n2beg({ \tile_x7y8_n2beg[7] , \tile_x7y8_n2beg[6] , \tile_x7y8_n2beg[5] , \tile_x7y8_n2beg[4] , \tile_x7y8_n2beg[3] , \tile_x7y8_n2beg[2] , \tile_x7y8_n2beg[1] , \tile_x7y8_n2beg[0] }),
.n2begb({ \tile_x7y8_n2begb[7] , \tile_x7y8_n2begb[6] , \tile_x7y8_n2begb[5] , \tile_x7y8_n2begb[4] , \tile_x7y8_n2begb[3] , \tile_x7y8_n2begb[2] , \tile_x7y8_n2begb[1] , \tile_x7y8_n2begb[0] }),
.n2end({ \tile_x7y9_n2begb[7] , \tile_x7y9_n2begb[6] , \tile_x7y9_n2begb[5] , \tile_x7y9_n2begb[4] , \tile_x7y9_n2begb[3] , \tile_x7y9_n2begb[2] , \tile_x7y9_n2begb[1] , \tile_x7y9_n2begb[0] }),
.n2mid({ \tile_x7y9_n2beg[7] , \tile_x7y9_n2beg[6] , \tile_x7y9_n2beg[5] , \tile_x7y9_n2beg[4] , \tile_x7y9_n2beg[3] , \tile_x7y9_n2beg[2] , \tile_x7y9_n2beg[1] , \tile_x7y9_n2beg[0] }),
.n4beg({ \tile_x7y8_n4beg[15] , \tile_x7y8_n4beg[14] , \tile_x7y8_n4beg[13] , \tile_x7y8_n4beg[12] , \tile_x7y8_n4beg[11] , \tile_x7y8_n4beg[10] , \tile_x7y8_n4beg[9] , \tile_x7y8_n4beg[8] , \tile_x7y8_n4beg[7] , \tile_x7y8_n4beg[6] , \tile_x7y8_n4beg[5] , \tile_x7y8_n4beg[4] , \tile_x7y8_n4beg[3] , \tile_x7y8_n4beg[2] , \tile_x7y8_n4beg[1] , \tile_x7y8_n4beg[0] }),
.n4end({ \tile_x7y9_n4beg[15] , \tile_x7y9_n4beg[14] , \tile_x7y9_n4beg[13] , \tile_x7y9_n4beg[12] , \tile_x7y9_n4beg[11] , \tile_x7y9_n4beg[10] , \tile_x7y9_n4beg[9] , \tile_x7y9_n4beg[8] , \tile_x7y9_n4beg[7] , \tile_x7y9_n4beg[6] , \tile_x7y9_n4beg[5] , \tile_x7y9_n4beg[4] , \tile_x7y9_n4beg[3] , \tile_x7y9_n4beg[2] , \tile_x7y9_n4beg[1] , \tile_x7y9_n4beg[0] }),
.nn4beg({ \tile_x7y8_nn4beg[15] , \tile_x7y8_nn4beg[14] , \tile_x7y8_nn4beg[13] , \tile_x7y8_nn4beg[12] , \tile_x7y8_nn4beg[11] , \tile_x7y8_nn4beg[10] , \tile_x7y8_nn4beg[9] , \tile_x7y8_nn4beg[8] , \tile_x7y8_nn4beg[7] , \tile_x7y8_nn4beg[6] , \tile_x7y8_nn4beg[5] , \tile_x7y8_nn4beg[4] , \tile_x7y8_nn4beg[3] , \tile_x7y8_nn4beg[2] , \tile_x7y8_nn4beg[1] , \tile_x7y8_nn4beg[0] }),
.nn4end({ \tile_x7y9_nn4beg[15] , \tile_x7y9_nn4beg[14] , \tile_x7y9_nn4beg[13] , \tile_x7y9_nn4beg[12] , \tile_x7y9_nn4beg[11] , \tile_x7y9_nn4beg[10] , \tile_x7y9_nn4beg[9] , \tile_x7y9_nn4beg[8] , \tile_x7y9_nn4beg[7] , \tile_x7y9_nn4beg[6] , \tile_x7y9_nn4beg[5] , \tile_x7y9_nn4beg[4] , \tile_x7y9_nn4beg[3] , \tile_x7y9_nn4beg[2] , \tile_x7y9_nn4beg[1] , \tile_x7y9_nn4beg[0] }),
.s1beg({ \tile_x7y8_s1beg[3] , \tile_x7y8_s1beg[2] , \tile_x7y8_s1beg[1] , \tile_x7y8_s1beg[0] }),
.s1end({ \tile_x7y7_s1beg[3] , \tile_x7y7_s1beg[2] , \tile_x7y7_s1beg[1] , \tile_x7y7_s1beg[0] }),
.s2beg({ \tile_x7y8_s2beg[7] , \tile_x7y8_s2beg[6] , \tile_x7y8_s2beg[5] , \tile_x7y8_s2beg[4] , \tile_x7y8_s2beg[3] , \tile_x7y8_s2beg[2] , \tile_x7y8_s2beg[1] , \tile_x7y8_s2beg[0] }),
.s2begb({ \tile_x7y8_s2begb[7] , \tile_x7y8_s2begb[6] , \tile_x7y8_s2begb[5] , \tile_x7y8_s2begb[4] , \tile_x7y8_s2begb[3] , \tile_x7y8_s2begb[2] , \tile_x7y8_s2begb[1] , \tile_x7y8_s2begb[0] }),
.s2end({ \tile_x7y7_s2begb[7] , \tile_x7y7_s2begb[6] , \tile_x7y7_s2begb[5] , \tile_x7y7_s2begb[4] , \tile_x7y7_s2begb[3] , \tile_x7y7_s2begb[2] , \tile_x7y7_s2begb[1] , \tile_x7y7_s2begb[0] }),
.s2mid({ \tile_x7y7_s2beg[7] , \tile_x7y7_s2beg[6] , \tile_x7y7_s2beg[5] , \tile_x7y7_s2beg[4] , \tile_x7y7_s2beg[3] , \tile_x7y7_s2beg[2] , \tile_x7y7_s2beg[1] , \tile_x7y7_s2beg[0] }),
.s4beg({ \tile_x7y8_s4beg[15] , \tile_x7y8_s4beg[14] , \tile_x7y8_s4beg[13] , \tile_x7y8_s4beg[12] , \tile_x7y8_s4beg[11] , \tile_x7y8_s4beg[10] , \tile_x7y8_s4beg[9] , \tile_x7y8_s4beg[8] , \tile_x7y8_s4beg[7] , \tile_x7y8_s4beg[6] , \tile_x7y8_s4beg[5] , \tile_x7y8_s4beg[4] , \tile_x7y8_s4beg[3] , \tile_x7y8_s4beg[2] , \tile_x7y8_s4beg[1] , \tile_x7y8_s4beg[0] }),
.s4end({ \tile_x7y7_s4beg[15] , \tile_x7y7_s4beg[14] , \tile_x7y7_s4beg[13] , \tile_x7y7_s4beg[12] , \tile_x7y7_s4beg[11] , \tile_x7y7_s4beg[10] , \tile_x7y7_s4beg[9] , \tile_x7y7_s4beg[8] , \tile_x7y7_s4beg[7] , \tile_x7y7_s4beg[6] , \tile_x7y7_s4beg[5] , \tile_x7y7_s4beg[4] , \tile_x7y7_s4beg[3] , \tile_x7y7_s4beg[2] , \tile_x7y7_s4beg[1] , \tile_x7y7_s4beg[0] }),
.ss4beg({ \tile_x7y8_ss4beg[15] , \tile_x7y8_ss4beg[14] , \tile_x7y8_ss4beg[13] , \tile_x7y8_ss4beg[12] , \tile_x7y8_ss4beg[11] , \tile_x7y8_ss4beg[10] , \tile_x7y8_ss4beg[9] , \tile_x7y8_ss4beg[8] , \tile_x7y8_ss4beg[7] , \tile_x7y8_ss4beg[6] , \tile_x7y8_ss4beg[5] , \tile_x7y8_ss4beg[4] , \tile_x7y8_ss4beg[3] , \tile_x7y8_ss4beg[2] , \tile_x7y8_ss4beg[1] , \tile_x7y8_ss4beg[0] }),
.ss4end({ \tile_x7y7_ss4beg[15] , \tile_x7y7_ss4beg[14] , \tile_x7y7_ss4beg[13] , \tile_x7y7_ss4beg[12] , \tile_x7y7_ss4beg[11] , \tile_x7y7_ss4beg[10] , \tile_x7y7_ss4beg[9] , \tile_x7y7_ss4beg[8] , \tile_x7y7_ss4beg[7] , \tile_x7y7_ss4beg[6] , \tile_x7y7_ss4beg[5] , \tile_x7y7_ss4beg[4] , \tile_x7y7_ss4beg[3] , \tile_x7y7_ss4beg[2] , \tile_x7y7_ss4beg[1] , \tile_x7y7_ss4beg[0] }),
.userclk(tile_x7y9_userclko),
.userclko(tile_x7y8_userclko),
.w1beg({ \tile_x7y8_w1beg[3] , \tile_x7y8_w1beg[2] , \tile_x7y8_w1beg[1] , \tile_x7y8_w1beg[0] }),
.w1end({ \tile_x8y8_w1beg[3] , \tile_x8y8_w1beg[2] , \tile_x8y8_w1beg[1] , \tile_x8y8_w1beg[0] }),
.w2beg({ \tile_x7y8_w2beg[7] , \tile_x7y8_w2beg[6] , \tile_x7y8_w2beg[5] , \tile_x7y8_w2beg[4] , \tile_x7y8_w2beg[3] , \tile_x7y8_w2beg[2] , \tile_x7y8_w2beg[1] , \tile_x7y8_w2beg[0] }),
.w2begb({ \tile_x7y8_w2begb[7] , \tile_x7y8_w2begb[6] , \tile_x7y8_w2begb[5] , \tile_x7y8_w2begb[4] , \tile_x7y8_w2begb[3] , \tile_x7y8_w2begb[2] , \tile_x7y8_w2begb[1] , \tile_x7y8_w2begb[0] }),
.w2end({ \tile_x8y8_w2begb[7] , \tile_x8y8_w2begb[6] , \tile_x8y8_w2begb[5] , \tile_x8y8_w2begb[4] , \tile_x8y8_w2begb[3] , \tile_x8y8_w2begb[2] , \tile_x8y8_w2begb[1] , \tile_x8y8_w2begb[0] }),
.w2mid({ \tile_x8y8_w2beg[7] , \tile_x8y8_w2beg[6] , \tile_x8y8_w2beg[5] , \tile_x8y8_w2beg[4] , \tile_x8y8_w2beg[3] , \tile_x8y8_w2beg[2] , \tile_x8y8_w2beg[1] , \tile_x8y8_w2beg[0] }),
.w6beg({ \tile_x7y8_w6beg[11] , \tile_x7y8_w6beg[10] , \tile_x7y8_w6beg[9] , \tile_x7y8_w6beg[8] , \tile_x7y8_w6beg[7] , \tile_x7y8_w6beg[6] , \tile_x7y8_w6beg[5] , \tile_x7y8_w6beg[4] , \tile_x7y8_w6beg[3] , \tile_x7y8_w6beg[2] , \tile_x7y8_w6beg[1] , \tile_x7y8_w6beg[0] }),
.w6end({ \tile_x8y8_w6beg[11] , \tile_x8y8_w6beg[10] , \tile_x8y8_w6beg[9] , \tile_x8y8_w6beg[8] , \tile_x8y8_w6beg[7] , \tile_x8y8_w6beg[6] , \tile_x8y8_w6beg[5] , \tile_x8y8_w6beg[4] , \tile_x8y8_w6beg[3] , \tile_x8y8_w6beg[2] , \tile_x8y8_w6beg[1] , \tile_x8y8_w6beg[0] }),
.ww4beg({ \tile_x7y8_ww4beg[15] , \tile_x7y8_ww4beg[14] , \tile_x7y8_ww4beg[13] , \tile_x7y8_ww4beg[12] , \tile_x7y8_ww4beg[11] , \tile_x7y8_ww4beg[10] , \tile_x7y8_ww4beg[9] , \tile_x7y8_ww4beg[8] , \tile_x7y8_ww4beg[7] , \tile_x7y8_ww4beg[6] , \tile_x7y8_ww4beg[5] , \tile_x7y8_ww4beg[4] , \tile_x7y8_ww4beg[3] , \tile_x7y8_ww4beg[2] , \tile_x7y8_ww4beg[1] , \tile_x7y8_ww4beg[0] }),
.ww4end({ \tile_x8y8_ww4beg[15] , \tile_x8y8_ww4beg[14] , \tile_x8y8_ww4beg[13] , \tile_x8y8_ww4beg[12] , \tile_x8y8_ww4beg[11] , \tile_x8y8_ww4beg[10] , \tile_x8y8_ww4beg[9] , \tile_x8y8_ww4beg[8] , \tile_x8y8_ww4beg[7] , \tile_x8y8_ww4beg[6] , \tile_x8y8_ww4beg[5] , \tile_x8y8_ww4beg[4] , \tile_x8y8_ww4beg[3] , \tile_x8y8_ww4beg[2] , \tile_x8y8_ww4beg[1] , \tile_x8y8_ww4beg[0] })
);
lut4ab tile_x7y9_lut4ab (
.ci(tile_x7y10_co),
.co(tile_x7y9_co),
.e1beg({ \tile_x7y9_e1beg[3] , \tile_x7y9_e1beg[2] , \tile_x7y9_e1beg[1] , \tile_x7y9_e1beg[0] }),
.e1end({ \tile_x6y9_e1beg[3] , \tile_x6y9_e1beg[2] , \tile_x6y9_e1beg[1] , \tile_x6y9_e1beg[0] }),
.e2beg({ \tile_x7y9_e2beg[7] , \tile_x7y9_e2beg[6] , \tile_x7y9_e2beg[5] , \tile_x7y9_e2beg[4] , \tile_x7y9_e2beg[3] , \tile_x7y9_e2beg[2] , \tile_x7y9_e2beg[1] , \tile_x7y9_e2beg[0] }),
.e2begb({ \tile_x7y9_e2begb[7] , \tile_x7y9_e2begb[6] , \tile_x7y9_e2begb[5] , \tile_x7y9_e2begb[4] , \tile_x7y9_e2begb[3] , \tile_x7y9_e2begb[2] , \tile_x7y9_e2begb[1] , \tile_x7y9_e2begb[0] }),
.e2end({ \tile_x6y9_e2begb[7] , \tile_x6y9_e2begb[6] , \tile_x6y9_e2begb[5] , \tile_x6y9_e2begb[4] , \tile_x6y9_e2begb[3] , \tile_x6y9_e2begb[2] , \tile_x6y9_e2begb[1] , \tile_x6y9_e2begb[0] }),
.e2mid({ \tile_x6y9_e2beg[7] , \tile_x6y9_e2beg[6] , \tile_x6y9_e2beg[5] , \tile_x6y9_e2beg[4] , \tile_x6y9_e2beg[3] , \tile_x6y9_e2beg[2] , \tile_x6y9_e2beg[1] , \tile_x6y9_e2beg[0] }),
.e6beg({ \tile_x7y9_e6beg[11] , \tile_x7y9_e6beg[10] , \tile_x7y9_e6beg[9] , \tile_x7y9_e6beg[8] , \tile_x7y9_e6beg[7] , \tile_x7y9_e6beg[6] , \tile_x7y9_e6beg[5] , \tile_x7y9_e6beg[4] , \tile_x7y9_e6beg[3] , \tile_x7y9_e6beg[2] , \tile_x7y9_e6beg[1] , \tile_x7y9_e6beg[0] }),
.e6end({ \tile_x6y9_e6beg[11] , \tile_x6y9_e6beg[10] , \tile_x6y9_e6beg[9] , \tile_x6y9_e6beg[8] , \tile_x6y9_e6beg[7] , \tile_x6y9_e6beg[6] , \tile_x6y9_e6beg[5] , \tile_x6y9_e6beg[4] , \tile_x6y9_e6beg[3] , \tile_x6y9_e6beg[2] , \tile_x6y9_e6beg[1] , \tile_x6y9_e6beg[0] }),
.ee4beg({ \tile_x7y9_ee4beg[15] , \tile_x7y9_ee4beg[14] , \tile_x7y9_ee4beg[13] , \tile_x7y9_ee4beg[12] , \tile_x7y9_ee4beg[11] , \tile_x7y9_ee4beg[10] , \tile_x7y9_ee4beg[9] , \tile_x7y9_ee4beg[8] , \tile_x7y9_ee4beg[7] , \tile_x7y9_ee4beg[6] , \tile_x7y9_ee4beg[5] , \tile_x7y9_ee4beg[4] , \tile_x7y9_ee4beg[3] , \tile_x7y9_ee4beg[2] , \tile_x7y9_ee4beg[1] , \tile_x7y9_ee4beg[0] }),
.ee4end({ \tile_x6y9_ee4beg[15] , \tile_x6y9_ee4beg[14] , \tile_x6y9_ee4beg[13] , \tile_x6y9_ee4beg[12] , \tile_x6y9_ee4beg[11] , \tile_x6y9_ee4beg[10] , \tile_x6y9_ee4beg[9] , \tile_x6y9_ee4beg[8] , \tile_x6y9_ee4beg[7] , \tile_x6y9_ee4beg[6] , \tile_x6y9_ee4beg[5] , \tile_x6y9_ee4beg[4] , \tile_x6y9_ee4beg[3] , \tile_x6y9_ee4beg[2] , \tile_x6y9_ee4beg[1] , \tile_x6y9_ee4beg[0] }),
.framedata({ \tile_x6y9_framedata_o[31] , \tile_x6y9_framedata_o[30] , \tile_x6y9_framedata_o[29] , \tile_x6y9_framedata_o[28] , \tile_x6y9_framedata_o[27] , \tile_x6y9_framedata_o[26] , \tile_x6y9_framedata_o[25] , \tile_x6y9_framedata_o[24] , \tile_x6y9_framedata_o[23] , \tile_x6y9_framedata_o[22] , \tile_x6y9_framedata_o[21] , \tile_x6y9_framedata_o[20] , \tile_x6y9_framedata_o[19] , \tile_x6y9_framedata_o[18] , \tile_x6y9_framedata_o[17] , \tile_x6y9_framedata_o[16] , \tile_x6y9_framedata_o[15] , \tile_x6y9_framedata_o[14] , \tile_x6y9_framedata_o[13] , \tile_x6y9_framedata_o[12] , \tile_x6y9_framedata_o[11] , \tile_x6y9_framedata_o[10] , \tile_x6y9_framedata_o[9] , \tile_x6y9_framedata_o[8] , \tile_x6y9_framedata_o[7] , \tile_x6y9_framedata_o[6] , \tile_x6y9_framedata_o[5] , \tile_x6y9_framedata_o[4] , \tile_x6y9_framedata_o[3] , \tile_x6y9_framedata_o[2] , \tile_x6y9_framedata_o[1] , \tile_x6y9_framedata_o[0] }),
.framedata_o({ \tile_x7y9_framedata_o[31] , \tile_x7y9_framedata_o[30] , \tile_x7y9_framedata_o[29] , \tile_x7y9_framedata_o[28] , \tile_x7y9_framedata_o[27] , \tile_x7y9_framedata_o[26] , \tile_x7y9_framedata_o[25] , \tile_x7y9_framedata_o[24] , \tile_x7y9_framedata_o[23] , \tile_x7y9_framedata_o[22] , \tile_x7y9_framedata_o[21] , \tile_x7y9_framedata_o[20] , \tile_x7y9_framedata_o[19] , \tile_x7y9_framedata_o[18] , \tile_x7y9_framedata_o[17] , \tile_x7y9_framedata_o[16] , \tile_x7y9_framedata_o[15] , \tile_x7y9_framedata_o[14] , \tile_x7y9_framedata_o[13] , \tile_x7y9_framedata_o[12] , \tile_x7y9_framedata_o[11] , \tile_x7y9_framedata_o[10] , \tile_x7y9_framedata_o[9] , \tile_x7y9_framedata_o[8] , \tile_x7y9_framedata_o[7] , \tile_x7y9_framedata_o[6] , \tile_x7y9_framedata_o[5] , \tile_x7y9_framedata_o[4] , \tile_x7y9_framedata_o[3] , \tile_x7y9_framedata_o[2] , \tile_x7y9_framedata_o[1] , \tile_x7y9_framedata_o[0] }),
.framestrobe({ \tile_x7y10_framestrobe_o[19] , \tile_x7y10_framestrobe_o[18] , \tile_x7y10_framestrobe_o[17] , \tile_x7y10_framestrobe_o[16] , \tile_x7y10_framestrobe_o[15] , \tile_x7y10_framestrobe_o[14] , \tile_x7y10_framestrobe_o[13] , \tile_x7y10_framestrobe_o[12] , \tile_x7y10_framestrobe_o[11] , \tile_x7y10_framestrobe_o[10] , \tile_x7y10_framestrobe_o[9] , \tile_x7y10_framestrobe_o[8] , \tile_x7y10_framestrobe_o[7] , \tile_x7y10_framestrobe_o[6] , \tile_x7y10_framestrobe_o[5] , \tile_x7y10_framestrobe_o[4] , \tile_x7y10_framestrobe_o[3] , \tile_x7y10_framestrobe_o[2] , \tile_x7y10_framestrobe_o[1] , \tile_x7y10_framestrobe_o[0] }),
.framestrobe_o({ \tile_x7y9_framestrobe_o[19] , \tile_x7y9_framestrobe_o[18] , \tile_x7y9_framestrobe_o[17] , \tile_x7y9_framestrobe_o[16] , \tile_x7y9_framestrobe_o[15] , \tile_x7y9_framestrobe_o[14] , \tile_x7y9_framestrobe_o[13] , \tile_x7y9_framestrobe_o[12] , \tile_x7y9_framestrobe_o[11] , \tile_x7y9_framestrobe_o[10] , \tile_x7y9_framestrobe_o[9] , \tile_x7y9_framestrobe_o[8] , \tile_x7y9_framestrobe_o[7] , \tile_x7y9_framestrobe_o[6] , \tile_x7y9_framestrobe_o[5] , \tile_x7y9_framestrobe_o[4] , \tile_x7y9_framestrobe_o[3] , \tile_x7y9_framestrobe_o[2] , \tile_x7y9_framestrobe_o[1] , \tile_x7y9_framestrobe_o[0] }),
.n1beg({ \tile_x7y9_n1beg[3] , \tile_x7y9_n1beg[2] , \tile_x7y9_n1beg[1] , \tile_x7y9_n1beg[0] }),
.n1end({ \tile_x7y10_n1beg[3] , \tile_x7y10_n1beg[2] , \tile_x7y10_n1beg[1] , \tile_x7y10_n1beg[0] }),
.n2beg({ \tile_x7y9_n2beg[7] , \tile_x7y9_n2beg[6] , \tile_x7y9_n2beg[5] , \tile_x7y9_n2beg[4] , \tile_x7y9_n2beg[3] , \tile_x7y9_n2beg[2] , \tile_x7y9_n2beg[1] , \tile_x7y9_n2beg[0] }),
.n2begb({ \tile_x7y9_n2begb[7] , \tile_x7y9_n2begb[6] , \tile_x7y9_n2begb[5] , \tile_x7y9_n2begb[4] , \tile_x7y9_n2begb[3] , \tile_x7y9_n2begb[2] , \tile_x7y9_n2begb[1] , \tile_x7y9_n2begb[0] }),
.n2end({ \tile_x7y10_n2begb[7] , \tile_x7y10_n2begb[6] , \tile_x7y10_n2begb[5] , \tile_x7y10_n2begb[4] , \tile_x7y10_n2begb[3] , \tile_x7y10_n2begb[2] , \tile_x7y10_n2begb[1] , \tile_x7y10_n2begb[0] }),
.n2mid({ \tile_x7y10_n2beg[7] , \tile_x7y10_n2beg[6] , \tile_x7y10_n2beg[5] , \tile_x7y10_n2beg[4] , \tile_x7y10_n2beg[3] , \tile_x7y10_n2beg[2] , \tile_x7y10_n2beg[1] , \tile_x7y10_n2beg[0] }),
.n4beg({ \tile_x7y9_n4beg[15] , \tile_x7y9_n4beg[14] , \tile_x7y9_n4beg[13] , \tile_x7y9_n4beg[12] , \tile_x7y9_n4beg[11] , \tile_x7y9_n4beg[10] , \tile_x7y9_n4beg[9] , \tile_x7y9_n4beg[8] , \tile_x7y9_n4beg[7] , \tile_x7y9_n4beg[6] , \tile_x7y9_n4beg[5] , \tile_x7y9_n4beg[4] , \tile_x7y9_n4beg[3] , \tile_x7y9_n4beg[2] , \tile_x7y9_n4beg[1] , \tile_x7y9_n4beg[0] }),
.n4end({ \tile_x7y10_n4beg[15] , \tile_x7y10_n4beg[14] , \tile_x7y10_n4beg[13] , \tile_x7y10_n4beg[12] , \tile_x7y10_n4beg[11] , \tile_x7y10_n4beg[10] , \tile_x7y10_n4beg[9] , \tile_x7y10_n4beg[8] , \tile_x7y10_n4beg[7] , \tile_x7y10_n4beg[6] , \tile_x7y10_n4beg[5] , \tile_x7y10_n4beg[4] , \tile_x7y10_n4beg[3] , \tile_x7y10_n4beg[2] , \tile_x7y10_n4beg[1] , \tile_x7y10_n4beg[0] }),
.nn4beg({ \tile_x7y9_nn4beg[15] , \tile_x7y9_nn4beg[14] , \tile_x7y9_nn4beg[13] , \tile_x7y9_nn4beg[12] , \tile_x7y9_nn4beg[11] , \tile_x7y9_nn4beg[10] , \tile_x7y9_nn4beg[9] , \tile_x7y9_nn4beg[8] , \tile_x7y9_nn4beg[7] , \tile_x7y9_nn4beg[6] , \tile_x7y9_nn4beg[5] , \tile_x7y9_nn4beg[4] , \tile_x7y9_nn4beg[3] , \tile_x7y9_nn4beg[2] , \tile_x7y9_nn4beg[1] , \tile_x7y9_nn4beg[0] }),
.nn4end({ \tile_x7y10_nn4beg[15] , \tile_x7y10_nn4beg[14] , \tile_x7y10_nn4beg[13] , \tile_x7y10_nn4beg[12] , \tile_x7y10_nn4beg[11] , \tile_x7y10_nn4beg[10] , \tile_x7y10_nn4beg[9] , \tile_x7y10_nn4beg[8] , \tile_x7y10_nn4beg[7] , \tile_x7y10_nn4beg[6] , \tile_x7y10_nn4beg[5] , \tile_x7y10_nn4beg[4] , \tile_x7y10_nn4beg[3] , \tile_x7y10_nn4beg[2] , \tile_x7y10_nn4beg[1] , \tile_x7y10_nn4beg[0] }),
.s1beg({ \tile_x7y9_s1beg[3] , \tile_x7y9_s1beg[2] , \tile_x7y9_s1beg[1] , \tile_x7y9_s1beg[0] }),
.s1end({ \tile_x7y8_s1beg[3] , \tile_x7y8_s1beg[2] , \tile_x7y8_s1beg[1] , \tile_x7y8_s1beg[0] }),
.s2beg({ \tile_x7y9_s2beg[7] , \tile_x7y9_s2beg[6] , \tile_x7y9_s2beg[5] , \tile_x7y9_s2beg[4] , \tile_x7y9_s2beg[3] , \tile_x7y9_s2beg[2] , \tile_x7y9_s2beg[1] , \tile_x7y9_s2beg[0] }),
.s2begb({ \tile_x7y9_s2begb[7] , \tile_x7y9_s2begb[6] , \tile_x7y9_s2begb[5] , \tile_x7y9_s2begb[4] , \tile_x7y9_s2begb[3] , \tile_x7y9_s2begb[2] , \tile_x7y9_s2begb[1] , \tile_x7y9_s2begb[0] }),
.s2end({ \tile_x7y8_s2begb[7] , \tile_x7y8_s2begb[6] , \tile_x7y8_s2begb[5] , \tile_x7y8_s2begb[4] , \tile_x7y8_s2begb[3] , \tile_x7y8_s2begb[2] , \tile_x7y8_s2begb[1] , \tile_x7y8_s2begb[0] }),
.s2mid({ \tile_x7y8_s2beg[7] , \tile_x7y8_s2beg[6] , \tile_x7y8_s2beg[5] , \tile_x7y8_s2beg[4] , \tile_x7y8_s2beg[3] , \tile_x7y8_s2beg[2] , \tile_x7y8_s2beg[1] , \tile_x7y8_s2beg[0] }),
.s4beg({ \tile_x7y9_s4beg[15] , \tile_x7y9_s4beg[14] , \tile_x7y9_s4beg[13] , \tile_x7y9_s4beg[12] , \tile_x7y9_s4beg[11] , \tile_x7y9_s4beg[10] , \tile_x7y9_s4beg[9] , \tile_x7y9_s4beg[8] , \tile_x7y9_s4beg[7] , \tile_x7y9_s4beg[6] , \tile_x7y9_s4beg[5] , \tile_x7y9_s4beg[4] , \tile_x7y9_s4beg[3] , \tile_x7y9_s4beg[2] , \tile_x7y9_s4beg[1] , \tile_x7y9_s4beg[0] }),
.s4end({ \tile_x7y8_s4beg[15] , \tile_x7y8_s4beg[14] , \tile_x7y8_s4beg[13] , \tile_x7y8_s4beg[12] , \tile_x7y8_s4beg[11] , \tile_x7y8_s4beg[10] , \tile_x7y8_s4beg[9] , \tile_x7y8_s4beg[8] , \tile_x7y8_s4beg[7] , \tile_x7y8_s4beg[6] , \tile_x7y8_s4beg[5] , \tile_x7y8_s4beg[4] , \tile_x7y8_s4beg[3] , \tile_x7y8_s4beg[2] , \tile_x7y8_s4beg[1] , \tile_x7y8_s4beg[0] }),
.ss4beg({ \tile_x7y9_ss4beg[15] , \tile_x7y9_ss4beg[14] , \tile_x7y9_ss4beg[13] , \tile_x7y9_ss4beg[12] , \tile_x7y9_ss4beg[11] , \tile_x7y9_ss4beg[10] , \tile_x7y9_ss4beg[9] , \tile_x7y9_ss4beg[8] , \tile_x7y9_ss4beg[7] , \tile_x7y9_ss4beg[6] , \tile_x7y9_ss4beg[5] , \tile_x7y9_ss4beg[4] , \tile_x7y9_ss4beg[3] , \tile_x7y9_ss4beg[2] , \tile_x7y9_ss4beg[1] , \tile_x7y9_ss4beg[0] }),
.ss4end({ \tile_x7y8_ss4beg[15] , \tile_x7y8_ss4beg[14] , \tile_x7y8_ss4beg[13] , \tile_x7y8_ss4beg[12] , \tile_x7y8_ss4beg[11] , \tile_x7y8_ss4beg[10] , \tile_x7y8_ss4beg[9] , \tile_x7y8_ss4beg[8] , \tile_x7y8_ss4beg[7] , \tile_x7y8_ss4beg[6] , \tile_x7y8_ss4beg[5] , \tile_x7y8_ss4beg[4] , \tile_x7y8_ss4beg[3] , \tile_x7y8_ss4beg[2] , \tile_x7y8_ss4beg[1] , \tile_x7y8_ss4beg[0] }),
.userclk(tile_x7y10_userclko),
.userclko(tile_x7y9_userclko),
.w1beg({ \tile_x7y9_w1beg[3] , \tile_x7y9_w1beg[2] , \tile_x7y9_w1beg[1] , \tile_x7y9_w1beg[0] }),
.w1end({ \tile_x8y9_w1beg[3] , \tile_x8y9_w1beg[2] , \tile_x8y9_w1beg[1] , \tile_x8y9_w1beg[0] }),
.w2beg({ \tile_x7y9_w2beg[7] , \tile_x7y9_w2beg[6] , \tile_x7y9_w2beg[5] , \tile_x7y9_w2beg[4] , \tile_x7y9_w2beg[3] , \tile_x7y9_w2beg[2] , \tile_x7y9_w2beg[1] , \tile_x7y9_w2beg[0] }),
.w2begb({ \tile_x7y9_w2begb[7] , \tile_x7y9_w2begb[6] , \tile_x7y9_w2begb[5] , \tile_x7y9_w2begb[4] , \tile_x7y9_w2begb[3] , \tile_x7y9_w2begb[2] , \tile_x7y9_w2begb[1] , \tile_x7y9_w2begb[0] }),
.w2end({ \tile_x8y9_w2begb[7] , \tile_x8y9_w2begb[6] , \tile_x8y9_w2begb[5] , \tile_x8y9_w2begb[4] , \tile_x8y9_w2begb[3] , \tile_x8y9_w2begb[2] , \tile_x8y9_w2begb[1] , \tile_x8y9_w2begb[0] }),
.w2mid({ \tile_x8y9_w2beg[7] , \tile_x8y9_w2beg[6] , \tile_x8y9_w2beg[5] , \tile_x8y9_w2beg[4] , \tile_x8y9_w2beg[3] , \tile_x8y9_w2beg[2] , \tile_x8y9_w2beg[1] , \tile_x8y9_w2beg[0] }),
.w6beg({ \tile_x7y9_w6beg[11] , \tile_x7y9_w6beg[10] , \tile_x7y9_w6beg[9] , \tile_x7y9_w6beg[8] , \tile_x7y9_w6beg[7] , \tile_x7y9_w6beg[6] , \tile_x7y9_w6beg[5] , \tile_x7y9_w6beg[4] , \tile_x7y9_w6beg[3] , \tile_x7y9_w6beg[2] , \tile_x7y9_w6beg[1] , \tile_x7y9_w6beg[0] }),
.w6end({ \tile_x8y9_w6beg[11] , \tile_x8y9_w6beg[10] , \tile_x8y9_w6beg[9] , \tile_x8y9_w6beg[8] , \tile_x8y9_w6beg[7] , \tile_x8y9_w6beg[6] , \tile_x8y9_w6beg[5] , \tile_x8y9_w6beg[4] , \tile_x8y9_w6beg[3] , \tile_x8y9_w6beg[2] , \tile_x8y9_w6beg[1] , \tile_x8y9_w6beg[0] }),
.ww4beg({ \tile_x7y9_ww4beg[15] , \tile_x7y9_ww4beg[14] , \tile_x7y9_ww4beg[13] , \tile_x7y9_ww4beg[12] , \tile_x7y9_ww4beg[11] , \tile_x7y9_ww4beg[10] , \tile_x7y9_ww4beg[9] , \tile_x7y9_ww4beg[8] , \tile_x7y9_ww4beg[7] , \tile_x7y9_ww4beg[6] , \tile_x7y9_ww4beg[5] , \tile_x7y9_ww4beg[4] , \tile_x7y9_ww4beg[3] , \tile_x7y9_ww4beg[2] , \tile_x7y9_ww4beg[1] , \tile_x7y9_ww4beg[0] }),
.ww4end({ \tile_x8y9_ww4beg[15] , \tile_x8y9_ww4beg[14] , \tile_x8y9_ww4beg[13] , \tile_x8y9_ww4beg[12] , \tile_x8y9_ww4beg[11] , \tile_x8y9_ww4beg[10] , \tile_x8y9_ww4beg[9] , \tile_x8y9_ww4beg[8] , \tile_x8y9_ww4beg[7] , \tile_x8y9_ww4beg[6] , \tile_x8y9_ww4beg[5] , \tile_x8y9_ww4beg[4] , \tile_x8y9_ww4beg[3] , \tile_x8y9_ww4beg[2] , \tile_x8y9_ww4beg[1] , \tile_x8y9_ww4beg[0] })
);
n_term_dsp tile_x8y0_n_term_dsp (
.framestrobe({ \tile_x8y1_framestrobe_o[19] , \tile_x8y1_framestrobe_o[18] , \tile_x8y1_framestrobe_o[17] , \tile_x8y1_framestrobe_o[16] , \tile_x8y1_framestrobe_o[15] , \tile_x8y1_framestrobe_o[14] , \tile_x8y1_framestrobe_o[13] , \tile_x8y1_framestrobe_o[12] , \tile_x8y1_framestrobe_o[11] , \tile_x8y1_framestrobe_o[10] , \tile_x8y1_framestrobe_o[9] , \tile_x8y1_framestrobe_o[8] , \tile_x8y1_framestrobe_o[7] , \tile_x8y1_framestrobe_o[6] , \tile_x8y1_framestrobe_o[5] , \tile_x8y1_framestrobe_o[4] , \tile_x8y1_framestrobe_o[3] , \tile_x8y1_framestrobe_o[2] , \tile_x8y1_framestrobe_o[1] , \tile_x8y1_framestrobe_o[0] }),
.framestrobe_o({ \tile_x8y0_framestrobe_o[19] , \tile_x8y0_framestrobe_o[18] , \tile_x8y0_framestrobe_o[17] , \tile_x8y0_framestrobe_o[16] , \tile_x8y0_framestrobe_o[15] , \tile_x8y0_framestrobe_o[14] , \tile_x8y0_framestrobe_o[13] , \tile_x8y0_framestrobe_o[12] , \tile_x8y0_framestrobe_o[11] , \tile_x8y0_framestrobe_o[10] , \tile_x8y0_framestrobe_o[9] , \tile_x8y0_framestrobe_o[8] , \tile_x8y0_framestrobe_o[7] , \tile_x8y0_framestrobe_o[6] , \tile_x8y0_framestrobe_o[5] , \tile_x8y0_framestrobe_o[4] , \tile_x8y0_framestrobe_o[3] , \tile_x8y0_framestrobe_o[2] , \tile_x8y0_framestrobe_o[1] , \tile_x8y0_framestrobe_o[0] }),
.n1end({ \tile_x8y1_n1beg[3] , \tile_x8y1_n1beg[2] , \tile_x8y1_n1beg[1] , \tile_x8y1_n1beg[0] }),
.n2end({ \tile_x8y1_n2begb[7] , \tile_x8y1_n2begb[6] , \tile_x8y1_n2begb[5] , \tile_x8y1_n2begb[4] , \tile_x8y1_n2begb[3] , \tile_x8y1_n2begb[2] , \tile_x8y1_n2begb[1] , \tile_x8y1_n2begb[0] }),
.n2mid({ \tile_x8y1_n2beg[7] , \tile_x8y1_n2beg[6] , \tile_x8y1_n2beg[5] , \tile_x8y1_n2beg[4] , \tile_x8y1_n2beg[3] , \tile_x8y1_n2beg[2] , \tile_x8y1_n2beg[1] , \tile_x8y1_n2beg[0] }),
.n4end({ \tile_x8y1_n4beg[15] , \tile_x8y1_n4beg[14] , \tile_x8y1_n4beg[13] , \tile_x8y1_n4beg[12] , \tile_x8y1_n4beg[11] , \tile_x8y1_n4beg[10] , \tile_x8y1_n4beg[9] , \tile_x8y1_n4beg[8] , \tile_x8y1_n4beg[7] , \tile_x8y1_n4beg[6] , \tile_x8y1_n4beg[5] , \tile_x8y1_n4beg[4] , \tile_x8y1_n4beg[3] , \tile_x8y1_n4beg[2] , \tile_x8y1_n4beg[1] , \tile_x8y1_n4beg[0] }),
.nn4end({ \tile_x8y1_nn4beg[15] , \tile_x8y1_nn4beg[14] , \tile_x8y1_nn4beg[13] , \tile_x8y1_nn4beg[12] , \tile_x8y1_nn4beg[11] , \tile_x8y1_nn4beg[10] , \tile_x8y1_nn4beg[9] , \tile_x8y1_nn4beg[8] , \tile_x8y1_nn4beg[7] , \tile_x8y1_nn4beg[6] , \tile_x8y1_nn4beg[5] , \tile_x8y1_nn4beg[4] , \tile_x8y1_nn4beg[3] , \tile_x8y1_nn4beg[2] , \tile_x8y1_nn4beg[1] , \tile_x8y1_nn4beg[0] }),
.s1beg({ \tile_x8y0_s1beg[3] , \tile_x8y0_s1beg[2] , \tile_x8y0_s1beg[1] , \tile_x8y0_s1beg[0] }),
.s2beg({ \tile_x8y0_s2beg[7] , \tile_x8y0_s2beg[6] , \tile_x8y0_s2beg[5] , \tile_x8y0_s2beg[4] , \tile_x8y0_s2beg[3] , \tile_x8y0_s2beg[2] , \tile_x8y0_s2beg[1] , \tile_x8y0_s2beg[0] }),
.s2begb({ \tile_x8y0_s2begb[7] , \tile_x8y0_s2begb[6] , \tile_x8y0_s2begb[5] , \tile_x8y0_s2begb[4] , \tile_x8y0_s2begb[3] , \tile_x8y0_s2begb[2] , \tile_x8y0_s2begb[1] , \tile_x8y0_s2begb[0] }),
.s4beg({ \tile_x8y0_s4beg[15] , \tile_x8y0_s4beg[14] , \tile_x8y0_s4beg[13] , \tile_x8y0_s4beg[12] , \tile_x8y0_s4beg[11] , \tile_x8y0_s4beg[10] , \tile_x8y0_s4beg[9] , \tile_x8y0_s4beg[8] , \tile_x8y0_s4beg[7] , \tile_x8y0_s4beg[6] , \tile_x8y0_s4beg[5] , \tile_x8y0_s4beg[4] , \tile_x8y0_s4beg[3] , \tile_x8y0_s4beg[2] , \tile_x8y0_s4beg[1] , \tile_x8y0_s4beg[0] }),
.ss4beg({ \tile_x8y0_ss4beg[15] , \tile_x8y0_ss4beg[14] , \tile_x8y0_ss4beg[13] , \tile_x8y0_ss4beg[12] , \tile_x8y0_ss4beg[11] , \tile_x8y0_ss4beg[10] , \tile_x8y0_ss4beg[9] , \tile_x8y0_ss4beg[8] , \tile_x8y0_ss4beg[7] , \tile_x8y0_ss4beg[6] , \tile_x8y0_ss4beg[5] , \tile_x8y0_ss4beg[4] , \tile_x8y0_ss4beg[3] , \tile_x8y0_ss4beg[2] , \tile_x8y0_ss4beg[1] , \tile_x8y0_ss4beg[0] }),
.userclk(tile_x8y1_userclko),
.userclko(tile_x8y0_userclko)
);
dsp tile_x8y11_x8y12_dsp_tile (
.framestrobe({ \tile_x8y13_framestrobe_o[19] , \tile_x8y13_framestrobe_o[18] , \tile_x8y13_framestrobe_o[17] , \tile_x8y13_framestrobe_o[16] , \tile_x8y13_framestrobe_o[15] , \tile_x8y13_framestrobe_o[14] , \tile_x8y13_framestrobe_o[13] , \tile_x8y13_framestrobe_o[12] , \tile_x8y13_framestrobe_o[11] , \tile_x8y13_framestrobe_o[10] , \tile_x8y13_framestrobe_o[9] , \tile_x8y13_framestrobe_o[8] , \tile_x8y13_framestrobe_o[7] , \tile_x8y13_framestrobe_o[6] , \tile_x8y13_framestrobe_o[5] , \tile_x8y13_framestrobe_o[4] , \tile_x8y13_framestrobe_o[3] , \tile_x8y13_framestrobe_o[2] , \tile_x8y13_framestrobe_o[1] , \tile_x8y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x8y11_framestrobe_o[19] , \tile_x8y11_framestrobe_o[18] , \tile_x8y11_framestrobe_o[17] , \tile_x8y11_framestrobe_o[16] , \tile_x8y11_framestrobe_o[15] , \tile_x8y11_framestrobe_o[14] , \tile_x8y11_framestrobe_o[13] , \tile_x8y11_framestrobe_o[12] , \tile_x8y11_framestrobe_o[11] , \tile_x8y11_framestrobe_o[10] , \tile_x8y11_framestrobe_o[9] , \tile_x8y11_framestrobe_o[8] , \tile_x8y11_framestrobe_o[7] , \tile_x8y11_framestrobe_o[6] , \tile_x8y11_framestrobe_o[5] , \tile_x8y11_framestrobe_o[4] , \tile_x8y11_framestrobe_o[3] , \tile_x8y11_framestrobe_o[2] , \tile_x8y11_framestrobe_o[1] , \tile_x8y11_framestrobe_o[0] }),
.userclk(tile_x8y13_userclko),
.userclko(tile_x8y11_userclko),
.bot_e1beg({ \tile_x8y12_e1beg[3] , \tile_x8y12_e1beg[2] , \tile_x8y12_e1beg[1] , \tile_x8y12_e1beg[0] }),
.bot_e1end({ \tile_x7y12_e1beg[3] , \tile_x7y12_e1beg[2] , \tile_x7y12_e1beg[1] , \tile_x7y12_e1beg[0] }),
.bot_e2beg({ \tile_x8y12_e2beg[7] , \tile_x8y12_e2beg[6] , \tile_x8y12_e2beg[5] , \tile_x8y12_e2beg[4] , \tile_x8y12_e2beg[3] , \tile_x8y12_e2beg[2] , \tile_x8y12_e2beg[1] , \tile_x8y12_e2beg[0] }),
.bot_e2begb({ \tile_x8y12_e2begb[7] , \tile_x8y12_e2begb[6] , \tile_x8y12_e2begb[5] , \tile_x8y12_e2begb[4] , \tile_x8y12_e2begb[3] , \tile_x8y12_e2begb[2] , \tile_x8y12_e2begb[1] , \tile_x8y12_e2begb[0] }),
.bot_e2end({ \tile_x7y12_e2begb[7] , \tile_x7y12_e2begb[6] , \tile_x7y12_e2begb[5] , \tile_x7y12_e2begb[4] , \tile_x7y12_e2begb[3] , \tile_x7y12_e2begb[2] , \tile_x7y12_e2begb[1] , \tile_x7y12_e2begb[0] }),
.bot_e2mid({ \tile_x7y12_e2beg[7] , \tile_x7y12_e2beg[6] , \tile_x7y12_e2beg[5] , \tile_x7y12_e2beg[4] , \tile_x7y12_e2beg[3] , \tile_x7y12_e2beg[2] , \tile_x7y12_e2beg[1] , \tile_x7y12_e2beg[0] }),
.bot_e6beg({ \tile_x8y12_e6beg[11] , \tile_x8y12_e6beg[10] , \tile_x8y12_e6beg[9] , \tile_x8y12_e6beg[8] , \tile_x8y12_e6beg[7] , \tile_x8y12_e6beg[6] , \tile_x8y12_e6beg[5] , \tile_x8y12_e6beg[4] , \tile_x8y12_e6beg[3] , \tile_x8y12_e6beg[2] , \tile_x8y12_e6beg[1] , \tile_x8y12_e6beg[0] }),
.bot_e6end({ \tile_x7y12_e6beg[11] , \tile_x7y12_e6beg[10] , \tile_x7y12_e6beg[9] , \tile_x7y12_e6beg[8] , \tile_x7y12_e6beg[7] , \tile_x7y12_e6beg[6] , \tile_x7y12_e6beg[5] , \tile_x7y12_e6beg[4] , \tile_x7y12_e6beg[3] , \tile_x7y12_e6beg[2] , \tile_x7y12_e6beg[1] , \tile_x7y12_e6beg[0] }),
.bot_ee4beg({ \tile_x8y12_ee4beg[15] , \tile_x8y12_ee4beg[14] , \tile_x8y12_ee4beg[13] , \tile_x8y12_ee4beg[12] , \tile_x8y12_ee4beg[11] , \tile_x8y12_ee4beg[10] , \tile_x8y12_ee4beg[9] , \tile_x8y12_ee4beg[8] , \tile_x8y12_ee4beg[7] , \tile_x8y12_ee4beg[6] , \tile_x8y12_ee4beg[5] , \tile_x8y12_ee4beg[4] , \tile_x8y12_ee4beg[3] , \tile_x8y12_ee4beg[2] , \tile_x8y12_ee4beg[1] , \tile_x8y12_ee4beg[0] }),
.bot_ee4end({ \tile_x7y12_ee4beg[15] , \tile_x7y12_ee4beg[14] , \tile_x7y12_ee4beg[13] , \tile_x7y12_ee4beg[12] , \tile_x7y12_ee4beg[11] , \tile_x7y12_ee4beg[10] , \tile_x7y12_ee4beg[9] , \tile_x7y12_ee4beg[8] , \tile_x7y12_ee4beg[7] , \tile_x7y12_ee4beg[6] , \tile_x7y12_ee4beg[5] , \tile_x7y12_ee4beg[4] , \tile_x7y12_ee4beg[3] , \tile_x7y12_ee4beg[2] , \tile_x7y12_ee4beg[1] , \tile_x7y12_ee4beg[0] }),
.bot_framedata({ \tile_x7y12_framedata_o[31] , \tile_x7y12_framedata_o[30] , \tile_x7y12_framedata_o[29] , \tile_x7y12_framedata_o[28] , \tile_x7y12_framedata_o[27] , \tile_x7y12_framedata_o[26] , \tile_x7y12_framedata_o[25] , \tile_x7y12_framedata_o[24] , \tile_x7y12_framedata_o[23] , \tile_x7y12_framedata_o[22] , \tile_x7y12_framedata_o[21] , \tile_x7y12_framedata_o[20] , \tile_x7y12_framedata_o[19] , \tile_x7y12_framedata_o[18] , \tile_x7y12_framedata_o[17] , \tile_x7y12_framedata_o[16] , \tile_x7y12_framedata_o[15] , \tile_x7y12_framedata_o[14] , \tile_x7y12_framedata_o[13] , \tile_x7y12_framedata_o[12] , \tile_x7y12_framedata_o[11] , \tile_x7y12_framedata_o[10] , \tile_x7y12_framedata_o[9] , \tile_x7y12_framedata_o[8] , \tile_x7y12_framedata_o[7] , \tile_x7y12_framedata_o[6] , \tile_x7y12_framedata_o[5] , \tile_x7y12_framedata_o[4] , \tile_x7y12_framedata_o[3] , \tile_x7y12_framedata_o[2] , \tile_x7y12_framedata_o[1] , \tile_x7y12_framedata_o[0] }),
.bot_framedata_o({ \tile_x8y12_framedata_o[31] , \tile_x8y12_framedata_o[30] , \tile_x8y12_framedata_o[29] , \tile_x8y12_framedata_o[28] , \tile_x8y12_framedata_o[27] , \tile_x8y12_framedata_o[26] , \tile_x8y12_framedata_o[25] , \tile_x8y12_framedata_o[24] , \tile_x8y12_framedata_o[23] , \tile_x8y12_framedata_o[22] , \tile_x8y12_framedata_o[21] , \tile_x8y12_framedata_o[20] , \tile_x8y12_framedata_o[19] , \tile_x8y12_framedata_o[18] , \tile_x8y12_framedata_o[17] , \tile_x8y12_framedata_o[16] , \tile_x8y12_framedata_o[15] , \tile_x8y12_framedata_o[14] , \tile_x8y12_framedata_o[13] , \tile_x8y12_framedata_o[12] , \tile_x8y12_framedata_o[11] , \tile_x8y12_framedata_o[10] , \tile_x8y12_framedata_o[9] , \tile_x8y12_framedata_o[8] , \tile_x8y12_framedata_o[7] , \tile_x8y12_framedata_o[6] , \tile_x8y12_framedata_o[5] , \tile_x8y12_framedata_o[4] , \tile_x8y12_framedata_o[3] , \tile_x8y12_framedata_o[2] , \tile_x8y12_framedata_o[1] , \tile_x8y12_framedata_o[0] }),
.bot_n1end({ \tile_x8y13_n1beg[3] , \tile_x8y13_n1beg[2] , \tile_x8y13_n1beg[1] , \tile_x8y13_n1beg[0] }),
.bot_n2end({ \tile_x8y13_n2begb[7] , \tile_x8y13_n2begb[6] , \tile_x8y13_n2begb[5] , \tile_x8y13_n2begb[4] , \tile_x8y13_n2begb[3] , \tile_x8y13_n2begb[2] , \tile_x8y13_n2begb[1] , \tile_x8y13_n2begb[0] }),
.bot_n2mid({ \tile_x8y13_n2beg[7] , \tile_x8y13_n2beg[6] , \tile_x8y13_n2beg[5] , \tile_x8y13_n2beg[4] , \tile_x8y13_n2beg[3] , \tile_x8y13_n2beg[2] , \tile_x8y13_n2beg[1] , \tile_x8y13_n2beg[0] }),
.bot_n4end({ \tile_x8y13_n4beg[15] , \tile_x8y13_n4beg[14] , \tile_x8y13_n4beg[13] , \tile_x8y13_n4beg[12] , \tile_x8y13_n4beg[11] , \tile_x8y13_n4beg[10] , \tile_x8y13_n4beg[9] , \tile_x8y13_n4beg[8] , \tile_x8y13_n4beg[7] , \tile_x8y13_n4beg[6] , \tile_x8y13_n4beg[5] , \tile_x8y13_n4beg[4] , \tile_x8y13_n4beg[3] , \tile_x8y13_n4beg[2] , \tile_x8y13_n4beg[1] , \tile_x8y13_n4beg[0] }),
.bot_nn4end({ \tile_x8y13_nn4beg[15] , \tile_x8y13_nn4beg[14] , \tile_x8y13_nn4beg[13] , \tile_x8y13_nn4beg[12] , \tile_x8y13_nn4beg[11] , \tile_x8y13_nn4beg[10] , \tile_x8y13_nn4beg[9] , \tile_x8y13_nn4beg[8] , \tile_x8y13_nn4beg[7] , \tile_x8y13_nn4beg[6] , \tile_x8y13_nn4beg[5] , \tile_x8y13_nn4beg[4] , \tile_x8y13_nn4beg[3] , \tile_x8y13_nn4beg[2] , \tile_x8y13_nn4beg[1] , \tile_x8y13_nn4beg[0] }),
.bot_s1beg({ \tile_x8y12_s1beg[3] , \tile_x8y12_s1beg[2] , \tile_x8y12_s1beg[1] , \tile_x8y12_s1beg[0] }),
.bot_s2beg({ \tile_x8y12_s2beg[7] , \tile_x8y12_s2beg[6] , \tile_x8y12_s2beg[5] , \tile_x8y12_s2beg[4] , \tile_x8y12_s2beg[3] , \tile_x8y12_s2beg[2] , \tile_x8y12_s2beg[1] , \tile_x8y12_s2beg[0] }),
.bot_s2begb({ \tile_x8y12_s2begb[7] , \tile_x8y12_s2begb[6] , \tile_x8y12_s2begb[5] , \tile_x8y12_s2begb[4] , \tile_x8y12_s2begb[3] , \tile_x8y12_s2begb[2] , \tile_x8y12_s2begb[1] , \tile_x8y12_s2begb[0] }),
.bot_s4beg({ \tile_x8y12_s4beg[15] , \tile_x8y12_s4beg[14] , \tile_x8y12_s4beg[13] , \tile_x8y12_s4beg[12] , \tile_x8y12_s4beg[11] , \tile_x8y12_s4beg[10] , \tile_x8y12_s4beg[9] , \tile_x8y12_s4beg[8] , \tile_x8y12_s4beg[7] , \tile_x8y12_s4beg[6] , \tile_x8y12_s4beg[5] , \tile_x8y12_s4beg[4] , \tile_x8y12_s4beg[3] , \tile_x8y12_s4beg[2] , \tile_x8y12_s4beg[1] , \tile_x8y12_s4beg[0] }),
.bot_ss4beg({ \tile_x8y12_ss4beg[15] , \tile_x8y12_ss4beg[14] , \tile_x8y12_ss4beg[13] , \tile_x8y12_ss4beg[12] , \tile_x8y12_ss4beg[11] , \tile_x8y12_ss4beg[10] , \tile_x8y12_ss4beg[9] , \tile_x8y12_ss4beg[8] , \tile_x8y12_ss4beg[7] , \tile_x8y12_ss4beg[6] , \tile_x8y12_ss4beg[5] , \tile_x8y12_ss4beg[4] , \tile_x8y12_ss4beg[3] , \tile_x8y12_ss4beg[2] , \tile_x8y12_ss4beg[1] , \tile_x8y12_ss4beg[0] }),
.bot_w1beg({ \tile_x8y12_w1beg[3] , \tile_x8y12_w1beg[2] , \tile_x8y12_w1beg[1] , \tile_x8y12_w1beg[0] }),
.bot_w1end({ \tile_x9y12_w1beg[3] , \tile_x9y12_w1beg[2] , \tile_x9y12_w1beg[1] , \tile_x9y12_w1beg[0] }),
.bot_w2beg({ \tile_x8y12_w2beg[7] , \tile_x8y12_w2beg[6] , \tile_x8y12_w2beg[5] , \tile_x8y12_w2beg[4] , \tile_x8y12_w2beg[3] , \tile_x8y12_w2beg[2] , \tile_x8y12_w2beg[1] , \tile_x8y12_w2beg[0] }),
.bot_w2begb({ \tile_x8y12_w2begb[7] , \tile_x8y12_w2begb[6] , \tile_x8y12_w2begb[5] , \tile_x8y12_w2begb[4] , \tile_x8y12_w2begb[3] , \tile_x8y12_w2begb[2] , \tile_x8y12_w2begb[1] , \tile_x8y12_w2begb[0] }),
.bot_w2end({ \tile_x9y12_w2begb[7] , \tile_x9y12_w2begb[6] , \tile_x9y12_w2begb[5] , \tile_x9y12_w2begb[4] , \tile_x9y12_w2begb[3] , \tile_x9y12_w2begb[2] , \tile_x9y12_w2begb[1] , \tile_x9y12_w2begb[0] }),
.bot_w2mid({ \tile_x9y12_w2beg[7] , \tile_x9y12_w2beg[6] , \tile_x9y12_w2beg[5] , \tile_x9y12_w2beg[4] , \tile_x9y12_w2beg[3] , \tile_x9y12_w2beg[2] , \tile_x9y12_w2beg[1] , \tile_x9y12_w2beg[0] }),
.bot_w6beg({ \tile_x8y12_w6beg[11] , \tile_x8y12_w6beg[10] , \tile_x8y12_w6beg[9] , \tile_x8y12_w6beg[8] , \tile_x8y12_w6beg[7] , \tile_x8y12_w6beg[6] , \tile_x8y12_w6beg[5] , \tile_x8y12_w6beg[4] , \tile_x8y12_w6beg[3] , \tile_x8y12_w6beg[2] , \tile_x8y12_w6beg[1] , \tile_x8y12_w6beg[0] }),
.bot_w6end({ \tile_x9y12_w6beg[11] , \tile_x9y12_w6beg[10] , \tile_x9y12_w6beg[9] , \tile_x9y12_w6beg[8] , \tile_x9y12_w6beg[7] , \tile_x9y12_w6beg[6] , \tile_x9y12_w6beg[5] , \tile_x9y12_w6beg[4] , \tile_x9y12_w6beg[3] , \tile_x9y12_w6beg[2] , \tile_x9y12_w6beg[1] , \tile_x9y12_w6beg[0] }),
.bot_ww4beg({ \tile_x8y12_ww4beg[15] , \tile_x8y12_ww4beg[14] , \tile_x8y12_ww4beg[13] , \tile_x8y12_ww4beg[12] , \tile_x8y12_ww4beg[11] , \tile_x8y12_ww4beg[10] , \tile_x8y12_ww4beg[9] , \tile_x8y12_ww4beg[8] , \tile_x8y12_ww4beg[7] , \tile_x8y12_ww4beg[6] , \tile_x8y12_ww4beg[5] , \tile_x8y12_ww4beg[4] , \tile_x8y12_ww4beg[3] , \tile_x8y12_ww4beg[2] , \tile_x8y12_ww4beg[1] , \tile_x8y12_ww4beg[0] }),
.bot_ww4end({ \tile_x9y12_ww4beg[15] , \tile_x9y12_ww4beg[14] , \tile_x9y12_ww4beg[13] , \tile_x9y12_ww4beg[12] , \tile_x9y12_ww4beg[11] , \tile_x9y12_ww4beg[10] , \tile_x9y12_ww4beg[9] , \tile_x9y12_ww4beg[8] , \tile_x9y12_ww4beg[7] , \tile_x9y12_ww4beg[6] , \tile_x9y12_ww4beg[5] , \tile_x9y12_ww4beg[4] , \tile_x9y12_ww4beg[3] , \tile_x9y12_ww4beg[2] , \tile_x9y12_ww4beg[1] , \tile_x9y12_ww4beg[0] }),
.top_e1beg({ \tile_x8y11_e1beg[3] , \tile_x8y11_e1beg[2] , \tile_x8y11_e1beg[1] , \tile_x8y11_e1beg[0] }),
.top_e1end({ \tile_x7y11_e1beg[3] , \tile_x7y11_e1beg[2] , \tile_x7y11_e1beg[1] , \tile_x7y11_e1beg[0] }),
.top_e2beg({ \tile_x8y11_e2beg[7] , \tile_x8y11_e2beg[6] , \tile_x8y11_e2beg[5] , \tile_x8y11_e2beg[4] , \tile_x8y11_e2beg[3] , \tile_x8y11_e2beg[2] , \tile_x8y11_e2beg[1] , \tile_x8y11_e2beg[0] }),
.top_e2begb({ \tile_x8y11_e2begb[7] , \tile_x8y11_e2begb[6] , \tile_x8y11_e2begb[5] , \tile_x8y11_e2begb[4] , \tile_x8y11_e2begb[3] , \tile_x8y11_e2begb[2] , \tile_x8y11_e2begb[1] , \tile_x8y11_e2begb[0] }),
.top_e2end({ \tile_x7y11_e2begb[7] , \tile_x7y11_e2begb[6] , \tile_x7y11_e2begb[5] , \tile_x7y11_e2begb[4] , \tile_x7y11_e2begb[3] , \tile_x7y11_e2begb[2] , \tile_x7y11_e2begb[1] , \tile_x7y11_e2begb[0] }),
.top_e2mid({ \tile_x7y11_e2beg[7] , \tile_x7y11_e2beg[6] , \tile_x7y11_e2beg[5] , \tile_x7y11_e2beg[4] , \tile_x7y11_e2beg[3] , \tile_x7y11_e2beg[2] , \tile_x7y11_e2beg[1] , \tile_x7y11_e2beg[0] }),
.top_e6beg({ \tile_x8y11_e6beg[11] , \tile_x8y11_e6beg[10] , \tile_x8y11_e6beg[9] , \tile_x8y11_e6beg[8] , \tile_x8y11_e6beg[7] , \tile_x8y11_e6beg[6] , \tile_x8y11_e6beg[5] , \tile_x8y11_e6beg[4] , \tile_x8y11_e6beg[3] , \tile_x8y11_e6beg[2] , \tile_x8y11_e6beg[1] , \tile_x8y11_e6beg[0] }),
.top_e6end({ \tile_x7y11_e6beg[11] , \tile_x7y11_e6beg[10] , \tile_x7y11_e6beg[9] , \tile_x7y11_e6beg[8] , \tile_x7y11_e6beg[7] , \tile_x7y11_e6beg[6] , \tile_x7y11_e6beg[5] , \tile_x7y11_e6beg[4] , \tile_x7y11_e6beg[3] , \tile_x7y11_e6beg[2] , \tile_x7y11_e6beg[1] , \tile_x7y11_e6beg[0] }),
.top_ee4beg({ \tile_x8y11_ee4beg[15] , \tile_x8y11_ee4beg[14] , \tile_x8y11_ee4beg[13] , \tile_x8y11_ee4beg[12] , \tile_x8y11_ee4beg[11] , \tile_x8y11_ee4beg[10] , \tile_x8y11_ee4beg[9] , \tile_x8y11_ee4beg[8] , \tile_x8y11_ee4beg[7] , \tile_x8y11_ee4beg[6] , \tile_x8y11_ee4beg[5] , \tile_x8y11_ee4beg[4] , \tile_x8y11_ee4beg[3] , \tile_x8y11_ee4beg[2] , \tile_x8y11_ee4beg[1] , \tile_x8y11_ee4beg[0] }),
.top_ee4end({ \tile_x7y11_ee4beg[15] , \tile_x7y11_ee4beg[14] , \tile_x7y11_ee4beg[13] , \tile_x7y11_ee4beg[12] , \tile_x7y11_ee4beg[11] , \tile_x7y11_ee4beg[10] , \tile_x7y11_ee4beg[9] , \tile_x7y11_ee4beg[8] , \tile_x7y11_ee4beg[7] , \tile_x7y11_ee4beg[6] , \tile_x7y11_ee4beg[5] , \tile_x7y11_ee4beg[4] , \tile_x7y11_ee4beg[3] , \tile_x7y11_ee4beg[2] , \tile_x7y11_ee4beg[1] , \tile_x7y11_ee4beg[0] }),
.top_framedata({ \tile_x7y11_framedata_o[31] , \tile_x7y11_framedata_o[30] , \tile_x7y11_framedata_o[29] , \tile_x7y11_framedata_o[28] , \tile_x7y11_framedata_o[27] , \tile_x7y11_framedata_o[26] , \tile_x7y11_framedata_o[25] , \tile_x7y11_framedata_o[24] , \tile_x7y11_framedata_o[23] , \tile_x7y11_framedata_o[22] , \tile_x7y11_framedata_o[21] , \tile_x7y11_framedata_o[20] , \tile_x7y11_framedata_o[19] , \tile_x7y11_framedata_o[18] , \tile_x7y11_framedata_o[17] , \tile_x7y11_framedata_o[16] , \tile_x7y11_framedata_o[15] , \tile_x7y11_framedata_o[14] , \tile_x7y11_framedata_o[13] , \tile_x7y11_framedata_o[12] , \tile_x7y11_framedata_o[11] , \tile_x7y11_framedata_o[10] , \tile_x7y11_framedata_o[9] , \tile_x7y11_framedata_o[8] , \tile_x7y11_framedata_o[7] , \tile_x7y11_framedata_o[6] , \tile_x7y11_framedata_o[5] , \tile_x7y11_framedata_o[4] , \tile_x7y11_framedata_o[3] , \tile_x7y11_framedata_o[2] , \tile_x7y11_framedata_o[1] , \tile_x7y11_framedata_o[0] }),
.top_framedata_o({ \tile_x8y11_framedata_o[31] , \tile_x8y11_framedata_o[30] , \tile_x8y11_framedata_o[29] , \tile_x8y11_framedata_o[28] , \tile_x8y11_framedata_o[27] , \tile_x8y11_framedata_o[26] , \tile_x8y11_framedata_o[25] , \tile_x8y11_framedata_o[24] , \tile_x8y11_framedata_o[23] , \tile_x8y11_framedata_o[22] , \tile_x8y11_framedata_o[21] , \tile_x8y11_framedata_o[20] , \tile_x8y11_framedata_o[19] , \tile_x8y11_framedata_o[18] , \tile_x8y11_framedata_o[17] , \tile_x8y11_framedata_o[16] , \tile_x8y11_framedata_o[15] , \tile_x8y11_framedata_o[14] , \tile_x8y11_framedata_o[13] , \tile_x8y11_framedata_o[12] , \tile_x8y11_framedata_o[11] , \tile_x8y11_framedata_o[10] , \tile_x8y11_framedata_o[9] , \tile_x8y11_framedata_o[8] , \tile_x8y11_framedata_o[7] , \tile_x8y11_framedata_o[6] , \tile_x8y11_framedata_o[5] , \tile_x8y11_framedata_o[4] , \tile_x8y11_framedata_o[3] , \tile_x8y11_framedata_o[2] , \tile_x8y11_framedata_o[1] , \tile_x8y11_framedata_o[0] }),
.top_n1beg({ \tile_x8y11_n1beg[3] , \tile_x8y11_n1beg[2] , \tile_x8y11_n1beg[1] , \tile_x8y11_n1beg[0] }),
.top_n2beg({ \tile_x8y11_n2beg[7] , \tile_x8y11_n2beg[6] , \tile_x8y11_n2beg[5] , \tile_x8y11_n2beg[4] , \tile_x8y11_n2beg[3] , \tile_x8y11_n2beg[2] , \tile_x8y11_n2beg[1] , \tile_x8y11_n2beg[0] }),
.top_n2begb({ \tile_x8y11_n2begb[7] , \tile_x8y11_n2begb[6] , \tile_x8y11_n2begb[5] , \tile_x8y11_n2begb[4] , \tile_x8y11_n2begb[3] , \tile_x8y11_n2begb[2] , \tile_x8y11_n2begb[1] , \tile_x8y11_n2begb[0] }),
.top_n4beg({ \tile_x8y11_n4beg[15] , \tile_x8y11_n4beg[14] , \tile_x8y11_n4beg[13] , \tile_x8y11_n4beg[12] , \tile_x8y11_n4beg[11] , \tile_x8y11_n4beg[10] , \tile_x8y11_n4beg[9] , \tile_x8y11_n4beg[8] , \tile_x8y11_n4beg[7] , \tile_x8y11_n4beg[6] , \tile_x8y11_n4beg[5] , \tile_x8y11_n4beg[4] , \tile_x8y11_n4beg[3] , \tile_x8y11_n4beg[2] , \tile_x8y11_n4beg[1] , \tile_x8y11_n4beg[0] }),
.top_nn4beg({ \tile_x8y11_nn4beg[15] , \tile_x8y11_nn4beg[14] , \tile_x8y11_nn4beg[13] , \tile_x8y11_nn4beg[12] , \tile_x8y11_nn4beg[11] , \tile_x8y11_nn4beg[10] , \tile_x8y11_nn4beg[9] , \tile_x8y11_nn4beg[8] , \tile_x8y11_nn4beg[7] , \tile_x8y11_nn4beg[6] , \tile_x8y11_nn4beg[5] , \tile_x8y11_nn4beg[4] , \tile_x8y11_nn4beg[3] , \tile_x8y11_nn4beg[2] , \tile_x8y11_nn4beg[1] , \tile_x8y11_nn4beg[0] }),
.top_s1end({ \tile_x8y10_s1beg[3] , \tile_x8y10_s1beg[2] , \tile_x8y10_s1beg[1] , \tile_x8y10_s1beg[0] }),
.top_s2end({ \tile_x8y10_s2begb[7] , \tile_x8y10_s2begb[6] , \tile_x8y10_s2begb[5] , \tile_x8y10_s2begb[4] , \tile_x8y10_s2begb[3] , \tile_x8y10_s2begb[2] , \tile_x8y10_s2begb[1] , \tile_x8y10_s2begb[0] }),
.top_s2mid({ \tile_x8y10_s2beg[7] , \tile_x8y10_s2beg[6] , \tile_x8y10_s2beg[5] , \tile_x8y10_s2beg[4] , \tile_x8y10_s2beg[3] , \tile_x8y10_s2beg[2] , \tile_x8y10_s2beg[1] , \tile_x8y10_s2beg[0] }),
.top_s4end({ \tile_x8y10_s4beg[15] , \tile_x8y10_s4beg[14] , \tile_x8y10_s4beg[13] , \tile_x8y10_s4beg[12] , \tile_x8y10_s4beg[11] , \tile_x8y10_s4beg[10] , \tile_x8y10_s4beg[9] , \tile_x8y10_s4beg[8] , \tile_x8y10_s4beg[7] , \tile_x8y10_s4beg[6] , \tile_x8y10_s4beg[5] , \tile_x8y10_s4beg[4] , \tile_x8y10_s4beg[3] , \tile_x8y10_s4beg[2] , \tile_x8y10_s4beg[1] , \tile_x8y10_s4beg[0] }),
.top_ss4end({ \tile_x8y10_ss4beg[15] , \tile_x8y10_ss4beg[14] , \tile_x8y10_ss4beg[13] , \tile_x8y10_ss4beg[12] , \tile_x8y10_ss4beg[11] , \tile_x8y10_ss4beg[10] , \tile_x8y10_ss4beg[9] , \tile_x8y10_ss4beg[8] , \tile_x8y10_ss4beg[7] , \tile_x8y10_ss4beg[6] , \tile_x8y10_ss4beg[5] , \tile_x8y10_ss4beg[4] , \tile_x8y10_ss4beg[3] , \tile_x8y10_ss4beg[2] , \tile_x8y10_ss4beg[1] , \tile_x8y10_ss4beg[0] }),
.top_w1beg({ \tile_x8y11_w1beg[3] , \tile_x8y11_w1beg[2] , \tile_x8y11_w1beg[1] , \tile_x8y11_w1beg[0] }),
.top_w1end({ \tile_x9y11_w1beg[3] , \tile_x9y11_w1beg[2] , \tile_x9y11_w1beg[1] , \tile_x9y11_w1beg[0] }),
.top_w2beg({ \tile_x8y11_w2beg[7] , \tile_x8y11_w2beg[6] , \tile_x8y11_w2beg[5] , \tile_x8y11_w2beg[4] , \tile_x8y11_w2beg[3] , \tile_x8y11_w2beg[2] , \tile_x8y11_w2beg[1] , \tile_x8y11_w2beg[0] }),
.top_w2begb({ \tile_x8y11_w2begb[7] , \tile_x8y11_w2begb[6] , \tile_x8y11_w2begb[5] , \tile_x8y11_w2begb[4] , \tile_x8y11_w2begb[3] , \tile_x8y11_w2begb[2] , \tile_x8y11_w2begb[1] , \tile_x8y11_w2begb[0] }),
.top_w2end({ \tile_x9y11_w2begb[7] , \tile_x9y11_w2begb[6] , \tile_x9y11_w2begb[5] , \tile_x9y11_w2begb[4] , \tile_x9y11_w2begb[3] , \tile_x9y11_w2begb[2] , \tile_x9y11_w2begb[1] , \tile_x9y11_w2begb[0] }),
.top_w2mid({ \tile_x9y11_w2beg[7] , \tile_x9y11_w2beg[6] , \tile_x9y11_w2beg[5] , \tile_x9y11_w2beg[4] , \tile_x9y11_w2beg[3] , \tile_x9y11_w2beg[2] , \tile_x9y11_w2beg[1] , \tile_x9y11_w2beg[0] }),
.top_w6beg({ \tile_x8y11_w6beg[11] , \tile_x8y11_w6beg[10] , \tile_x8y11_w6beg[9] , \tile_x8y11_w6beg[8] , \tile_x8y11_w6beg[7] , \tile_x8y11_w6beg[6] , \tile_x8y11_w6beg[5] , \tile_x8y11_w6beg[4] , \tile_x8y11_w6beg[3] , \tile_x8y11_w6beg[2] , \tile_x8y11_w6beg[1] , \tile_x8y11_w6beg[0] }),
.top_w6end({ \tile_x9y11_w6beg[11] , \tile_x9y11_w6beg[10] , \tile_x9y11_w6beg[9] , \tile_x9y11_w6beg[8] , \tile_x9y11_w6beg[7] , \tile_x9y11_w6beg[6] , \tile_x9y11_w6beg[5] , \tile_x9y11_w6beg[4] , \tile_x9y11_w6beg[3] , \tile_x9y11_w6beg[2] , \tile_x9y11_w6beg[1] , \tile_x9y11_w6beg[0] }),
.top_ww4beg({ \tile_x8y11_ww4beg[15] , \tile_x8y11_ww4beg[14] , \tile_x8y11_ww4beg[13] , \tile_x8y11_ww4beg[12] , \tile_x8y11_ww4beg[11] , \tile_x8y11_ww4beg[10] , \tile_x8y11_ww4beg[9] , \tile_x8y11_ww4beg[8] , \tile_x8y11_ww4beg[7] , \tile_x8y11_ww4beg[6] , \tile_x8y11_ww4beg[5] , \tile_x8y11_ww4beg[4] , \tile_x8y11_ww4beg[3] , \tile_x8y11_ww4beg[2] , \tile_x8y11_ww4beg[1] , \tile_x8y11_ww4beg[0] }),
.top_ww4end({ \tile_x9y11_ww4beg[15] , \tile_x9y11_ww4beg[14] , \tile_x9y11_ww4beg[13] , \tile_x9y11_ww4beg[12] , \tile_x9y11_ww4beg[11] , \tile_x9y11_ww4beg[10] , \tile_x9y11_ww4beg[9] , \tile_x9y11_ww4beg[8] , \tile_x9y11_ww4beg[7] , \tile_x9y11_ww4beg[6] , \tile_x9y11_ww4beg[5] , \tile_x9y11_ww4beg[4] , \tile_x9y11_ww4beg[3] , \tile_x9y11_ww4beg[2] , \tile_x9y11_ww4beg[1] , \tile_x9y11_ww4beg[0] })
);
dsp tile_x8y13_x8y14_dsp_tile (
.framestrobe({ \tile_x8y15_framestrobe_o[19] , \tile_x8y15_framestrobe_o[18] , \tile_x8y15_framestrobe_o[17] , \tile_x8y15_framestrobe_o[16] , \tile_x8y15_framestrobe_o[15] , \tile_x8y15_framestrobe_o[14] , \tile_x8y15_framestrobe_o[13] , \tile_x8y15_framestrobe_o[12] , \tile_x8y15_framestrobe_o[11] , \tile_x8y15_framestrobe_o[10] , \tile_x8y15_framestrobe_o[9] , \tile_x8y15_framestrobe_o[8] , \tile_x8y15_framestrobe_o[7] , \tile_x8y15_framestrobe_o[6] , \tile_x8y15_framestrobe_o[5] , \tile_x8y15_framestrobe_o[4] , \tile_x8y15_framestrobe_o[3] , \tile_x8y15_framestrobe_o[2] , \tile_x8y15_framestrobe_o[1] , \tile_x8y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x8y13_framestrobe_o[19] , \tile_x8y13_framestrobe_o[18] , \tile_x8y13_framestrobe_o[17] , \tile_x8y13_framestrobe_o[16] , \tile_x8y13_framestrobe_o[15] , \tile_x8y13_framestrobe_o[14] , \tile_x8y13_framestrobe_o[13] , \tile_x8y13_framestrobe_o[12] , \tile_x8y13_framestrobe_o[11] , \tile_x8y13_framestrobe_o[10] , \tile_x8y13_framestrobe_o[9] , \tile_x8y13_framestrobe_o[8] , \tile_x8y13_framestrobe_o[7] , \tile_x8y13_framestrobe_o[6] , \tile_x8y13_framestrobe_o[5] , \tile_x8y13_framestrobe_o[4] , \tile_x8y13_framestrobe_o[3] , \tile_x8y13_framestrobe_o[2] , \tile_x8y13_framestrobe_o[1] , \tile_x8y13_framestrobe_o[0] }),
.userclk(tile_x8y15_userclko),
.userclko(tile_x8y13_userclko),
.bot_e1beg({ \tile_x8y14_e1beg[3] , \tile_x8y14_e1beg[2] , \tile_x8y14_e1beg[1] , \tile_x8y14_e1beg[0] }),
.bot_e1end({ \tile_x7y14_e1beg[3] , \tile_x7y14_e1beg[2] , \tile_x7y14_e1beg[1] , \tile_x7y14_e1beg[0] }),
.bot_e2beg({ \tile_x8y14_e2beg[7] , \tile_x8y14_e2beg[6] , \tile_x8y14_e2beg[5] , \tile_x8y14_e2beg[4] , \tile_x8y14_e2beg[3] , \tile_x8y14_e2beg[2] , \tile_x8y14_e2beg[1] , \tile_x8y14_e2beg[0] }),
.bot_e2begb({ \tile_x8y14_e2begb[7] , \tile_x8y14_e2begb[6] , \tile_x8y14_e2begb[5] , \tile_x8y14_e2begb[4] , \tile_x8y14_e2begb[3] , \tile_x8y14_e2begb[2] , \tile_x8y14_e2begb[1] , \tile_x8y14_e2begb[0] }),
.bot_e2end({ \tile_x7y14_e2begb[7] , \tile_x7y14_e2begb[6] , \tile_x7y14_e2begb[5] , \tile_x7y14_e2begb[4] , \tile_x7y14_e2begb[3] , \tile_x7y14_e2begb[2] , \tile_x7y14_e2begb[1] , \tile_x7y14_e2begb[0] }),
.bot_e2mid({ \tile_x7y14_e2beg[7] , \tile_x7y14_e2beg[6] , \tile_x7y14_e2beg[5] , \tile_x7y14_e2beg[4] , \tile_x7y14_e2beg[3] , \tile_x7y14_e2beg[2] , \tile_x7y14_e2beg[1] , \tile_x7y14_e2beg[0] }),
.bot_e6beg({ \tile_x8y14_e6beg[11] , \tile_x8y14_e6beg[10] , \tile_x8y14_e6beg[9] , \tile_x8y14_e6beg[8] , \tile_x8y14_e6beg[7] , \tile_x8y14_e6beg[6] , \tile_x8y14_e6beg[5] , \tile_x8y14_e6beg[4] , \tile_x8y14_e6beg[3] , \tile_x8y14_e6beg[2] , \tile_x8y14_e6beg[1] , \tile_x8y14_e6beg[0] }),
.bot_e6end({ \tile_x7y14_e6beg[11] , \tile_x7y14_e6beg[10] , \tile_x7y14_e6beg[9] , \tile_x7y14_e6beg[8] , \tile_x7y14_e6beg[7] , \tile_x7y14_e6beg[6] , \tile_x7y14_e6beg[5] , \tile_x7y14_e6beg[4] , \tile_x7y14_e6beg[3] , \tile_x7y14_e6beg[2] , \tile_x7y14_e6beg[1] , \tile_x7y14_e6beg[0] }),
.bot_ee4beg({ \tile_x8y14_ee4beg[15] , \tile_x8y14_ee4beg[14] , \tile_x8y14_ee4beg[13] , \tile_x8y14_ee4beg[12] , \tile_x8y14_ee4beg[11] , \tile_x8y14_ee4beg[10] , \tile_x8y14_ee4beg[9] , \tile_x8y14_ee4beg[8] , \tile_x8y14_ee4beg[7] , \tile_x8y14_ee4beg[6] , \tile_x8y14_ee4beg[5] , \tile_x8y14_ee4beg[4] , \tile_x8y14_ee4beg[3] , \tile_x8y14_ee4beg[2] , \tile_x8y14_ee4beg[1] , \tile_x8y14_ee4beg[0] }),
.bot_ee4end({ \tile_x7y14_ee4beg[15] , \tile_x7y14_ee4beg[14] , \tile_x7y14_ee4beg[13] , \tile_x7y14_ee4beg[12] , \tile_x7y14_ee4beg[11] , \tile_x7y14_ee4beg[10] , \tile_x7y14_ee4beg[9] , \tile_x7y14_ee4beg[8] , \tile_x7y14_ee4beg[7] , \tile_x7y14_ee4beg[6] , \tile_x7y14_ee4beg[5] , \tile_x7y14_ee4beg[4] , \tile_x7y14_ee4beg[3] , \tile_x7y14_ee4beg[2] , \tile_x7y14_ee4beg[1] , \tile_x7y14_ee4beg[0] }),
.bot_framedata({ \tile_x7y14_framedata_o[31] , \tile_x7y14_framedata_o[30] , \tile_x7y14_framedata_o[29] , \tile_x7y14_framedata_o[28] , \tile_x7y14_framedata_o[27] , \tile_x7y14_framedata_o[26] , \tile_x7y14_framedata_o[25] , \tile_x7y14_framedata_o[24] , \tile_x7y14_framedata_o[23] , \tile_x7y14_framedata_o[22] , \tile_x7y14_framedata_o[21] , \tile_x7y14_framedata_o[20] , \tile_x7y14_framedata_o[19] , \tile_x7y14_framedata_o[18] , \tile_x7y14_framedata_o[17] , \tile_x7y14_framedata_o[16] , \tile_x7y14_framedata_o[15] , \tile_x7y14_framedata_o[14] , \tile_x7y14_framedata_o[13] , \tile_x7y14_framedata_o[12] , \tile_x7y14_framedata_o[11] , \tile_x7y14_framedata_o[10] , \tile_x7y14_framedata_o[9] , \tile_x7y14_framedata_o[8] , \tile_x7y14_framedata_o[7] , \tile_x7y14_framedata_o[6] , \tile_x7y14_framedata_o[5] , \tile_x7y14_framedata_o[4] , \tile_x7y14_framedata_o[3] , \tile_x7y14_framedata_o[2] , \tile_x7y14_framedata_o[1] , \tile_x7y14_framedata_o[0] }),
.bot_framedata_o({ \tile_x8y14_framedata_o[31] , \tile_x8y14_framedata_o[30] , \tile_x8y14_framedata_o[29] , \tile_x8y14_framedata_o[28] , \tile_x8y14_framedata_o[27] , \tile_x8y14_framedata_o[26] , \tile_x8y14_framedata_o[25] , \tile_x8y14_framedata_o[24] , \tile_x8y14_framedata_o[23] , \tile_x8y14_framedata_o[22] , \tile_x8y14_framedata_o[21] , \tile_x8y14_framedata_o[20] , \tile_x8y14_framedata_o[19] , \tile_x8y14_framedata_o[18] , \tile_x8y14_framedata_o[17] , \tile_x8y14_framedata_o[16] , \tile_x8y14_framedata_o[15] , \tile_x8y14_framedata_o[14] , \tile_x8y14_framedata_o[13] , \tile_x8y14_framedata_o[12] , \tile_x8y14_framedata_o[11] , \tile_x8y14_framedata_o[10] , \tile_x8y14_framedata_o[9] , \tile_x8y14_framedata_o[8] , \tile_x8y14_framedata_o[7] , \tile_x8y14_framedata_o[6] , \tile_x8y14_framedata_o[5] , \tile_x8y14_framedata_o[4] , \tile_x8y14_framedata_o[3] , \tile_x8y14_framedata_o[2] , \tile_x8y14_framedata_o[1] , \tile_x8y14_framedata_o[0] }),
.bot_n1end({ \tile_x8y15_n1beg[3] , \tile_x8y15_n1beg[2] , \tile_x8y15_n1beg[1] , \tile_x8y15_n1beg[0] }),
.bot_n2end({ \tile_x8y15_n2begb[7] , \tile_x8y15_n2begb[6] , \tile_x8y15_n2begb[5] , \tile_x8y15_n2begb[4] , \tile_x8y15_n2begb[3] , \tile_x8y15_n2begb[2] , \tile_x8y15_n2begb[1] , \tile_x8y15_n2begb[0] }),
.bot_n2mid({ \tile_x8y15_n2beg[7] , \tile_x8y15_n2beg[6] , \tile_x8y15_n2beg[5] , \tile_x8y15_n2beg[4] , \tile_x8y15_n2beg[3] , \tile_x8y15_n2beg[2] , \tile_x8y15_n2beg[1] , \tile_x8y15_n2beg[0] }),
.bot_n4end({ \tile_x8y15_n4beg[15] , \tile_x8y15_n4beg[14] , \tile_x8y15_n4beg[13] , \tile_x8y15_n4beg[12] , \tile_x8y15_n4beg[11] , \tile_x8y15_n4beg[10] , \tile_x8y15_n4beg[9] , \tile_x8y15_n4beg[8] , \tile_x8y15_n4beg[7] , \tile_x8y15_n4beg[6] , \tile_x8y15_n4beg[5] , \tile_x8y15_n4beg[4] , \tile_x8y15_n4beg[3] , \tile_x8y15_n4beg[2] , \tile_x8y15_n4beg[1] , \tile_x8y15_n4beg[0] }),
.bot_nn4end({ \tile_x8y15_nn4beg[15] , \tile_x8y15_nn4beg[14] , \tile_x8y15_nn4beg[13] , \tile_x8y15_nn4beg[12] , \tile_x8y15_nn4beg[11] , \tile_x8y15_nn4beg[10] , \tile_x8y15_nn4beg[9] , \tile_x8y15_nn4beg[8] , \tile_x8y15_nn4beg[7] , \tile_x8y15_nn4beg[6] , \tile_x8y15_nn4beg[5] , \tile_x8y15_nn4beg[4] , \tile_x8y15_nn4beg[3] , \tile_x8y15_nn4beg[2] , \tile_x8y15_nn4beg[1] , \tile_x8y15_nn4beg[0] }),
.bot_s1beg({ \tile_x8y14_s1beg[3] , \tile_x8y14_s1beg[2] , \tile_x8y14_s1beg[1] , \tile_x8y14_s1beg[0] }),
.bot_s2beg({ \tile_x8y14_s2beg[7] , \tile_x8y14_s2beg[6] , \tile_x8y14_s2beg[5] , \tile_x8y14_s2beg[4] , \tile_x8y14_s2beg[3] , \tile_x8y14_s2beg[2] , \tile_x8y14_s2beg[1] , \tile_x8y14_s2beg[0] }),
.bot_s2begb({ \tile_x8y14_s2begb[7] , \tile_x8y14_s2begb[6] , \tile_x8y14_s2begb[5] , \tile_x8y14_s2begb[4] , \tile_x8y14_s2begb[3] , \tile_x8y14_s2begb[2] , \tile_x8y14_s2begb[1] , \tile_x8y14_s2begb[0] }),
.bot_s4beg({ \tile_x8y14_s4beg[15] , \tile_x8y14_s4beg[14] , \tile_x8y14_s4beg[13] , \tile_x8y14_s4beg[12] , \tile_x8y14_s4beg[11] , \tile_x8y14_s4beg[10] , \tile_x8y14_s4beg[9] , \tile_x8y14_s4beg[8] , \tile_x8y14_s4beg[7] , \tile_x8y14_s4beg[6] , \tile_x8y14_s4beg[5] , \tile_x8y14_s4beg[4] , \tile_x8y14_s4beg[3] , \tile_x8y14_s4beg[2] , \tile_x8y14_s4beg[1] , \tile_x8y14_s4beg[0] }),
.bot_ss4beg({ \tile_x8y14_ss4beg[15] , \tile_x8y14_ss4beg[14] , \tile_x8y14_ss4beg[13] , \tile_x8y14_ss4beg[12] , \tile_x8y14_ss4beg[11] , \tile_x8y14_ss4beg[10] , \tile_x8y14_ss4beg[9] , \tile_x8y14_ss4beg[8] , \tile_x8y14_ss4beg[7] , \tile_x8y14_ss4beg[6] , \tile_x8y14_ss4beg[5] , \tile_x8y14_ss4beg[4] , \tile_x8y14_ss4beg[3] , \tile_x8y14_ss4beg[2] , \tile_x8y14_ss4beg[1] , \tile_x8y14_ss4beg[0] }),
.bot_w1beg({ \tile_x8y14_w1beg[3] , \tile_x8y14_w1beg[2] , \tile_x8y14_w1beg[1] , \tile_x8y14_w1beg[0] }),
.bot_w1end({ \tile_x9y14_w1beg[3] , \tile_x9y14_w1beg[2] , \tile_x9y14_w1beg[1] , \tile_x9y14_w1beg[0] }),
.bot_w2beg({ \tile_x8y14_w2beg[7] , \tile_x8y14_w2beg[6] , \tile_x8y14_w2beg[5] , \tile_x8y14_w2beg[4] , \tile_x8y14_w2beg[3] , \tile_x8y14_w2beg[2] , \tile_x8y14_w2beg[1] , \tile_x8y14_w2beg[0] }),
.bot_w2begb({ \tile_x8y14_w2begb[7] , \tile_x8y14_w2begb[6] , \tile_x8y14_w2begb[5] , \tile_x8y14_w2begb[4] , \tile_x8y14_w2begb[3] , \tile_x8y14_w2begb[2] , \tile_x8y14_w2begb[1] , \tile_x8y14_w2begb[0] }),
.bot_w2end({ \tile_x9y14_w2begb[7] , \tile_x9y14_w2begb[6] , \tile_x9y14_w2begb[5] , \tile_x9y14_w2begb[4] , \tile_x9y14_w2begb[3] , \tile_x9y14_w2begb[2] , \tile_x9y14_w2begb[1] , \tile_x9y14_w2begb[0] }),
.bot_w2mid({ \tile_x9y14_w2beg[7] , \tile_x9y14_w2beg[6] , \tile_x9y14_w2beg[5] , \tile_x9y14_w2beg[4] , \tile_x9y14_w2beg[3] , \tile_x9y14_w2beg[2] , \tile_x9y14_w2beg[1] , \tile_x9y14_w2beg[0] }),
.bot_w6beg({ \tile_x8y14_w6beg[11] , \tile_x8y14_w6beg[10] , \tile_x8y14_w6beg[9] , \tile_x8y14_w6beg[8] , \tile_x8y14_w6beg[7] , \tile_x8y14_w6beg[6] , \tile_x8y14_w6beg[5] , \tile_x8y14_w6beg[4] , \tile_x8y14_w6beg[3] , \tile_x8y14_w6beg[2] , \tile_x8y14_w6beg[1] , \tile_x8y14_w6beg[0] }),
.bot_w6end({ \tile_x9y14_w6beg[11] , \tile_x9y14_w6beg[10] , \tile_x9y14_w6beg[9] , \tile_x9y14_w6beg[8] , \tile_x9y14_w6beg[7] , \tile_x9y14_w6beg[6] , \tile_x9y14_w6beg[5] , \tile_x9y14_w6beg[4] , \tile_x9y14_w6beg[3] , \tile_x9y14_w6beg[2] , \tile_x9y14_w6beg[1] , \tile_x9y14_w6beg[0] }),
.bot_ww4beg({ \tile_x8y14_ww4beg[15] , \tile_x8y14_ww4beg[14] , \tile_x8y14_ww4beg[13] , \tile_x8y14_ww4beg[12] , \tile_x8y14_ww4beg[11] , \tile_x8y14_ww4beg[10] , \tile_x8y14_ww4beg[9] , \tile_x8y14_ww4beg[8] , \tile_x8y14_ww4beg[7] , \tile_x8y14_ww4beg[6] , \tile_x8y14_ww4beg[5] , \tile_x8y14_ww4beg[4] , \tile_x8y14_ww4beg[3] , \tile_x8y14_ww4beg[2] , \tile_x8y14_ww4beg[1] , \tile_x8y14_ww4beg[0] }),
.bot_ww4end({ \tile_x9y14_ww4beg[15] , \tile_x9y14_ww4beg[14] , \tile_x9y14_ww4beg[13] , \tile_x9y14_ww4beg[12] , \tile_x9y14_ww4beg[11] , \tile_x9y14_ww4beg[10] , \tile_x9y14_ww4beg[9] , \tile_x9y14_ww4beg[8] , \tile_x9y14_ww4beg[7] , \tile_x9y14_ww4beg[6] , \tile_x9y14_ww4beg[5] , \tile_x9y14_ww4beg[4] , \tile_x9y14_ww4beg[3] , \tile_x9y14_ww4beg[2] , \tile_x9y14_ww4beg[1] , \tile_x9y14_ww4beg[0] }),
.top_e1beg({ \tile_x8y13_e1beg[3] , \tile_x8y13_e1beg[2] , \tile_x8y13_e1beg[1] , \tile_x8y13_e1beg[0] }),
.top_e1end({ \tile_x7y13_e1beg[3] , \tile_x7y13_e1beg[2] , \tile_x7y13_e1beg[1] , \tile_x7y13_e1beg[0] }),
.top_e2beg({ \tile_x8y13_e2beg[7] , \tile_x8y13_e2beg[6] , \tile_x8y13_e2beg[5] , \tile_x8y13_e2beg[4] , \tile_x8y13_e2beg[3] , \tile_x8y13_e2beg[2] , \tile_x8y13_e2beg[1] , \tile_x8y13_e2beg[0] }),
.top_e2begb({ \tile_x8y13_e2begb[7] , \tile_x8y13_e2begb[6] , \tile_x8y13_e2begb[5] , \tile_x8y13_e2begb[4] , \tile_x8y13_e2begb[3] , \tile_x8y13_e2begb[2] , \tile_x8y13_e2begb[1] , \tile_x8y13_e2begb[0] }),
.top_e2end({ \tile_x7y13_e2begb[7] , \tile_x7y13_e2begb[6] , \tile_x7y13_e2begb[5] , \tile_x7y13_e2begb[4] , \tile_x7y13_e2begb[3] , \tile_x7y13_e2begb[2] , \tile_x7y13_e2begb[1] , \tile_x7y13_e2begb[0] }),
.top_e2mid({ \tile_x7y13_e2beg[7] , \tile_x7y13_e2beg[6] , \tile_x7y13_e2beg[5] , \tile_x7y13_e2beg[4] , \tile_x7y13_e2beg[3] , \tile_x7y13_e2beg[2] , \tile_x7y13_e2beg[1] , \tile_x7y13_e2beg[0] }),
.top_e6beg({ \tile_x8y13_e6beg[11] , \tile_x8y13_e6beg[10] , \tile_x8y13_e6beg[9] , \tile_x8y13_e6beg[8] , \tile_x8y13_e6beg[7] , \tile_x8y13_e6beg[6] , \tile_x8y13_e6beg[5] , \tile_x8y13_e6beg[4] , \tile_x8y13_e6beg[3] , \tile_x8y13_e6beg[2] , \tile_x8y13_e6beg[1] , \tile_x8y13_e6beg[0] }),
.top_e6end({ \tile_x7y13_e6beg[11] , \tile_x7y13_e6beg[10] , \tile_x7y13_e6beg[9] , \tile_x7y13_e6beg[8] , \tile_x7y13_e6beg[7] , \tile_x7y13_e6beg[6] , \tile_x7y13_e6beg[5] , \tile_x7y13_e6beg[4] , \tile_x7y13_e6beg[3] , \tile_x7y13_e6beg[2] , \tile_x7y13_e6beg[1] , \tile_x7y13_e6beg[0] }),
.top_ee4beg({ \tile_x8y13_ee4beg[15] , \tile_x8y13_ee4beg[14] , \tile_x8y13_ee4beg[13] , \tile_x8y13_ee4beg[12] , \tile_x8y13_ee4beg[11] , \tile_x8y13_ee4beg[10] , \tile_x8y13_ee4beg[9] , \tile_x8y13_ee4beg[8] , \tile_x8y13_ee4beg[7] , \tile_x8y13_ee4beg[6] , \tile_x8y13_ee4beg[5] , \tile_x8y13_ee4beg[4] , \tile_x8y13_ee4beg[3] , \tile_x8y13_ee4beg[2] , \tile_x8y13_ee4beg[1] , \tile_x8y13_ee4beg[0] }),
.top_ee4end({ \tile_x7y13_ee4beg[15] , \tile_x7y13_ee4beg[14] , \tile_x7y13_ee4beg[13] , \tile_x7y13_ee4beg[12] , \tile_x7y13_ee4beg[11] , \tile_x7y13_ee4beg[10] , \tile_x7y13_ee4beg[9] , \tile_x7y13_ee4beg[8] , \tile_x7y13_ee4beg[7] , \tile_x7y13_ee4beg[6] , \tile_x7y13_ee4beg[5] , \tile_x7y13_ee4beg[4] , \tile_x7y13_ee4beg[3] , \tile_x7y13_ee4beg[2] , \tile_x7y13_ee4beg[1] , \tile_x7y13_ee4beg[0] }),
.top_framedata({ \tile_x7y13_framedata_o[31] , \tile_x7y13_framedata_o[30] , \tile_x7y13_framedata_o[29] , \tile_x7y13_framedata_o[28] , \tile_x7y13_framedata_o[27] , \tile_x7y13_framedata_o[26] , \tile_x7y13_framedata_o[25] , \tile_x7y13_framedata_o[24] , \tile_x7y13_framedata_o[23] , \tile_x7y13_framedata_o[22] , \tile_x7y13_framedata_o[21] , \tile_x7y13_framedata_o[20] , \tile_x7y13_framedata_o[19] , \tile_x7y13_framedata_o[18] , \tile_x7y13_framedata_o[17] , \tile_x7y13_framedata_o[16] , \tile_x7y13_framedata_o[15] , \tile_x7y13_framedata_o[14] , \tile_x7y13_framedata_o[13] , \tile_x7y13_framedata_o[12] , \tile_x7y13_framedata_o[11] , \tile_x7y13_framedata_o[10] , \tile_x7y13_framedata_o[9] , \tile_x7y13_framedata_o[8] , \tile_x7y13_framedata_o[7] , \tile_x7y13_framedata_o[6] , \tile_x7y13_framedata_o[5] , \tile_x7y13_framedata_o[4] , \tile_x7y13_framedata_o[3] , \tile_x7y13_framedata_o[2] , \tile_x7y13_framedata_o[1] , \tile_x7y13_framedata_o[0] }),
.top_framedata_o({ \tile_x8y13_framedata_o[31] , \tile_x8y13_framedata_o[30] , \tile_x8y13_framedata_o[29] , \tile_x8y13_framedata_o[28] , \tile_x8y13_framedata_o[27] , \tile_x8y13_framedata_o[26] , \tile_x8y13_framedata_o[25] , \tile_x8y13_framedata_o[24] , \tile_x8y13_framedata_o[23] , \tile_x8y13_framedata_o[22] , \tile_x8y13_framedata_o[21] , \tile_x8y13_framedata_o[20] , \tile_x8y13_framedata_o[19] , \tile_x8y13_framedata_o[18] , \tile_x8y13_framedata_o[17] , \tile_x8y13_framedata_o[16] , \tile_x8y13_framedata_o[15] , \tile_x8y13_framedata_o[14] , \tile_x8y13_framedata_o[13] , \tile_x8y13_framedata_o[12] , \tile_x8y13_framedata_o[11] , \tile_x8y13_framedata_o[10] , \tile_x8y13_framedata_o[9] , \tile_x8y13_framedata_o[8] , \tile_x8y13_framedata_o[7] , \tile_x8y13_framedata_o[6] , \tile_x8y13_framedata_o[5] , \tile_x8y13_framedata_o[4] , \tile_x8y13_framedata_o[3] , \tile_x8y13_framedata_o[2] , \tile_x8y13_framedata_o[1] , \tile_x8y13_framedata_o[0] }),
.top_n1beg({ \tile_x8y13_n1beg[3] , \tile_x8y13_n1beg[2] , \tile_x8y13_n1beg[1] , \tile_x8y13_n1beg[0] }),
.top_n2beg({ \tile_x8y13_n2beg[7] , \tile_x8y13_n2beg[6] , \tile_x8y13_n2beg[5] , \tile_x8y13_n2beg[4] , \tile_x8y13_n2beg[3] , \tile_x8y13_n2beg[2] , \tile_x8y13_n2beg[1] , \tile_x8y13_n2beg[0] }),
.top_n2begb({ \tile_x8y13_n2begb[7] , \tile_x8y13_n2begb[6] , \tile_x8y13_n2begb[5] , \tile_x8y13_n2begb[4] , \tile_x8y13_n2begb[3] , \tile_x8y13_n2begb[2] , \tile_x8y13_n2begb[1] , \tile_x8y13_n2begb[0] }),
.top_n4beg({ \tile_x8y13_n4beg[15] , \tile_x8y13_n4beg[14] , \tile_x8y13_n4beg[13] , \tile_x8y13_n4beg[12] , \tile_x8y13_n4beg[11] , \tile_x8y13_n4beg[10] , \tile_x8y13_n4beg[9] , \tile_x8y13_n4beg[8] , \tile_x8y13_n4beg[7] , \tile_x8y13_n4beg[6] , \tile_x8y13_n4beg[5] , \tile_x8y13_n4beg[4] , \tile_x8y13_n4beg[3] , \tile_x8y13_n4beg[2] , \tile_x8y13_n4beg[1] , \tile_x8y13_n4beg[0] }),
.top_nn4beg({ \tile_x8y13_nn4beg[15] , \tile_x8y13_nn4beg[14] , \tile_x8y13_nn4beg[13] , \tile_x8y13_nn4beg[12] , \tile_x8y13_nn4beg[11] , \tile_x8y13_nn4beg[10] , \tile_x8y13_nn4beg[9] , \tile_x8y13_nn4beg[8] , \tile_x8y13_nn4beg[7] , \tile_x8y13_nn4beg[6] , \tile_x8y13_nn4beg[5] , \tile_x8y13_nn4beg[4] , \tile_x8y13_nn4beg[3] , \tile_x8y13_nn4beg[2] , \tile_x8y13_nn4beg[1] , \tile_x8y13_nn4beg[0] }),
.top_s1end({ \tile_x8y12_s1beg[3] , \tile_x8y12_s1beg[2] , \tile_x8y12_s1beg[1] , \tile_x8y12_s1beg[0] }),
.top_s2end({ \tile_x8y12_s2begb[7] , \tile_x8y12_s2begb[6] , \tile_x8y12_s2begb[5] , \tile_x8y12_s2begb[4] , \tile_x8y12_s2begb[3] , \tile_x8y12_s2begb[2] , \tile_x8y12_s2begb[1] , \tile_x8y12_s2begb[0] }),
.top_s2mid({ \tile_x8y12_s2beg[7] , \tile_x8y12_s2beg[6] , \tile_x8y12_s2beg[5] , \tile_x8y12_s2beg[4] , \tile_x8y12_s2beg[3] , \tile_x8y12_s2beg[2] , \tile_x8y12_s2beg[1] , \tile_x8y12_s2beg[0] }),
.top_s4end({ \tile_x8y12_s4beg[15] , \tile_x8y12_s4beg[14] , \tile_x8y12_s4beg[13] , \tile_x8y12_s4beg[12] , \tile_x8y12_s4beg[11] , \tile_x8y12_s4beg[10] , \tile_x8y12_s4beg[9] , \tile_x8y12_s4beg[8] , \tile_x8y12_s4beg[7] , \tile_x8y12_s4beg[6] , \tile_x8y12_s4beg[5] , \tile_x8y12_s4beg[4] , \tile_x8y12_s4beg[3] , \tile_x8y12_s4beg[2] , \tile_x8y12_s4beg[1] , \tile_x8y12_s4beg[0] }),
.top_ss4end({ \tile_x8y12_ss4beg[15] , \tile_x8y12_ss4beg[14] , \tile_x8y12_ss4beg[13] , \tile_x8y12_ss4beg[12] , \tile_x8y12_ss4beg[11] , \tile_x8y12_ss4beg[10] , \tile_x8y12_ss4beg[9] , \tile_x8y12_ss4beg[8] , \tile_x8y12_ss4beg[7] , \tile_x8y12_ss4beg[6] , \tile_x8y12_ss4beg[5] , \tile_x8y12_ss4beg[4] , \tile_x8y12_ss4beg[3] , \tile_x8y12_ss4beg[2] , \tile_x8y12_ss4beg[1] , \tile_x8y12_ss4beg[0] }),
.top_w1beg({ \tile_x8y13_w1beg[3] , \tile_x8y13_w1beg[2] , \tile_x8y13_w1beg[1] , \tile_x8y13_w1beg[0] }),
.top_w1end({ \tile_x9y13_w1beg[3] , \tile_x9y13_w1beg[2] , \tile_x9y13_w1beg[1] , \tile_x9y13_w1beg[0] }),
.top_w2beg({ \tile_x8y13_w2beg[7] , \tile_x8y13_w2beg[6] , \tile_x8y13_w2beg[5] , \tile_x8y13_w2beg[4] , \tile_x8y13_w2beg[3] , \tile_x8y13_w2beg[2] , \tile_x8y13_w2beg[1] , \tile_x8y13_w2beg[0] }),
.top_w2begb({ \tile_x8y13_w2begb[7] , \tile_x8y13_w2begb[6] , \tile_x8y13_w2begb[5] , \tile_x8y13_w2begb[4] , \tile_x8y13_w2begb[3] , \tile_x8y13_w2begb[2] , \tile_x8y13_w2begb[1] , \tile_x8y13_w2begb[0] }),
.top_w2end({ \tile_x9y13_w2begb[7] , \tile_x9y13_w2begb[6] , \tile_x9y13_w2begb[5] , \tile_x9y13_w2begb[4] , \tile_x9y13_w2begb[3] , \tile_x9y13_w2begb[2] , \tile_x9y13_w2begb[1] , \tile_x9y13_w2begb[0] }),
.top_w2mid({ \tile_x9y13_w2beg[7] , \tile_x9y13_w2beg[6] , \tile_x9y13_w2beg[5] , \tile_x9y13_w2beg[4] , \tile_x9y13_w2beg[3] , \tile_x9y13_w2beg[2] , \tile_x9y13_w2beg[1] , \tile_x9y13_w2beg[0] }),
.top_w6beg({ \tile_x8y13_w6beg[11] , \tile_x8y13_w6beg[10] , \tile_x8y13_w6beg[9] , \tile_x8y13_w6beg[8] , \tile_x8y13_w6beg[7] , \tile_x8y13_w6beg[6] , \tile_x8y13_w6beg[5] , \tile_x8y13_w6beg[4] , \tile_x8y13_w6beg[3] , \tile_x8y13_w6beg[2] , \tile_x8y13_w6beg[1] , \tile_x8y13_w6beg[0] }),
.top_w6end({ \tile_x9y13_w6beg[11] , \tile_x9y13_w6beg[10] , \tile_x9y13_w6beg[9] , \tile_x9y13_w6beg[8] , \tile_x9y13_w6beg[7] , \tile_x9y13_w6beg[6] , \tile_x9y13_w6beg[5] , \tile_x9y13_w6beg[4] , \tile_x9y13_w6beg[3] , \tile_x9y13_w6beg[2] , \tile_x9y13_w6beg[1] , \tile_x9y13_w6beg[0] }),
.top_ww4beg({ \tile_x8y13_ww4beg[15] , \tile_x8y13_ww4beg[14] , \tile_x8y13_ww4beg[13] , \tile_x8y13_ww4beg[12] , \tile_x8y13_ww4beg[11] , \tile_x8y13_ww4beg[10] , \tile_x8y13_ww4beg[9] , \tile_x8y13_ww4beg[8] , \tile_x8y13_ww4beg[7] , \tile_x8y13_ww4beg[6] , \tile_x8y13_ww4beg[5] , \tile_x8y13_ww4beg[4] , \tile_x8y13_ww4beg[3] , \tile_x8y13_ww4beg[2] , \tile_x8y13_ww4beg[1] , \tile_x8y13_ww4beg[0] }),
.top_ww4end({ \tile_x9y13_ww4beg[15] , \tile_x9y13_ww4beg[14] , \tile_x9y13_ww4beg[13] , \tile_x9y13_ww4beg[12] , \tile_x9y13_ww4beg[11] , \tile_x9y13_ww4beg[10] , \tile_x9y13_ww4beg[9] , \tile_x9y13_ww4beg[8] , \tile_x9y13_ww4beg[7] , \tile_x9y13_ww4beg[6] , \tile_x9y13_ww4beg[5] , \tile_x9y13_ww4beg[4] , \tile_x9y13_ww4beg[3] , \tile_x9y13_ww4beg[2] , \tile_x9y13_ww4beg[1] , \tile_x9y13_ww4beg[0] })
);
s_term_dsp tile_x8y15_s_term_dsp (
.framestrobe(framestrobe[179:160]),
.framestrobe_o({ \tile_x8y15_framestrobe_o[19] , \tile_x8y15_framestrobe_o[18] , \tile_x8y15_framestrobe_o[17] , \tile_x8y15_framestrobe_o[16] , \tile_x8y15_framestrobe_o[15] , \tile_x8y15_framestrobe_o[14] , \tile_x8y15_framestrobe_o[13] , \tile_x8y15_framestrobe_o[12] , \tile_x8y15_framestrobe_o[11] , \tile_x8y15_framestrobe_o[10] , \tile_x8y15_framestrobe_o[9] , \tile_x8y15_framestrobe_o[8] , \tile_x8y15_framestrobe_o[7] , \tile_x8y15_framestrobe_o[6] , \tile_x8y15_framestrobe_o[5] , \tile_x8y15_framestrobe_o[4] , \tile_x8y15_framestrobe_o[3] , \tile_x8y15_framestrobe_o[2] , \tile_x8y15_framestrobe_o[1] , \tile_x8y15_framestrobe_o[0] }),
.n1beg({ \tile_x8y15_n1beg[3] , \tile_x8y15_n1beg[2] , \tile_x8y15_n1beg[1] , \tile_x8y15_n1beg[0] }),
.n2beg({ \tile_x8y15_n2beg[7] , \tile_x8y15_n2beg[6] , \tile_x8y15_n2beg[5] , \tile_x8y15_n2beg[4] , \tile_x8y15_n2beg[3] , \tile_x8y15_n2beg[2] , \tile_x8y15_n2beg[1] , \tile_x8y15_n2beg[0] }),
.n2begb({ \tile_x8y15_n2begb[7] , \tile_x8y15_n2begb[6] , \tile_x8y15_n2begb[5] , \tile_x8y15_n2begb[4] , \tile_x8y15_n2begb[3] , \tile_x8y15_n2begb[2] , \tile_x8y15_n2begb[1] , \tile_x8y15_n2begb[0] }),
.n4beg({ \tile_x8y15_n4beg[15] , \tile_x8y15_n4beg[14] , \tile_x8y15_n4beg[13] , \tile_x8y15_n4beg[12] , \tile_x8y15_n4beg[11] , \tile_x8y15_n4beg[10] , \tile_x8y15_n4beg[9] , \tile_x8y15_n4beg[8] , \tile_x8y15_n4beg[7] , \tile_x8y15_n4beg[6] , \tile_x8y15_n4beg[5] , \tile_x8y15_n4beg[4] , \tile_x8y15_n4beg[3] , \tile_x8y15_n4beg[2] , \tile_x8y15_n4beg[1] , \tile_x8y15_n4beg[0] }),
.nn4beg({ \tile_x8y15_nn4beg[15] , \tile_x8y15_nn4beg[14] , \tile_x8y15_nn4beg[13] , \tile_x8y15_nn4beg[12] , \tile_x8y15_nn4beg[11] , \tile_x8y15_nn4beg[10] , \tile_x8y15_nn4beg[9] , \tile_x8y15_nn4beg[8] , \tile_x8y15_nn4beg[7] , \tile_x8y15_nn4beg[6] , \tile_x8y15_nn4beg[5] , \tile_x8y15_nn4beg[4] , \tile_x8y15_nn4beg[3] , \tile_x8y15_nn4beg[2] , \tile_x8y15_nn4beg[1] , \tile_x8y15_nn4beg[0] }),
.s1end({ \tile_x8y14_s1beg[3] , \tile_x8y14_s1beg[2] , \tile_x8y14_s1beg[1] , \tile_x8y14_s1beg[0] }),
.s2end({ \tile_x8y14_s2begb[7] , \tile_x8y14_s2begb[6] , \tile_x8y14_s2begb[5] , \tile_x8y14_s2begb[4] , \tile_x8y14_s2begb[3] , \tile_x8y14_s2begb[2] , \tile_x8y14_s2begb[1] , \tile_x8y14_s2begb[0] }),
.s2mid({ \tile_x8y14_s2beg[7] , \tile_x8y14_s2beg[6] , \tile_x8y14_s2beg[5] , \tile_x8y14_s2beg[4] , \tile_x8y14_s2beg[3] , \tile_x8y14_s2beg[2] , \tile_x8y14_s2beg[1] , \tile_x8y14_s2beg[0] }),
.s4end({ \tile_x8y14_s4beg[15] , \tile_x8y14_s4beg[14] , \tile_x8y14_s4beg[13] , \tile_x8y14_s4beg[12] , \tile_x8y14_s4beg[11] , \tile_x8y14_s4beg[10] , \tile_x8y14_s4beg[9] , \tile_x8y14_s4beg[8] , \tile_x8y14_s4beg[7] , \tile_x8y14_s4beg[6] , \tile_x8y14_s4beg[5] , \tile_x8y14_s4beg[4] , \tile_x8y14_s4beg[3] , \tile_x8y14_s4beg[2] , \tile_x8y14_s4beg[1] , \tile_x8y14_s4beg[0] }),
.ss4end({ \tile_x8y14_ss4beg[15] , \tile_x8y14_ss4beg[14] , \tile_x8y14_ss4beg[13] , \tile_x8y14_ss4beg[12] , \tile_x8y14_ss4beg[11] , \tile_x8y14_ss4beg[10] , \tile_x8y14_ss4beg[9] , \tile_x8y14_ss4beg[8] , \tile_x8y14_ss4beg[7] , \tile_x8y14_ss4beg[6] , \tile_x8y14_ss4beg[5] , \tile_x8y14_ss4beg[4] , \tile_x8y14_ss4beg[3] , \tile_x8y14_ss4beg[2] , \tile_x8y14_ss4beg[1] , \tile_x8y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x8y15_userclko)
);
dsp tile_x8y1_x8y2_dsp_tile (
.framestrobe({ \tile_x8y3_framestrobe_o[19] , \tile_x8y3_framestrobe_o[18] , \tile_x8y3_framestrobe_o[17] , \tile_x8y3_framestrobe_o[16] , \tile_x8y3_framestrobe_o[15] , \tile_x8y3_framestrobe_o[14] , \tile_x8y3_framestrobe_o[13] , \tile_x8y3_framestrobe_o[12] , \tile_x8y3_framestrobe_o[11] , \tile_x8y3_framestrobe_o[10] , \tile_x8y3_framestrobe_o[9] , \tile_x8y3_framestrobe_o[8] , \tile_x8y3_framestrobe_o[7] , \tile_x8y3_framestrobe_o[6] , \tile_x8y3_framestrobe_o[5] , \tile_x8y3_framestrobe_o[4] , \tile_x8y3_framestrobe_o[3] , \tile_x8y3_framestrobe_o[2] , \tile_x8y3_framestrobe_o[1] , \tile_x8y3_framestrobe_o[0] }),
.framestrobe_o({ \tile_x8y1_framestrobe_o[19] , \tile_x8y1_framestrobe_o[18] , \tile_x8y1_framestrobe_o[17] , \tile_x8y1_framestrobe_o[16] , \tile_x8y1_framestrobe_o[15] , \tile_x8y1_framestrobe_o[14] , \tile_x8y1_framestrobe_o[13] , \tile_x8y1_framestrobe_o[12] , \tile_x8y1_framestrobe_o[11] , \tile_x8y1_framestrobe_o[10] , \tile_x8y1_framestrobe_o[9] , \tile_x8y1_framestrobe_o[8] , \tile_x8y1_framestrobe_o[7] , \tile_x8y1_framestrobe_o[6] , \tile_x8y1_framestrobe_o[5] , \tile_x8y1_framestrobe_o[4] , \tile_x8y1_framestrobe_o[3] , \tile_x8y1_framestrobe_o[2] , \tile_x8y1_framestrobe_o[1] , \tile_x8y1_framestrobe_o[0] }),
.userclk(tile_x8y3_userclko),
.userclko(tile_x8y1_userclko),
.bot_e1beg({ \tile_x8y2_e1beg[3] , \tile_x8y2_e1beg[2] , \tile_x8y2_e1beg[1] , \tile_x8y2_e1beg[0] }),
.bot_e1end({ \tile_x7y2_e1beg[3] , \tile_x7y2_e1beg[2] , \tile_x7y2_e1beg[1] , \tile_x7y2_e1beg[0] }),
.bot_e2beg({ \tile_x8y2_e2beg[7] , \tile_x8y2_e2beg[6] , \tile_x8y2_e2beg[5] , \tile_x8y2_e2beg[4] , \tile_x8y2_e2beg[3] , \tile_x8y2_e2beg[2] , \tile_x8y2_e2beg[1] , \tile_x8y2_e2beg[0] }),
.bot_e2begb({ \tile_x8y2_e2begb[7] , \tile_x8y2_e2begb[6] , \tile_x8y2_e2begb[5] , \tile_x8y2_e2begb[4] , \tile_x8y2_e2begb[3] , \tile_x8y2_e2begb[2] , \tile_x8y2_e2begb[1] , \tile_x8y2_e2begb[0] }),
.bot_e2end({ \tile_x7y2_e2begb[7] , \tile_x7y2_e2begb[6] , \tile_x7y2_e2begb[5] , \tile_x7y2_e2begb[4] , \tile_x7y2_e2begb[3] , \tile_x7y2_e2begb[2] , \tile_x7y2_e2begb[1] , \tile_x7y2_e2begb[0] }),
.bot_e2mid({ \tile_x7y2_e2beg[7] , \tile_x7y2_e2beg[6] , \tile_x7y2_e2beg[5] , \tile_x7y2_e2beg[4] , \tile_x7y2_e2beg[3] , \tile_x7y2_e2beg[2] , \tile_x7y2_e2beg[1] , \tile_x7y2_e2beg[0] }),
.bot_e6beg({ \tile_x8y2_e6beg[11] , \tile_x8y2_e6beg[10] , \tile_x8y2_e6beg[9] , \tile_x8y2_e6beg[8] , \tile_x8y2_e6beg[7] , \tile_x8y2_e6beg[6] , \tile_x8y2_e6beg[5] , \tile_x8y2_e6beg[4] , \tile_x8y2_e6beg[3] , \tile_x8y2_e6beg[2] , \tile_x8y2_e6beg[1] , \tile_x8y2_e6beg[0] }),
.bot_e6end({ \tile_x7y2_e6beg[11] , \tile_x7y2_e6beg[10] , \tile_x7y2_e6beg[9] , \tile_x7y2_e6beg[8] , \tile_x7y2_e6beg[7] , \tile_x7y2_e6beg[6] , \tile_x7y2_e6beg[5] , \tile_x7y2_e6beg[4] , \tile_x7y2_e6beg[3] , \tile_x7y2_e6beg[2] , \tile_x7y2_e6beg[1] , \tile_x7y2_e6beg[0] }),
.bot_ee4beg({ \tile_x8y2_ee4beg[15] , \tile_x8y2_ee4beg[14] , \tile_x8y2_ee4beg[13] , \tile_x8y2_ee4beg[12] , \tile_x8y2_ee4beg[11] , \tile_x8y2_ee4beg[10] , \tile_x8y2_ee4beg[9] , \tile_x8y2_ee4beg[8] , \tile_x8y2_ee4beg[7] , \tile_x8y2_ee4beg[6] , \tile_x8y2_ee4beg[5] , \tile_x8y2_ee4beg[4] , \tile_x8y2_ee4beg[3] , \tile_x8y2_ee4beg[2] , \tile_x8y2_ee4beg[1] , \tile_x8y2_ee4beg[0] }),
.bot_ee4end({ \tile_x7y2_ee4beg[15] , \tile_x7y2_ee4beg[14] , \tile_x7y2_ee4beg[13] , \tile_x7y2_ee4beg[12] , \tile_x7y2_ee4beg[11] , \tile_x7y2_ee4beg[10] , \tile_x7y2_ee4beg[9] , \tile_x7y2_ee4beg[8] , \tile_x7y2_ee4beg[7] , \tile_x7y2_ee4beg[6] , \tile_x7y2_ee4beg[5] , \tile_x7y2_ee4beg[4] , \tile_x7y2_ee4beg[3] , \tile_x7y2_ee4beg[2] , \tile_x7y2_ee4beg[1] , \tile_x7y2_ee4beg[0] }),
.bot_framedata({ \tile_x7y2_framedata_o[31] , \tile_x7y2_framedata_o[30] , \tile_x7y2_framedata_o[29] , \tile_x7y2_framedata_o[28] , \tile_x7y2_framedata_o[27] , \tile_x7y2_framedata_o[26] , \tile_x7y2_framedata_o[25] , \tile_x7y2_framedata_o[24] , \tile_x7y2_framedata_o[23] , \tile_x7y2_framedata_o[22] , \tile_x7y2_framedata_o[21] , \tile_x7y2_framedata_o[20] , \tile_x7y2_framedata_o[19] , \tile_x7y2_framedata_o[18] , \tile_x7y2_framedata_o[17] , \tile_x7y2_framedata_o[16] , \tile_x7y2_framedata_o[15] , \tile_x7y2_framedata_o[14] , \tile_x7y2_framedata_o[13] , \tile_x7y2_framedata_o[12] , \tile_x7y2_framedata_o[11] , \tile_x7y2_framedata_o[10] , \tile_x7y2_framedata_o[9] , \tile_x7y2_framedata_o[8] , \tile_x7y2_framedata_o[7] , \tile_x7y2_framedata_o[6] , \tile_x7y2_framedata_o[5] , \tile_x7y2_framedata_o[4] , \tile_x7y2_framedata_o[3] , \tile_x7y2_framedata_o[2] , \tile_x7y2_framedata_o[1] , \tile_x7y2_framedata_o[0] }),
.bot_framedata_o({ \tile_x8y2_framedata_o[31] , \tile_x8y2_framedata_o[30] , \tile_x8y2_framedata_o[29] , \tile_x8y2_framedata_o[28] , \tile_x8y2_framedata_o[27] , \tile_x8y2_framedata_o[26] , \tile_x8y2_framedata_o[25] , \tile_x8y2_framedata_o[24] , \tile_x8y2_framedata_o[23] , \tile_x8y2_framedata_o[22] , \tile_x8y2_framedata_o[21] , \tile_x8y2_framedata_o[20] , \tile_x8y2_framedata_o[19] , \tile_x8y2_framedata_o[18] , \tile_x8y2_framedata_o[17] , \tile_x8y2_framedata_o[16] , \tile_x8y2_framedata_o[15] , \tile_x8y2_framedata_o[14] , \tile_x8y2_framedata_o[13] , \tile_x8y2_framedata_o[12] , \tile_x8y2_framedata_o[11] , \tile_x8y2_framedata_o[10] , \tile_x8y2_framedata_o[9] , \tile_x8y2_framedata_o[8] , \tile_x8y2_framedata_o[7] , \tile_x8y2_framedata_o[6] , \tile_x8y2_framedata_o[5] , \tile_x8y2_framedata_o[4] , \tile_x8y2_framedata_o[3] , \tile_x8y2_framedata_o[2] , \tile_x8y2_framedata_o[1] , \tile_x8y2_framedata_o[0] }),
.bot_n1end({ \tile_x8y3_n1beg[3] , \tile_x8y3_n1beg[2] , \tile_x8y3_n1beg[1] , \tile_x8y3_n1beg[0] }),
.bot_n2end({ \tile_x8y3_n2begb[7] , \tile_x8y3_n2begb[6] , \tile_x8y3_n2begb[5] , \tile_x8y3_n2begb[4] , \tile_x8y3_n2begb[3] , \tile_x8y3_n2begb[2] , \tile_x8y3_n2begb[1] , \tile_x8y3_n2begb[0] }),
.bot_n2mid({ \tile_x8y3_n2beg[7] , \tile_x8y3_n2beg[6] , \tile_x8y3_n2beg[5] , \tile_x8y3_n2beg[4] , \tile_x8y3_n2beg[3] , \tile_x8y3_n2beg[2] , \tile_x8y3_n2beg[1] , \tile_x8y3_n2beg[0] }),
.bot_n4end({ \tile_x8y3_n4beg[15] , \tile_x8y3_n4beg[14] , \tile_x8y3_n4beg[13] , \tile_x8y3_n4beg[12] , \tile_x8y3_n4beg[11] , \tile_x8y3_n4beg[10] , \tile_x8y3_n4beg[9] , \tile_x8y3_n4beg[8] , \tile_x8y3_n4beg[7] , \tile_x8y3_n4beg[6] , \tile_x8y3_n4beg[5] , \tile_x8y3_n4beg[4] , \tile_x8y3_n4beg[3] , \tile_x8y3_n4beg[2] , \tile_x8y3_n4beg[1] , \tile_x8y3_n4beg[0] }),
.bot_nn4end({ \tile_x8y3_nn4beg[15] , \tile_x8y3_nn4beg[14] , \tile_x8y3_nn4beg[13] , \tile_x8y3_nn4beg[12] , \tile_x8y3_nn4beg[11] , \tile_x8y3_nn4beg[10] , \tile_x8y3_nn4beg[9] , \tile_x8y3_nn4beg[8] , \tile_x8y3_nn4beg[7] , \tile_x8y3_nn4beg[6] , \tile_x8y3_nn4beg[5] , \tile_x8y3_nn4beg[4] , \tile_x8y3_nn4beg[3] , \tile_x8y3_nn4beg[2] , \tile_x8y3_nn4beg[1] , \tile_x8y3_nn4beg[0] }),
.bot_s1beg({ \tile_x8y2_s1beg[3] , \tile_x8y2_s1beg[2] , \tile_x8y2_s1beg[1] , \tile_x8y2_s1beg[0] }),
.bot_s2beg({ \tile_x8y2_s2beg[7] , \tile_x8y2_s2beg[6] , \tile_x8y2_s2beg[5] , \tile_x8y2_s2beg[4] , \tile_x8y2_s2beg[3] , \tile_x8y2_s2beg[2] , \tile_x8y2_s2beg[1] , \tile_x8y2_s2beg[0] }),
.bot_s2begb({ \tile_x8y2_s2begb[7] , \tile_x8y2_s2begb[6] , \tile_x8y2_s2begb[5] , \tile_x8y2_s2begb[4] , \tile_x8y2_s2begb[3] , \tile_x8y2_s2begb[2] , \tile_x8y2_s2begb[1] , \tile_x8y2_s2begb[0] }),
.bot_s4beg({ \tile_x8y2_s4beg[15] , \tile_x8y2_s4beg[14] , \tile_x8y2_s4beg[13] , \tile_x8y2_s4beg[12] , \tile_x8y2_s4beg[11] , \tile_x8y2_s4beg[10] , \tile_x8y2_s4beg[9] , \tile_x8y2_s4beg[8] , \tile_x8y2_s4beg[7] , \tile_x8y2_s4beg[6] , \tile_x8y2_s4beg[5] , \tile_x8y2_s4beg[4] , \tile_x8y2_s4beg[3] , \tile_x8y2_s4beg[2] , \tile_x8y2_s4beg[1] , \tile_x8y2_s4beg[0] }),
.bot_ss4beg({ \tile_x8y2_ss4beg[15] , \tile_x8y2_ss4beg[14] , \tile_x8y2_ss4beg[13] , \tile_x8y2_ss4beg[12] , \tile_x8y2_ss4beg[11] , \tile_x8y2_ss4beg[10] , \tile_x8y2_ss4beg[9] , \tile_x8y2_ss4beg[8] , \tile_x8y2_ss4beg[7] , \tile_x8y2_ss4beg[6] , \tile_x8y2_ss4beg[5] , \tile_x8y2_ss4beg[4] , \tile_x8y2_ss4beg[3] , \tile_x8y2_ss4beg[2] , \tile_x8y2_ss4beg[1] , \tile_x8y2_ss4beg[0] }),
.bot_w1beg({ \tile_x8y2_w1beg[3] , \tile_x8y2_w1beg[2] , \tile_x8y2_w1beg[1] , \tile_x8y2_w1beg[0] }),
.bot_w1end({ \tile_x9y2_w1beg[3] , \tile_x9y2_w1beg[2] , \tile_x9y2_w1beg[1] , \tile_x9y2_w1beg[0] }),
.bot_w2beg({ \tile_x8y2_w2beg[7] , \tile_x8y2_w2beg[6] , \tile_x8y2_w2beg[5] , \tile_x8y2_w2beg[4] , \tile_x8y2_w2beg[3] , \tile_x8y2_w2beg[2] , \tile_x8y2_w2beg[1] , \tile_x8y2_w2beg[0] }),
.bot_w2begb({ \tile_x8y2_w2begb[7] , \tile_x8y2_w2begb[6] , \tile_x8y2_w2begb[5] , \tile_x8y2_w2begb[4] , \tile_x8y2_w2begb[3] , \tile_x8y2_w2begb[2] , \tile_x8y2_w2begb[1] , \tile_x8y2_w2begb[0] }),
.bot_w2end({ \tile_x9y2_w2begb[7] , \tile_x9y2_w2begb[6] , \tile_x9y2_w2begb[5] , \tile_x9y2_w2begb[4] , \tile_x9y2_w2begb[3] , \tile_x9y2_w2begb[2] , \tile_x9y2_w2begb[1] , \tile_x9y2_w2begb[0] }),
.bot_w2mid({ \tile_x9y2_w2beg[7] , \tile_x9y2_w2beg[6] , \tile_x9y2_w2beg[5] , \tile_x9y2_w2beg[4] , \tile_x9y2_w2beg[3] , \tile_x9y2_w2beg[2] , \tile_x9y2_w2beg[1] , \tile_x9y2_w2beg[0] }),
.bot_w6beg({ \tile_x8y2_w6beg[11] , \tile_x8y2_w6beg[10] , \tile_x8y2_w6beg[9] , \tile_x8y2_w6beg[8] , \tile_x8y2_w6beg[7] , \tile_x8y2_w6beg[6] , \tile_x8y2_w6beg[5] , \tile_x8y2_w6beg[4] , \tile_x8y2_w6beg[3] , \tile_x8y2_w6beg[2] , \tile_x8y2_w6beg[1] , \tile_x8y2_w6beg[0] }),
.bot_w6end({ \tile_x9y2_w6beg[11] , \tile_x9y2_w6beg[10] , \tile_x9y2_w6beg[9] , \tile_x9y2_w6beg[8] , \tile_x9y2_w6beg[7] , \tile_x9y2_w6beg[6] , \tile_x9y2_w6beg[5] , \tile_x9y2_w6beg[4] , \tile_x9y2_w6beg[3] , \tile_x9y2_w6beg[2] , \tile_x9y2_w6beg[1] , \tile_x9y2_w6beg[0] }),
.bot_ww4beg({ \tile_x8y2_ww4beg[15] , \tile_x8y2_ww4beg[14] , \tile_x8y2_ww4beg[13] , \tile_x8y2_ww4beg[12] , \tile_x8y2_ww4beg[11] , \tile_x8y2_ww4beg[10] , \tile_x8y2_ww4beg[9] , \tile_x8y2_ww4beg[8] , \tile_x8y2_ww4beg[7] , \tile_x8y2_ww4beg[6] , \tile_x8y2_ww4beg[5] , \tile_x8y2_ww4beg[4] , \tile_x8y2_ww4beg[3] , \tile_x8y2_ww4beg[2] , \tile_x8y2_ww4beg[1] , \tile_x8y2_ww4beg[0] }),
.bot_ww4end({ \tile_x9y2_ww4beg[15] , \tile_x9y2_ww4beg[14] , \tile_x9y2_ww4beg[13] , \tile_x9y2_ww4beg[12] , \tile_x9y2_ww4beg[11] , \tile_x9y2_ww4beg[10] , \tile_x9y2_ww4beg[9] , \tile_x9y2_ww4beg[8] , \tile_x9y2_ww4beg[7] , \tile_x9y2_ww4beg[6] , \tile_x9y2_ww4beg[5] , \tile_x9y2_ww4beg[4] , \tile_x9y2_ww4beg[3] , \tile_x9y2_ww4beg[2] , \tile_x9y2_ww4beg[1] , \tile_x9y2_ww4beg[0] }),
.top_e1beg({ \tile_x8y1_e1beg[3] , \tile_x8y1_e1beg[2] , \tile_x8y1_e1beg[1] , \tile_x8y1_e1beg[0] }),
.top_e1end({ \tile_x7y1_e1beg[3] , \tile_x7y1_e1beg[2] , \tile_x7y1_e1beg[1] , \tile_x7y1_e1beg[0] }),
.top_e2beg({ \tile_x8y1_e2beg[7] , \tile_x8y1_e2beg[6] , \tile_x8y1_e2beg[5] , \tile_x8y1_e2beg[4] , \tile_x8y1_e2beg[3] , \tile_x8y1_e2beg[2] , \tile_x8y1_e2beg[1] , \tile_x8y1_e2beg[0] }),
.top_e2begb({ \tile_x8y1_e2begb[7] , \tile_x8y1_e2begb[6] , \tile_x8y1_e2begb[5] , \tile_x8y1_e2begb[4] , \tile_x8y1_e2begb[3] , \tile_x8y1_e2begb[2] , \tile_x8y1_e2begb[1] , \tile_x8y1_e2begb[0] }),
.top_e2end({ \tile_x7y1_e2begb[7] , \tile_x7y1_e2begb[6] , \tile_x7y1_e2begb[5] , \tile_x7y1_e2begb[4] , \tile_x7y1_e2begb[3] , \tile_x7y1_e2begb[2] , \tile_x7y1_e2begb[1] , \tile_x7y1_e2begb[0] }),
.top_e2mid({ \tile_x7y1_e2beg[7] , \tile_x7y1_e2beg[6] , \tile_x7y1_e2beg[5] , \tile_x7y1_e2beg[4] , \tile_x7y1_e2beg[3] , \tile_x7y1_e2beg[2] , \tile_x7y1_e2beg[1] , \tile_x7y1_e2beg[0] }),
.top_e6beg({ \tile_x8y1_e6beg[11] , \tile_x8y1_e6beg[10] , \tile_x8y1_e6beg[9] , \tile_x8y1_e6beg[8] , \tile_x8y1_e6beg[7] , \tile_x8y1_e6beg[6] , \tile_x8y1_e6beg[5] , \tile_x8y1_e6beg[4] , \tile_x8y1_e6beg[3] , \tile_x8y1_e6beg[2] , \tile_x8y1_e6beg[1] , \tile_x8y1_e6beg[0] }),
.top_e6end({ \tile_x7y1_e6beg[11] , \tile_x7y1_e6beg[10] , \tile_x7y1_e6beg[9] , \tile_x7y1_e6beg[8] , \tile_x7y1_e6beg[7] , \tile_x7y1_e6beg[6] , \tile_x7y1_e6beg[5] , \tile_x7y1_e6beg[4] , \tile_x7y1_e6beg[3] , \tile_x7y1_e6beg[2] , \tile_x7y1_e6beg[1] , \tile_x7y1_e6beg[0] }),
.top_ee4beg({ \tile_x8y1_ee4beg[15] , \tile_x8y1_ee4beg[14] , \tile_x8y1_ee4beg[13] , \tile_x8y1_ee4beg[12] , \tile_x8y1_ee4beg[11] , \tile_x8y1_ee4beg[10] , \tile_x8y1_ee4beg[9] , \tile_x8y1_ee4beg[8] , \tile_x8y1_ee4beg[7] , \tile_x8y1_ee4beg[6] , \tile_x8y1_ee4beg[5] , \tile_x8y1_ee4beg[4] , \tile_x8y1_ee4beg[3] , \tile_x8y1_ee4beg[2] , \tile_x8y1_ee4beg[1] , \tile_x8y1_ee4beg[0] }),
.top_ee4end({ \tile_x7y1_ee4beg[15] , \tile_x7y1_ee4beg[14] , \tile_x7y1_ee4beg[13] , \tile_x7y1_ee4beg[12] , \tile_x7y1_ee4beg[11] , \tile_x7y1_ee4beg[10] , \tile_x7y1_ee4beg[9] , \tile_x7y1_ee4beg[8] , \tile_x7y1_ee4beg[7] , \tile_x7y1_ee4beg[6] , \tile_x7y1_ee4beg[5] , \tile_x7y1_ee4beg[4] , \tile_x7y1_ee4beg[3] , \tile_x7y1_ee4beg[2] , \tile_x7y1_ee4beg[1] , \tile_x7y1_ee4beg[0] }),
.top_framedata({ \tile_x7y1_framedata_o[31] , \tile_x7y1_framedata_o[30] , \tile_x7y1_framedata_o[29] , \tile_x7y1_framedata_o[28] , \tile_x7y1_framedata_o[27] , \tile_x7y1_framedata_o[26] , \tile_x7y1_framedata_o[25] , \tile_x7y1_framedata_o[24] , \tile_x7y1_framedata_o[23] , \tile_x7y1_framedata_o[22] , \tile_x7y1_framedata_o[21] , \tile_x7y1_framedata_o[20] , \tile_x7y1_framedata_o[19] , \tile_x7y1_framedata_o[18] , \tile_x7y1_framedata_o[17] , \tile_x7y1_framedata_o[16] , \tile_x7y1_framedata_o[15] , \tile_x7y1_framedata_o[14] , \tile_x7y1_framedata_o[13] , \tile_x7y1_framedata_o[12] , \tile_x7y1_framedata_o[11] , \tile_x7y1_framedata_o[10] , \tile_x7y1_framedata_o[9] , \tile_x7y1_framedata_o[8] , \tile_x7y1_framedata_o[7] , \tile_x7y1_framedata_o[6] , \tile_x7y1_framedata_o[5] , \tile_x7y1_framedata_o[4] , \tile_x7y1_framedata_o[3] , \tile_x7y1_framedata_o[2] , \tile_x7y1_framedata_o[1] , \tile_x7y1_framedata_o[0] }),
.top_framedata_o({ \tile_x8y1_framedata_o[31] , \tile_x8y1_framedata_o[30] , \tile_x8y1_framedata_o[29] , \tile_x8y1_framedata_o[28] , \tile_x8y1_framedata_o[27] , \tile_x8y1_framedata_o[26] , \tile_x8y1_framedata_o[25] , \tile_x8y1_framedata_o[24] , \tile_x8y1_framedata_o[23] , \tile_x8y1_framedata_o[22] , \tile_x8y1_framedata_o[21] , \tile_x8y1_framedata_o[20] , \tile_x8y1_framedata_o[19] , \tile_x8y1_framedata_o[18] , \tile_x8y1_framedata_o[17] , \tile_x8y1_framedata_o[16] , \tile_x8y1_framedata_o[15] , \tile_x8y1_framedata_o[14] , \tile_x8y1_framedata_o[13] , \tile_x8y1_framedata_o[12] , \tile_x8y1_framedata_o[11] , \tile_x8y1_framedata_o[10] , \tile_x8y1_framedata_o[9] , \tile_x8y1_framedata_o[8] , \tile_x8y1_framedata_o[7] , \tile_x8y1_framedata_o[6] , \tile_x8y1_framedata_o[5] , \tile_x8y1_framedata_o[4] , \tile_x8y1_framedata_o[3] , \tile_x8y1_framedata_o[2] , \tile_x8y1_framedata_o[1] , \tile_x8y1_framedata_o[0] }),
.top_n1beg({ \tile_x8y1_n1beg[3] , \tile_x8y1_n1beg[2] , \tile_x8y1_n1beg[1] , \tile_x8y1_n1beg[0] }),
.top_n2beg({ \tile_x8y1_n2beg[7] , \tile_x8y1_n2beg[6] , \tile_x8y1_n2beg[5] , \tile_x8y1_n2beg[4] , \tile_x8y1_n2beg[3] , \tile_x8y1_n2beg[2] , \tile_x8y1_n2beg[1] , \tile_x8y1_n2beg[0] }),
.top_n2begb({ \tile_x8y1_n2begb[7] , \tile_x8y1_n2begb[6] , \tile_x8y1_n2begb[5] , \tile_x8y1_n2begb[4] , \tile_x8y1_n2begb[3] , \tile_x8y1_n2begb[2] , \tile_x8y1_n2begb[1] , \tile_x8y1_n2begb[0] }),
.top_n4beg({ \tile_x8y1_n4beg[15] , \tile_x8y1_n4beg[14] , \tile_x8y1_n4beg[13] , \tile_x8y1_n4beg[12] , \tile_x8y1_n4beg[11] , \tile_x8y1_n4beg[10] , \tile_x8y1_n4beg[9] , \tile_x8y1_n4beg[8] , \tile_x8y1_n4beg[7] , \tile_x8y1_n4beg[6] , \tile_x8y1_n4beg[5] , \tile_x8y1_n4beg[4] , \tile_x8y1_n4beg[3] , \tile_x8y1_n4beg[2] , \tile_x8y1_n4beg[1] , \tile_x8y1_n4beg[0] }),
.top_nn4beg({ \tile_x8y1_nn4beg[15] , \tile_x8y1_nn4beg[14] , \tile_x8y1_nn4beg[13] , \tile_x8y1_nn4beg[12] , \tile_x8y1_nn4beg[11] , \tile_x8y1_nn4beg[10] , \tile_x8y1_nn4beg[9] , \tile_x8y1_nn4beg[8] , \tile_x8y1_nn4beg[7] , \tile_x8y1_nn4beg[6] , \tile_x8y1_nn4beg[5] , \tile_x8y1_nn4beg[4] , \tile_x8y1_nn4beg[3] , \tile_x8y1_nn4beg[2] , \tile_x8y1_nn4beg[1] , \tile_x8y1_nn4beg[0] }),
.top_s1end({ \tile_x8y0_s1beg[3] , \tile_x8y0_s1beg[2] , \tile_x8y0_s1beg[1] , \tile_x8y0_s1beg[0] }),
.top_s2end({ \tile_x8y0_s2begb[7] , \tile_x8y0_s2begb[6] , \tile_x8y0_s2begb[5] , \tile_x8y0_s2begb[4] , \tile_x8y0_s2begb[3] , \tile_x8y0_s2begb[2] , \tile_x8y0_s2begb[1] , \tile_x8y0_s2begb[0] }),
.top_s2mid({ \tile_x8y0_s2beg[7] , \tile_x8y0_s2beg[6] , \tile_x8y0_s2beg[5] , \tile_x8y0_s2beg[4] , \tile_x8y0_s2beg[3] , \tile_x8y0_s2beg[2] , \tile_x8y0_s2beg[1] , \tile_x8y0_s2beg[0] }),
.top_s4end({ \tile_x8y0_s4beg[15] , \tile_x8y0_s4beg[14] , \tile_x8y0_s4beg[13] , \tile_x8y0_s4beg[12] , \tile_x8y0_s4beg[11] , \tile_x8y0_s4beg[10] , \tile_x8y0_s4beg[9] , \tile_x8y0_s4beg[8] , \tile_x8y0_s4beg[7] , \tile_x8y0_s4beg[6] , \tile_x8y0_s4beg[5] , \tile_x8y0_s4beg[4] , \tile_x8y0_s4beg[3] , \tile_x8y0_s4beg[2] , \tile_x8y0_s4beg[1] , \tile_x8y0_s4beg[0] }),
.top_ss4end({ \tile_x8y0_ss4beg[15] , \tile_x8y0_ss4beg[14] , \tile_x8y0_ss4beg[13] , \tile_x8y0_ss4beg[12] , \tile_x8y0_ss4beg[11] , \tile_x8y0_ss4beg[10] , \tile_x8y0_ss4beg[9] , \tile_x8y0_ss4beg[8] , \tile_x8y0_ss4beg[7] , \tile_x8y0_ss4beg[6] , \tile_x8y0_ss4beg[5] , \tile_x8y0_ss4beg[4] , \tile_x8y0_ss4beg[3] , \tile_x8y0_ss4beg[2] , \tile_x8y0_ss4beg[1] , \tile_x8y0_ss4beg[0] }),
.top_w1beg({ \tile_x8y1_w1beg[3] , \tile_x8y1_w1beg[2] , \tile_x8y1_w1beg[1] , \tile_x8y1_w1beg[0] }),
.top_w1end({ \tile_x9y1_w1beg[3] , \tile_x9y1_w1beg[2] , \tile_x9y1_w1beg[1] , \tile_x9y1_w1beg[0] }),
.top_w2beg({ \tile_x8y1_w2beg[7] , \tile_x8y1_w2beg[6] , \tile_x8y1_w2beg[5] , \tile_x8y1_w2beg[4] , \tile_x8y1_w2beg[3] , \tile_x8y1_w2beg[2] , \tile_x8y1_w2beg[1] , \tile_x8y1_w2beg[0] }),
.top_w2begb({ \tile_x8y1_w2begb[7] , \tile_x8y1_w2begb[6] , \tile_x8y1_w2begb[5] , \tile_x8y1_w2begb[4] , \tile_x8y1_w2begb[3] , \tile_x8y1_w2begb[2] , \tile_x8y1_w2begb[1] , \tile_x8y1_w2begb[0] }),
.top_w2end({ \tile_x9y1_w2begb[7] , \tile_x9y1_w2begb[6] , \tile_x9y1_w2begb[5] , \tile_x9y1_w2begb[4] , \tile_x9y1_w2begb[3] , \tile_x9y1_w2begb[2] , \tile_x9y1_w2begb[1] , \tile_x9y1_w2begb[0] }),
.top_w2mid({ \tile_x9y1_w2beg[7] , \tile_x9y1_w2beg[6] , \tile_x9y1_w2beg[5] , \tile_x9y1_w2beg[4] , \tile_x9y1_w2beg[3] , \tile_x9y1_w2beg[2] , \tile_x9y1_w2beg[1] , \tile_x9y1_w2beg[0] }),
.top_w6beg({ \tile_x8y1_w6beg[11] , \tile_x8y1_w6beg[10] , \tile_x8y1_w6beg[9] , \tile_x8y1_w6beg[8] , \tile_x8y1_w6beg[7] , \tile_x8y1_w6beg[6] , \tile_x8y1_w6beg[5] , \tile_x8y1_w6beg[4] , \tile_x8y1_w6beg[3] , \tile_x8y1_w6beg[2] , \tile_x8y1_w6beg[1] , \tile_x8y1_w6beg[0] }),
.top_w6end({ \tile_x9y1_w6beg[11] , \tile_x9y1_w6beg[10] , \tile_x9y1_w6beg[9] , \tile_x9y1_w6beg[8] , \tile_x9y1_w6beg[7] , \tile_x9y1_w6beg[6] , \tile_x9y1_w6beg[5] , \tile_x9y1_w6beg[4] , \tile_x9y1_w6beg[3] , \tile_x9y1_w6beg[2] , \tile_x9y1_w6beg[1] , \tile_x9y1_w6beg[0] }),
.top_ww4beg({ \tile_x8y1_ww4beg[15] , \tile_x8y1_ww4beg[14] , \tile_x8y1_ww4beg[13] , \tile_x8y1_ww4beg[12] , \tile_x8y1_ww4beg[11] , \tile_x8y1_ww4beg[10] , \tile_x8y1_ww4beg[9] , \tile_x8y1_ww4beg[8] , \tile_x8y1_ww4beg[7] , \tile_x8y1_ww4beg[6] , \tile_x8y1_ww4beg[5] , \tile_x8y1_ww4beg[4] , \tile_x8y1_ww4beg[3] , \tile_x8y1_ww4beg[2] , \tile_x8y1_ww4beg[1] , \tile_x8y1_ww4beg[0] }),
.top_ww4end({ \tile_x9y1_ww4beg[15] , \tile_x9y1_ww4beg[14] , \tile_x9y1_ww4beg[13] , \tile_x9y1_ww4beg[12] , \tile_x9y1_ww4beg[11] , \tile_x9y1_ww4beg[10] , \tile_x9y1_ww4beg[9] , \tile_x9y1_ww4beg[8] , \tile_x9y1_ww4beg[7] , \tile_x9y1_ww4beg[6] , \tile_x9y1_ww4beg[5] , \tile_x9y1_ww4beg[4] , \tile_x9y1_ww4beg[3] , \tile_x9y1_ww4beg[2] , \tile_x9y1_ww4beg[1] , \tile_x9y1_ww4beg[0] })
);
dsp tile_x8y3_x8y4_dsp_tile (
.framestrobe({ \tile_x8y5_framestrobe_o[19] , \tile_x8y5_framestrobe_o[18] , \tile_x8y5_framestrobe_o[17] , \tile_x8y5_framestrobe_o[16] , \tile_x8y5_framestrobe_o[15] , \tile_x8y5_framestrobe_o[14] , \tile_x8y5_framestrobe_o[13] , \tile_x8y5_framestrobe_o[12] , \tile_x8y5_framestrobe_o[11] , \tile_x8y5_framestrobe_o[10] , \tile_x8y5_framestrobe_o[9] , \tile_x8y5_framestrobe_o[8] , \tile_x8y5_framestrobe_o[7] , \tile_x8y5_framestrobe_o[6] , \tile_x8y5_framestrobe_o[5] , \tile_x8y5_framestrobe_o[4] , \tile_x8y5_framestrobe_o[3] , \tile_x8y5_framestrobe_o[2] , \tile_x8y5_framestrobe_o[1] , \tile_x8y5_framestrobe_o[0] }),
.framestrobe_o({ \tile_x8y3_framestrobe_o[19] , \tile_x8y3_framestrobe_o[18] , \tile_x8y3_framestrobe_o[17] , \tile_x8y3_framestrobe_o[16] , \tile_x8y3_framestrobe_o[15] , \tile_x8y3_framestrobe_o[14] , \tile_x8y3_framestrobe_o[13] , \tile_x8y3_framestrobe_o[12] , \tile_x8y3_framestrobe_o[11] , \tile_x8y3_framestrobe_o[10] , \tile_x8y3_framestrobe_o[9] , \tile_x8y3_framestrobe_o[8] , \tile_x8y3_framestrobe_o[7] , \tile_x8y3_framestrobe_o[6] , \tile_x8y3_framestrobe_o[5] , \tile_x8y3_framestrobe_o[4] , \tile_x8y3_framestrobe_o[3] , \tile_x8y3_framestrobe_o[2] , \tile_x8y3_framestrobe_o[1] , \tile_x8y3_framestrobe_o[0] }),
.userclk(tile_x8y5_userclko),
.userclko(tile_x8y3_userclko),
.bot_e1beg({ \tile_x8y4_e1beg[3] , \tile_x8y4_e1beg[2] , \tile_x8y4_e1beg[1] , \tile_x8y4_e1beg[0] }),
.bot_e1end({ \tile_x7y4_e1beg[3] , \tile_x7y4_e1beg[2] , \tile_x7y4_e1beg[1] , \tile_x7y4_e1beg[0] }),
.bot_e2beg({ \tile_x8y4_e2beg[7] , \tile_x8y4_e2beg[6] , \tile_x8y4_e2beg[5] , \tile_x8y4_e2beg[4] , \tile_x8y4_e2beg[3] , \tile_x8y4_e2beg[2] , \tile_x8y4_e2beg[1] , \tile_x8y4_e2beg[0] }),
.bot_e2begb({ \tile_x8y4_e2begb[7] , \tile_x8y4_e2begb[6] , \tile_x8y4_e2begb[5] , \tile_x8y4_e2begb[4] , \tile_x8y4_e2begb[3] , \tile_x8y4_e2begb[2] , \tile_x8y4_e2begb[1] , \tile_x8y4_e2begb[0] }),
.bot_e2end({ \tile_x7y4_e2begb[7] , \tile_x7y4_e2begb[6] , \tile_x7y4_e2begb[5] , \tile_x7y4_e2begb[4] , \tile_x7y4_e2begb[3] , \tile_x7y4_e2begb[2] , \tile_x7y4_e2begb[1] , \tile_x7y4_e2begb[0] }),
.bot_e2mid({ \tile_x7y4_e2beg[7] , \tile_x7y4_e2beg[6] , \tile_x7y4_e2beg[5] , \tile_x7y4_e2beg[4] , \tile_x7y4_e2beg[3] , \tile_x7y4_e2beg[2] , \tile_x7y4_e2beg[1] , \tile_x7y4_e2beg[0] }),
.bot_e6beg({ \tile_x8y4_e6beg[11] , \tile_x8y4_e6beg[10] , \tile_x8y4_e6beg[9] , \tile_x8y4_e6beg[8] , \tile_x8y4_e6beg[7] , \tile_x8y4_e6beg[6] , \tile_x8y4_e6beg[5] , \tile_x8y4_e6beg[4] , \tile_x8y4_e6beg[3] , \tile_x8y4_e6beg[2] , \tile_x8y4_e6beg[1] , \tile_x8y4_e6beg[0] }),
.bot_e6end({ \tile_x7y4_e6beg[11] , \tile_x7y4_e6beg[10] , \tile_x7y4_e6beg[9] , \tile_x7y4_e6beg[8] , \tile_x7y4_e6beg[7] , \tile_x7y4_e6beg[6] , \tile_x7y4_e6beg[5] , \tile_x7y4_e6beg[4] , \tile_x7y4_e6beg[3] , \tile_x7y4_e6beg[2] , \tile_x7y4_e6beg[1] , \tile_x7y4_e6beg[0] }),
.bot_ee4beg({ \tile_x8y4_ee4beg[15] , \tile_x8y4_ee4beg[14] , \tile_x8y4_ee4beg[13] , \tile_x8y4_ee4beg[12] , \tile_x8y4_ee4beg[11] , \tile_x8y4_ee4beg[10] , \tile_x8y4_ee4beg[9] , \tile_x8y4_ee4beg[8] , \tile_x8y4_ee4beg[7] , \tile_x8y4_ee4beg[6] , \tile_x8y4_ee4beg[5] , \tile_x8y4_ee4beg[4] , \tile_x8y4_ee4beg[3] , \tile_x8y4_ee4beg[2] , \tile_x8y4_ee4beg[1] , \tile_x8y4_ee4beg[0] }),
.bot_ee4end({ \tile_x7y4_ee4beg[15] , \tile_x7y4_ee4beg[14] , \tile_x7y4_ee4beg[13] , \tile_x7y4_ee4beg[12] , \tile_x7y4_ee4beg[11] , \tile_x7y4_ee4beg[10] , \tile_x7y4_ee4beg[9] , \tile_x7y4_ee4beg[8] , \tile_x7y4_ee4beg[7] , \tile_x7y4_ee4beg[6] , \tile_x7y4_ee4beg[5] , \tile_x7y4_ee4beg[4] , \tile_x7y4_ee4beg[3] , \tile_x7y4_ee4beg[2] , \tile_x7y4_ee4beg[1] , \tile_x7y4_ee4beg[0] }),
.bot_framedata({ \tile_x7y4_framedata_o[31] , \tile_x7y4_framedata_o[30] , \tile_x7y4_framedata_o[29] , \tile_x7y4_framedata_o[28] , \tile_x7y4_framedata_o[27] , \tile_x7y4_framedata_o[26] , \tile_x7y4_framedata_o[25] , \tile_x7y4_framedata_o[24] , \tile_x7y4_framedata_o[23] , \tile_x7y4_framedata_o[22] , \tile_x7y4_framedata_o[21] , \tile_x7y4_framedata_o[20] , \tile_x7y4_framedata_o[19] , \tile_x7y4_framedata_o[18] , \tile_x7y4_framedata_o[17] , \tile_x7y4_framedata_o[16] , \tile_x7y4_framedata_o[15] , \tile_x7y4_framedata_o[14] , \tile_x7y4_framedata_o[13] , \tile_x7y4_framedata_o[12] , \tile_x7y4_framedata_o[11] , \tile_x7y4_framedata_o[10] , \tile_x7y4_framedata_o[9] , \tile_x7y4_framedata_o[8] , \tile_x7y4_framedata_o[7] , \tile_x7y4_framedata_o[6] , \tile_x7y4_framedata_o[5] , \tile_x7y4_framedata_o[4] , \tile_x7y4_framedata_o[3] , \tile_x7y4_framedata_o[2] , \tile_x7y4_framedata_o[1] , \tile_x7y4_framedata_o[0] }),
.bot_framedata_o({ \tile_x8y4_framedata_o[31] , \tile_x8y4_framedata_o[30] , \tile_x8y4_framedata_o[29] , \tile_x8y4_framedata_o[28] , \tile_x8y4_framedata_o[27] , \tile_x8y4_framedata_o[26] , \tile_x8y4_framedata_o[25] , \tile_x8y4_framedata_o[24] , \tile_x8y4_framedata_o[23] , \tile_x8y4_framedata_o[22] , \tile_x8y4_framedata_o[21] , \tile_x8y4_framedata_o[20] , \tile_x8y4_framedata_o[19] , \tile_x8y4_framedata_o[18] , \tile_x8y4_framedata_o[17] , \tile_x8y4_framedata_o[16] , \tile_x8y4_framedata_o[15] , \tile_x8y4_framedata_o[14] , \tile_x8y4_framedata_o[13] , \tile_x8y4_framedata_o[12] , \tile_x8y4_framedata_o[11] , \tile_x8y4_framedata_o[10] , \tile_x8y4_framedata_o[9] , \tile_x8y4_framedata_o[8] , \tile_x8y4_framedata_o[7] , \tile_x8y4_framedata_o[6] , \tile_x8y4_framedata_o[5] , \tile_x8y4_framedata_o[4] , \tile_x8y4_framedata_o[3] , \tile_x8y4_framedata_o[2] , \tile_x8y4_framedata_o[1] , \tile_x8y4_framedata_o[0] }),
.bot_n1end({ \tile_x8y5_n1beg[3] , \tile_x8y5_n1beg[2] , \tile_x8y5_n1beg[1] , \tile_x8y5_n1beg[0] }),
.bot_n2end({ \tile_x8y5_n2begb[7] , \tile_x8y5_n2begb[6] , \tile_x8y5_n2begb[5] , \tile_x8y5_n2begb[4] , \tile_x8y5_n2begb[3] , \tile_x8y5_n2begb[2] , \tile_x8y5_n2begb[1] , \tile_x8y5_n2begb[0] }),
.bot_n2mid({ \tile_x8y5_n2beg[7] , \tile_x8y5_n2beg[6] , \tile_x8y5_n2beg[5] , \tile_x8y5_n2beg[4] , \tile_x8y5_n2beg[3] , \tile_x8y5_n2beg[2] , \tile_x8y5_n2beg[1] , \tile_x8y5_n2beg[0] }),
.bot_n4end({ \tile_x8y5_n4beg[15] , \tile_x8y5_n4beg[14] , \tile_x8y5_n4beg[13] , \tile_x8y5_n4beg[12] , \tile_x8y5_n4beg[11] , \tile_x8y5_n4beg[10] , \tile_x8y5_n4beg[9] , \tile_x8y5_n4beg[8] , \tile_x8y5_n4beg[7] , \tile_x8y5_n4beg[6] , \tile_x8y5_n4beg[5] , \tile_x8y5_n4beg[4] , \tile_x8y5_n4beg[3] , \tile_x8y5_n4beg[2] , \tile_x8y5_n4beg[1] , \tile_x8y5_n4beg[0] }),
.bot_nn4end({ \tile_x8y5_nn4beg[15] , \tile_x8y5_nn4beg[14] , \tile_x8y5_nn4beg[13] , \tile_x8y5_nn4beg[12] , \tile_x8y5_nn4beg[11] , \tile_x8y5_nn4beg[10] , \tile_x8y5_nn4beg[9] , \tile_x8y5_nn4beg[8] , \tile_x8y5_nn4beg[7] , \tile_x8y5_nn4beg[6] , \tile_x8y5_nn4beg[5] , \tile_x8y5_nn4beg[4] , \tile_x8y5_nn4beg[3] , \tile_x8y5_nn4beg[2] , \tile_x8y5_nn4beg[1] , \tile_x8y5_nn4beg[0] }),
.bot_s1beg({ \tile_x8y4_s1beg[3] , \tile_x8y4_s1beg[2] , \tile_x8y4_s1beg[1] , \tile_x8y4_s1beg[0] }),
.bot_s2beg({ \tile_x8y4_s2beg[7] , \tile_x8y4_s2beg[6] , \tile_x8y4_s2beg[5] , \tile_x8y4_s2beg[4] , \tile_x8y4_s2beg[3] , \tile_x8y4_s2beg[2] , \tile_x8y4_s2beg[1] , \tile_x8y4_s2beg[0] }),
.bot_s2begb({ \tile_x8y4_s2begb[7] , \tile_x8y4_s2begb[6] , \tile_x8y4_s2begb[5] , \tile_x8y4_s2begb[4] , \tile_x8y4_s2begb[3] , \tile_x8y4_s2begb[2] , \tile_x8y4_s2begb[1] , \tile_x8y4_s2begb[0] }),
.bot_s4beg({ \tile_x8y4_s4beg[15] , \tile_x8y4_s4beg[14] , \tile_x8y4_s4beg[13] , \tile_x8y4_s4beg[12] , \tile_x8y4_s4beg[11] , \tile_x8y4_s4beg[10] , \tile_x8y4_s4beg[9] , \tile_x8y4_s4beg[8] , \tile_x8y4_s4beg[7] , \tile_x8y4_s4beg[6] , \tile_x8y4_s4beg[5] , \tile_x8y4_s4beg[4] , \tile_x8y4_s4beg[3] , \tile_x8y4_s4beg[2] , \tile_x8y4_s4beg[1] , \tile_x8y4_s4beg[0] }),
.bot_ss4beg({ \tile_x8y4_ss4beg[15] , \tile_x8y4_ss4beg[14] , \tile_x8y4_ss4beg[13] , \tile_x8y4_ss4beg[12] , \tile_x8y4_ss4beg[11] , \tile_x8y4_ss4beg[10] , \tile_x8y4_ss4beg[9] , \tile_x8y4_ss4beg[8] , \tile_x8y4_ss4beg[7] , \tile_x8y4_ss4beg[6] , \tile_x8y4_ss4beg[5] , \tile_x8y4_ss4beg[4] , \tile_x8y4_ss4beg[3] , \tile_x8y4_ss4beg[2] , \tile_x8y4_ss4beg[1] , \tile_x8y4_ss4beg[0] }),
.bot_w1beg({ \tile_x8y4_w1beg[3] , \tile_x8y4_w1beg[2] , \tile_x8y4_w1beg[1] , \tile_x8y4_w1beg[0] }),
.bot_w1end({ \tile_x9y4_w1beg[3] , \tile_x9y4_w1beg[2] , \tile_x9y4_w1beg[1] , \tile_x9y4_w1beg[0] }),
.bot_w2beg({ \tile_x8y4_w2beg[7] , \tile_x8y4_w2beg[6] , \tile_x8y4_w2beg[5] , \tile_x8y4_w2beg[4] , \tile_x8y4_w2beg[3] , \tile_x8y4_w2beg[2] , \tile_x8y4_w2beg[1] , \tile_x8y4_w2beg[0] }),
.bot_w2begb({ \tile_x8y4_w2begb[7] , \tile_x8y4_w2begb[6] , \tile_x8y4_w2begb[5] , \tile_x8y4_w2begb[4] , \tile_x8y4_w2begb[3] , \tile_x8y4_w2begb[2] , \tile_x8y4_w2begb[1] , \tile_x8y4_w2begb[0] }),
.bot_w2end({ \tile_x9y4_w2begb[7] , \tile_x9y4_w2begb[6] , \tile_x9y4_w2begb[5] , \tile_x9y4_w2begb[4] , \tile_x9y4_w2begb[3] , \tile_x9y4_w2begb[2] , \tile_x9y4_w2begb[1] , \tile_x9y4_w2begb[0] }),
.bot_w2mid({ \tile_x9y4_w2beg[7] , \tile_x9y4_w2beg[6] , \tile_x9y4_w2beg[5] , \tile_x9y4_w2beg[4] , \tile_x9y4_w2beg[3] , \tile_x9y4_w2beg[2] , \tile_x9y4_w2beg[1] , \tile_x9y4_w2beg[0] }),
.bot_w6beg({ \tile_x8y4_w6beg[11] , \tile_x8y4_w6beg[10] , \tile_x8y4_w6beg[9] , \tile_x8y4_w6beg[8] , \tile_x8y4_w6beg[7] , \tile_x8y4_w6beg[6] , \tile_x8y4_w6beg[5] , \tile_x8y4_w6beg[4] , \tile_x8y4_w6beg[3] , \tile_x8y4_w6beg[2] , \tile_x8y4_w6beg[1] , \tile_x8y4_w6beg[0] }),
.bot_w6end({ \tile_x9y4_w6beg[11] , \tile_x9y4_w6beg[10] , \tile_x9y4_w6beg[9] , \tile_x9y4_w6beg[8] , \tile_x9y4_w6beg[7] , \tile_x9y4_w6beg[6] , \tile_x9y4_w6beg[5] , \tile_x9y4_w6beg[4] , \tile_x9y4_w6beg[3] , \tile_x9y4_w6beg[2] , \tile_x9y4_w6beg[1] , \tile_x9y4_w6beg[0] }),
.bot_ww4beg({ \tile_x8y4_ww4beg[15] , \tile_x8y4_ww4beg[14] , \tile_x8y4_ww4beg[13] , \tile_x8y4_ww4beg[12] , \tile_x8y4_ww4beg[11] , \tile_x8y4_ww4beg[10] , \tile_x8y4_ww4beg[9] , \tile_x8y4_ww4beg[8] , \tile_x8y4_ww4beg[7] , \tile_x8y4_ww4beg[6] , \tile_x8y4_ww4beg[5] , \tile_x8y4_ww4beg[4] , \tile_x8y4_ww4beg[3] , \tile_x8y4_ww4beg[2] , \tile_x8y4_ww4beg[1] , \tile_x8y4_ww4beg[0] }),
.bot_ww4end({ \tile_x9y4_ww4beg[15] , \tile_x9y4_ww4beg[14] , \tile_x9y4_ww4beg[13] , \tile_x9y4_ww4beg[12] , \tile_x9y4_ww4beg[11] , \tile_x9y4_ww4beg[10] , \tile_x9y4_ww4beg[9] , \tile_x9y4_ww4beg[8] , \tile_x9y4_ww4beg[7] , \tile_x9y4_ww4beg[6] , \tile_x9y4_ww4beg[5] , \tile_x9y4_ww4beg[4] , \tile_x9y4_ww4beg[3] , \tile_x9y4_ww4beg[2] , \tile_x9y4_ww4beg[1] , \tile_x9y4_ww4beg[0] }),
.top_e1beg({ \tile_x8y3_e1beg[3] , \tile_x8y3_e1beg[2] , \tile_x8y3_e1beg[1] , \tile_x8y3_e1beg[0] }),
.top_e1end({ \tile_x7y3_e1beg[3] , \tile_x7y3_e1beg[2] , \tile_x7y3_e1beg[1] , \tile_x7y3_e1beg[0] }),
.top_e2beg({ \tile_x8y3_e2beg[7] , \tile_x8y3_e2beg[6] , \tile_x8y3_e2beg[5] , \tile_x8y3_e2beg[4] , \tile_x8y3_e2beg[3] , \tile_x8y3_e2beg[2] , \tile_x8y3_e2beg[1] , \tile_x8y3_e2beg[0] }),
.top_e2begb({ \tile_x8y3_e2begb[7] , \tile_x8y3_e2begb[6] , \tile_x8y3_e2begb[5] , \tile_x8y3_e2begb[4] , \tile_x8y3_e2begb[3] , \tile_x8y3_e2begb[2] , \tile_x8y3_e2begb[1] , \tile_x8y3_e2begb[0] }),
.top_e2end({ \tile_x7y3_e2begb[7] , \tile_x7y3_e2begb[6] , \tile_x7y3_e2begb[5] , \tile_x7y3_e2begb[4] , \tile_x7y3_e2begb[3] , \tile_x7y3_e2begb[2] , \tile_x7y3_e2begb[1] , \tile_x7y3_e2begb[0] }),
.top_e2mid({ \tile_x7y3_e2beg[7] , \tile_x7y3_e2beg[6] , \tile_x7y3_e2beg[5] , \tile_x7y3_e2beg[4] , \tile_x7y3_e2beg[3] , \tile_x7y3_e2beg[2] , \tile_x7y3_e2beg[1] , \tile_x7y3_e2beg[0] }),
.top_e6beg({ \tile_x8y3_e6beg[11] , \tile_x8y3_e6beg[10] , \tile_x8y3_e6beg[9] , \tile_x8y3_e6beg[8] , \tile_x8y3_e6beg[7] , \tile_x8y3_e6beg[6] , \tile_x8y3_e6beg[5] , \tile_x8y3_e6beg[4] , \tile_x8y3_e6beg[3] , \tile_x8y3_e6beg[2] , \tile_x8y3_e6beg[1] , \tile_x8y3_e6beg[0] }),
.top_e6end({ \tile_x7y3_e6beg[11] , \tile_x7y3_e6beg[10] , \tile_x7y3_e6beg[9] , \tile_x7y3_e6beg[8] , \tile_x7y3_e6beg[7] , \tile_x7y3_e6beg[6] , \tile_x7y3_e6beg[5] , \tile_x7y3_e6beg[4] , \tile_x7y3_e6beg[3] , \tile_x7y3_e6beg[2] , \tile_x7y3_e6beg[1] , \tile_x7y3_e6beg[0] }),
.top_ee4beg({ \tile_x8y3_ee4beg[15] , \tile_x8y3_ee4beg[14] , \tile_x8y3_ee4beg[13] , \tile_x8y3_ee4beg[12] , \tile_x8y3_ee4beg[11] , \tile_x8y3_ee4beg[10] , \tile_x8y3_ee4beg[9] , \tile_x8y3_ee4beg[8] , \tile_x8y3_ee4beg[7] , \tile_x8y3_ee4beg[6] , \tile_x8y3_ee4beg[5] , \tile_x8y3_ee4beg[4] , \tile_x8y3_ee4beg[3] , \tile_x8y3_ee4beg[2] , \tile_x8y3_ee4beg[1] , \tile_x8y3_ee4beg[0] }),
.top_ee4end({ \tile_x7y3_ee4beg[15] , \tile_x7y3_ee4beg[14] , \tile_x7y3_ee4beg[13] , \tile_x7y3_ee4beg[12] , \tile_x7y3_ee4beg[11] , \tile_x7y3_ee4beg[10] , \tile_x7y3_ee4beg[9] , \tile_x7y3_ee4beg[8] , \tile_x7y3_ee4beg[7] , \tile_x7y3_ee4beg[6] , \tile_x7y3_ee4beg[5] , \tile_x7y3_ee4beg[4] , \tile_x7y3_ee4beg[3] , \tile_x7y3_ee4beg[2] , \tile_x7y3_ee4beg[1] , \tile_x7y3_ee4beg[0] }),
.top_framedata({ \tile_x7y3_framedata_o[31] , \tile_x7y3_framedata_o[30] , \tile_x7y3_framedata_o[29] , \tile_x7y3_framedata_o[28] , \tile_x7y3_framedata_o[27] , \tile_x7y3_framedata_o[26] , \tile_x7y3_framedata_o[25] , \tile_x7y3_framedata_o[24] , \tile_x7y3_framedata_o[23] , \tile_x7y3_framedata_o[22] , \tile_x7y3_framedata_o[21] , \tile_x7y3_framedata_o[20] , \tile_x7y3_framedata_o[19] , \tile_x7y3_framedata_o[18] , \tile_x7y3_framedata_o[17] , \tile_x7y3_framedata_o[16] , \tile_x7y3_framedata_o[15] , \tile_x7y3_framedata_o[14] , \tile_x7y3_framedata_o[13] , \tile_x7y3_framedata_o[12] , \tile_x7y3_framedata_o[11] , \tile_x7y3_framedata_o[10] , \tile_x7y3_framedata_o[9] , \tile_x7y3_framedata_o[8] , \tile_x7y3_framedata_o[7] , \tile_x7y3_framedata_o[6] , \tile_x7y3_framedata_o[5] , \tile_x7y3_framedata_o[4] , \tile_x7y3_framedata_o[3] , \tile_x7y3_framedata_o[2] , \tile_x7y3_framedata_o[1] , \tile_x7y3_framedata_o[0] }),
.top_framedata_o({ \tile_x8y3_framedata_o[31] , \tile_x8y3_framedata_o[30] , \tile_x8y3_framedata_o[29] , \tile_x8y3_framedata_o[28] , \tile_x8y3_framedata_o[27] , \tile_x8y3_framedata_o[26] , \tile_x8y3_framedata_o[25] , \tile_x8y3_framedata_o[24] , \tile_x8y3_framedata_o[23] , \tile_x8y3_framedata_o[22] , \tile_x8y3_framedata_o[21] , \tile_x8y3_framedata_o[20] , \tile_x8y3_framedata_o[19] , \tile_x8y3_framedata_o[18] , \tile_x8y3_framedata_o[17] , \tile_x8y3_framedata_o[16] , \tile_x8y3_framedata_o[15] , \tile_x8y3_framedata_o[14] , \tile_x8y3_framedata_o[13] , \tile_x8y3_framedata_o[12] , \tile_x8y3_framedata_o[11] , \tile_x8y3_framedata_o[10] , \tile_x8y3_framedata_o[9] , \tile_x8y3_framedata_o[8] , \tile_x8y3_framedata_o[7] , \tile_x8y3_framedata_o[6] , \tile_x8y3_framedata_o[5] , \tile_x8y3_framedata_o[4] , \tile_x8y3_framedata_o[3] , \tile_x8y3_framedata_o[2] , \tile_x8y3_framedata_o[1] , \tile_x8y3_framedata_o[0] }),
.top_n1beg({ \tile_x8y3_n1beg[3] , \tile_x8y3_n1beg[2] , \tile_x8y3_n1beg[1] , \tile_x8y3_n1beg[0] }),
.top_n2beg({ \tile_x8y3_n2beg[7] , \tile_x8y3_n2beg[6] , \tile_x8y3_n2beg[5] , \tile_x8y3_n2beg[4] , \tile_x8y3_n2beg[3] , \tile_x8y3_n2beg[2] , \tile_x8y3_n2beg[1] , \tile_x8y3_n2beg[0] }),
.top_n2begb({ \tile_x8y3_n2begb[7] , \tile_x8y3_n2begb[6] , \tile_x8y3_n2begb[5] , \tile_x8y3_n2begb[4] , \tile_x8y3_n2begb[3] , \tile_x8y3_n2begb[2] , \tile_x8y3_n2begb[1] , \tile_x8y3_n2begb[0] }),
.top_n4beg({ \tile_x8y3_n4beg[15] , \tile_x8y3_n4beg[14] , \tile_x8y3_n4beg[13] , \tile_x8y3_n4beg[12] , \tile_x8y3_n4beg[11] , \tile_x8y3_n4beg[10] , \tile_x8y3_n4beg[9] , \tile_x8y3_n4beg[8] , \tile_x8y3_n4beg[7] , \tile_x8y3_n4beg[6] , \tile_x8y3_n4beg[5] , \tile_x8y3_n4beg[4] , \tile_x8y3_n4beg[3] , \tile_x8y3_n4beg[2] , \tile_x8y3_n4beg[1] , \tile_x8y3_n4beg[0] }),
.top_nn4beg({ \tile_x8y3_nn4beg[15] , \tile_x8y3_nn4beg[14] , \tile_x8y3_nn4beg[13] , \tile_x8y3_nn4beg[12] , \tile_x8y3_nn4beg[11] , \tile_x8y3_nn4beg[10] , \tile_x8y3_nn4beg[9] , \tile_x8y3_nn4beg[8] , \tile_x8y3_nn4beg[7] , \tile_x8y3_nn4beg[6] , \tile_x8y3_nn4beg[5] , \tile_x8y3_nn4beg[4] , \tile_x8y3_nn4beg[3] , \tile_x8y3_nn4beg[2] , \tile_x8y3_nn4beg[1] , \tile_x8y3_nn4beg[0] }),
.top_s1end({ \tile_x8y2_s1beg[3] , \tile_x8y2_s1beg[2] , \tile_x8y2_s1beg[1] , \tile_x8y2_s1beg[0] }),
.top_s2end({ \tile_x8y2_s2begb[7] , \tile_x8y2_s2begb[6] , \tile_x8y2_s2begb[5] , \tile_x8y2_s2begb[4] , \tile_x8y2_s2begb[3] , \tile_x8y2_s2begb[2] , \tile_x8y2_s2begb[1] , \tile_x8y2_s2begb[0] }),
.top_s2mid({ \tile_x8y2_s2beg[7] , \tile_x8y2_s2beg[6] , \tile_x8y2_s2beg[5] , \tile_x8y2_s2beg[4] , \tile_x8y2_s2beg[3] , \tile_x8y2_s2beg[2] , \tile_x8y2_s2beg[1] , \tile_x8y2_s2beg[0] }),
.top_s4end({ \tile_x8y2_s4beg[15] , \tile_x8y2_s4beg[14] , \tile_x8y2_s4beg[13] , \tile_x8y2_s4beg[12] , \tile_x8y2_s4beg[11] , \tile_x8y2_s4beg[10] , \tile_x8y2_s4beg[9] , \tile_x8y2_s4beg[8] , \tile_x8y2_s4beg[7] , \tile_x8y2_s4beg[6] , \tile_x8y2_s4beg[5] , \tile_x8y2_s4beg[4] , \tile_x8y2_s4beg[3] , \tile_x8y2_s4beg[2] , \tile_x8y2_s4beg[1] , \tile_x8y2_s4beg[0] }),
.top_ss4end({ \tile_x8y2_ss4beg[15] , \tile_x8y2_ss4beg[14] , \tile_x8y2_ss4beg[13] , \tile_x8y2_ss4beg[12] , \tile_x8y2_ss4beg[11] , \tile_x8y2_ss4beg[10] , \tile_x8y2_ss4beg[9] , \tile_x8y2_ss4beg[8] , \tile_x8y2_ss4beg[7] , \tile_x8y2_ss4beg[6] , \tile_x8y2_ss4beg[5] , \tile_x8y2_ss4beg[4] , \tile_x8y2_ss4beg[3] , \tile_x8y2_ss4beg[2] , \tile_x8y2_ss4beg[1] , \tile_x8y2_ss4beg[0] }),
.top_w1beg({ \tile_x8y3_w1beg[3] , \tile_x8y3_w1beg[2] , \tile_x8y3_w1beg[1] , \tile_x8y3_w1beg[0] }),
.top_w1end({ \tile_x9y3_w1beg[3] , \tile_x9y3_w1beg[2] , \tile_x9y3_w1beg[1] , \tile_x9y3_w1beg[0] }),
.top_w2beg({ \tile_x8y3_w2beg[7] , \tile_x8y3_w2beg[6] , \tile_x8y3_w2beg[5] , \tile_x8y3_w2beg[4] , \tile_x8y3_w2beg[3] , \tile_x8y3_w2beg[2] , \tile_x8y3_w2beg[1] , \tile_x8y3_w2beg[0] }),
.top_w2begb({ \tile_x8y3_w2begb[7] , \tile_x8y3_w2begb[6] , \tile_x8y3_w2begb[5] , \tile_x8y3_w2begb[4] , \tile_x8y3_w2begb[3] , \tile_x8y3_w2begb[2] , \tile_x8y3_w2begb[1] , \tile_x8y3_w2begb[0] }),
.top_w2end({ \tile_x9y3_w2begb[7] , \tile_x9y3_w2begb[6] , \tile_x9y3_w2begb[5] , \tile_x9y3_w2begb[4] , \tile_x9y3_w2begb[3] , \tile_x9y3_w2begb[2] , \tile_x9y3_w2begb[1] , \tile_x9y3_w2begb[0] }),
.top_w2mid({ \tile_x9y3_w2beg[7] , \tile_x9y3_w2beg[6] , \tile_x9y3_w2beg[5] , \tile_x9y3_w2beg[4] , \tile_x9y3_w2beg[3] , \tile_x9y3_w2beg[2] , \tile_x9y3_w2beg[1] , \tile_x9y3_w2beg[0] }),
.top_w6beg({ \tile_x8y3_w6beg[11] , \tile_x8y3_w6beg[10] , \tile_x8y3_w6beg[9] , \tile_x8y3_w6beg[8] , \tile_x8y3_w6beg[7] , \tile_x8y3_w6beg[6] , \tile_x8y3_w6beg[5] , \tile_x8y3_w6beg[4] , \tile_x8y3_w6beg[3] , \tile_x8y3_w6beg[2] , \tile_x8y3_w6beg[1] , \tile_x8y3_w6beg[0] }),
.top_w6end({ \tile_x9y3_w6beg[11] , \tile_x9y3_w6beg[10] , \tile_x9y3_w6beg[9] , \tile_x9y3_w6beg[8] , \tile_x9y3_w6beg[7] , \tile_x9y3_w6beg[6] , \tile_x9y3_w6beg[5] , \tile_x9y3_w6beg[4] , \tile_x9y3_w6beg[3] , \tile_x9y3_w6beg[2] , \tile_x9y3_w6beg[1] , \tile_x9y3_w6beg[0] }),
.top_ww4beg({ \tile_x8y3_ww4beg[15] , \tile_x8y3_ww4beg[14] , \tile_x8y3_ww4beg[13] , \tile_x8y3_ww4beg[12] , \tile_x8y3_ww4beg[11] , \tile_x8y3_ww4beg[10] , \tile_x8y3_ww4beg[9] , \tile_x8y3_ww4beg[8] , \tile_x8y3_ww4beg[7] , \tile_x8y3_ww4beg[6] , \tile_x8y3_ww4beg[5] , \tile_x8y3_ww4beg[4] , \tile_x8y3_ww4beg[3] , \tile_x8y3_ww4beg[2] , \tile_x8y3_ww4beg[1] , \tile_x8y3_ww4beg[0] }),
.top_ww4end({ \tile_x9y3_ww4beg[15] , \tile_x9y3_ww4beg[14] , \tile_x9y3_ww4beg[13] , \tile_x9y3_ww4beg[12] , \tile_x9y3_ww4beg[11] , \tile_x9y3_ww4beg[10] , \tile_x9y3_ww4beg[9] , \tile_x9y3_ww4beg[8] , \tile_x9y3_ww4beg[7] , \tile_x9y3_ww4beg[6] , \tile_x9y3_ww4beg[5] , \tile_x9y3_ww4beg[4] , \tile_x9y3_ww4beg[3] , \tile_x9y3_ww4beg[2] , \tile_x9y3_ww4beg[1] , \tile_x9y3_ww4beg[0] })
);
dsp tile_x8y5_x8y6_dsp_tile (
.framestrobe({ \tile_x8y7_framestrobe_o[19] , \tile_x8y7_framestrobe_o[18] , \tile_x8y7_framestrobe_o[17] , \tile_x8y7_framestrobe_o[16] , \tile_x8y7_framestrobe_o[15] , \tile_x8y7_framestrobe_o[14] , \tile_x8y7_framestrobe_o[13] , \tile_x8y7_framestrobe_o[12] , \tile_x8y7_framestrobe_o[11] , \tile_x8y7_framestrobe_o[10] , \tile_x8y7_framestrobe_o[9] , \tile_x8y7_framestrobe_o[8] , \tile_x8y7_framestrobe_o[7] , \tile_x8y7_framestrobe_o[6] , \tile_x8y7_framestrobe_o[5] , \tile_x8y7_framestrobe_o[4] , \tile_x8y7_framestrobe_o[3] , \tile_x8y7_framestrobe_o[2] , \tile_x8y7_framestrobe_o[1] , \tile_x8y7_framestrobe_o[0] }),
.framestrobe_o({ \tile_x8y5_framestrobe_o[19] , \tile_x8y5_framestrobe_o[18] , \tile_x8y5_framestrobe_o[17] , \tile_x8y5_framestrobe_o[16] , \tile_x8y5_framestrobe_o[15] , \tile_x8y5_framestrobe_o[14] , \tile_x8y5_framestrobe_o[13] , \tile_x8y5_framestrobe_o[12] , \tile_x8y5_framestrobe_o[11] , \tile_x8y5_framestrobe_o[10] , \tile_x8y5_framestrobe_o[9] , \tile_x8y5_framestrobe_o[8] , \tile_x8y5_framestrobe_o[7] , \tile_x8y5_framestrobe_o[6] , \tile_x8y5_framestrobe_o[5] , \tile_x8y5_framestrobe_o[4] , \tile_x8y5_framestrobe_o[3] , \tile_x8y5_framestrobe_o[2] , \tile_x8y5_framestrobe_o[1] , \tile_x8y5_framestrobe_o[0] }),
.userclk(tile_x8y7_userclko),
.userclko(tile_x8y5_userclko),
.bot_e1beg({ \tile_x8y6_e1beg[3] , \tile_x8y6_e1beg[2] , \tile_x8y6_e1beg[1] , \tile_x8y6_e1beg[0] }),
.bot_e1end({ \tile_x7y6_e1beg[3] , \tile_x7y6_e1beg[2] , \tile_x7y6_e1beg[1] , \tile_x7y6_e1beg[0] }),
.bot_e2beg({ \tile_x8y6_e2beg[7] , \tile_x8y6_e2beg[6] , \tile_x8y6_e2beg[5] , \tile_x8y6_e2beg[4] , \tile_x8y6_e2beg[3] , \tile_x8y6_e2beg[2] , \tile_x8y6_e2beg[1] , \tile_x8y6_e2beg[0] }),
.bot_e2begb({ \tile_x8y6_e2begb[7] , \tile_x8y6_e2begb[6] , \tile_x8y6_e2begb[5] , \tile_x8y6_e2begb[4] , \tile_x8y6_e2begb[3] , \tile_x8y6_e2begb[2] , \tile_x8y6_e2begb[1] , \tile_x8y6_e2begb[0] }),
.bot_e2end({ \tile_x7y6_e2begb[7] , \tile_x7y6_e2begb[6] , \tile_x7y6_e2begb[5] , \tile_x7y6_e2begb[4] , \tile_x7y6_e2begb[3] , \tile_x7y6_e2begb[2] , \tile_x7y6_e2begb[1] , \tile_x7y6_e2begb[0] }),
.bot_e2mid({ \tile_x7y6_e2beg[7] , \tile_x7y6_e2beg[6] , \tile_x7y6_e2beg[5] , \tile_x7y6_e2beg[4] , \tile_x7y6_e2beg[3] , \tile_x7y6_e2beg[2] , \tile_x7y6_e2beg[1] , \tile_x7y6_e2beg[0] }),
.bot_e6beg({ \tile_x8y6_e6beg[11] , \tile_x8y6_e6beg[10] , \tile_x8y6_e6beg[9] , \tile_x8y6_e6beg[8] , \tile_x8y6_e6beg[7] , \tile_x8y6_e6beg[6] , \tile_x8y6_e6beg[5] , \tile_x8y6_e6beg[4] , \tile_x8y6_e6beg[3] , \tile_x8y6_e6beg[2] , \tile_x8y6_e6beg[1] , \tile_x8y6_e6beg[0] }),
.bot_e6end({ \tile_x7y6_e6beg[11] , \tile_x7y6_e6beg[10] , \tile_x7y6_e6beg[9] , \tile_x7y6_e6beg[8] , \tile_x7y6_e6beg[7] , \tile_x7y6_e6beg[6] , \tile_x7y6_e6beg[5] , \tile_x7y6_e6beg[4] , \tile_x7y6_e6beg[3] , \tile_x7y6_e6beg[2] , \tile_x7y6_e6beg[1] , \tile_x7y6_e6beg[0] }),
.bot_ee4beg({ \tile_x8y6_ee4beg[15] , \tile_x8y6_ee4beg[14] , \tile_x8y6_ee4beg[13] , \tile_x8y6_ee4beg[12] , \tile_x8y6_ee4beg[11] , \tile_x8y6_ee4beg[10] , \tile_x8y6_ee4beg[9] , \tile_x8y6_ee4beg[8] , \tile_x8y6_ee4beg[7] , \tile_x8y6_ee4beg[6] , \tile_x8y6_ee4beg[5] , \tile_x8y6_ee4beg[4] , \tile_x8y6_ee4beg[3] , \tile_x8y6_ee4beg[2] , \tile_x8y6_ee4beg[1] , \tile_x8y6_ee4beg[0] }),
.bot_ee4end({ \tile_x7y6_ee4beg[15] , \tile_x7y6_ee4beg[14] , \tile_x7y6_ee4beg[13] , \tile_x7y6_ee4beg[12] , \tile_x7y6_ee4beg[11] , \tile_x7y6_ee4beg[10] , \tile_x7y6_ee4beg[9] , \tile_x7y6_ee4beg[8] , \tile_x7y6_ee4beg[7] , \tile_x7y6_ee4beg[6] , \tile_x7y6_ee4beg[5] , \tile_x7y6_ee4beg[4] , \tile_x7y6_ee4beg[3] , \tile_x7y6_ee4beg[2] , \tile_x7y6_ee4beg[1] , \tile_x7y6_ee4beg[0] }),
.bot_framedata({ \tile_x7y6_framedata_o[31] , \tile_x7y6_framedata_o[30] , \tile_x7y6_framedata_o[29] , \tile_x7y6_framedata_o[28] , \tile_x7y6_framedata_o[27] , \tile_x7y6_framedata_o[26] , \tile_x7y6_framedata_o[25] , \tile_x7y6_framedata_o[24] , \tile_x7y6_framedata_o[23] , \tile_x7y6_framedata_o[22] , \tile_x7y6_framedata_o[21] , \tile_x7y6_framedata_o[20] , \tile_x7y6_framedata_o[19] , \tile_x7y6_framedata_o[18] , \tile_x7y6_framedata_o[17] , \tile_x7y6_framedata_o[16] , \tile_x7y6_framedata_o[15] , \tile_x7y6_framedata_o[14] , \tile_x7y6_framedata_o[13] , \tile_x7y6_framedata_o[12] , \tile_x7y6_framedata_o[11] , \tile_x7y6_framedata_o[10] , \tile_x7y6_framedata_o[9] , \tile_x7y6_framedata_o[8] , \tile_x7y6_framedata_o[7] , \tile_x7y6_framedata_o[6] , \tile_x7y6_framedata_o[5] , \tile_x7y6_framedata_o[4] , \tile_x7y6_framedata_o[3] , \tile_x7y6_framedata_o[2] , \tile_x7y6_framedata_o[1] , \tile_x7y6_framedata_o[0] }),
.bot_framedata_o({ \tile_x8y6_framedata_o[31] , \tile_x8y6_framedata_o[30] , \tile_x8y6_framedata_o[29] , \tile_x8y6_framedata_o[28] , \tile_x8y6_framedata_o[27] , \tile_x8y6_framedata_o[26] , \tile_x8y6_framedata_o[25] , \tile_x8y6_framedata_o[24] , \tile_x8y6_framedata_o[23] , \tile_x8y6_framedata_o[22] , \tile_x8y6_framedata_o[21] , \tile_x8y6_framedata_o[20] , \tile_x8y6_framedata_o[19] , \tile_x8y6_framedata_o[18] , \tile_x8y6_framedata_o[17] , \tile_x8y6_framedata_o[16] , \tile_x8y6_framedata_o[15] , \tile_x8y6_framedata_o[14] , \tile_x8y6_framedata_o[13] , \tile_x8y6_framedata_o[12] , \tile_x8y6_framedata_o[11] , \tile_x8y6_framedata_o[10] , \tile_x8y6_framedata_o[9] , \tile_x8y6_framedata_o[8] , \tile_x8y6_framedata_o[7] , \tile_x8y6_framedata_o[6] , \tile_x8y6_framedata_o[5] , \tile_x8y6_framedata_o[4] , \tile_x8y6_framedata_o[3] , \tile_x8y6_framedata_o[2] , \tile_x8y6_framedata_o[1] , \tile_x8y6_framedata_o[0] }),
.bot_n1end({ \tile_x8y7_n1beg[3] , \tile_x8y7_n1beg[2] , \tile_x8y7_n1beg[1] , \tile_x8y7_n1beg[0] }),
.bot_n2end({ \tile_x8y7_n2begb[7] , \tile_x8y7_n2begb[6] , \tile_x8y7_n2begb[5] , \tile_x8y7_n2begb[4] , \tile_x8y7_n2begb[3] , \tile_x8y7_n2begb[2] , \tile_x8y7_n2begb[1] , \tile_x8y7_n2begb[0] }),
.bot_n2mid({ \tile_x8y7_n2beg[7] , \tile_x8y7_n2beg[6] , \tile_x8y7_n2beg[5] , \tile_x8y7_n2beg[4] , \tile_x8y7_n2beg[3] , \tile_x8y7_n2beg[2] , \tile_x8y7_n2beg[1] , \tile_x8y7_n2beg[0] }),
.bot_n4end({ \tile_x8y7_n4beg[15] , \tile_x8y7_n4beg[14] , \tile_x8y7_n4beg[13] , \tile_x8y7_n4beg[12] , \tile_x8y7_n4beg[11] , \tile_x8y7_n4beg[10] , \tile_x8y7_n4beg[9] , \tile_x8y7_n4beg[8] , \tile_x8y7_n4beg[7] , \tile_x8y7_n4beg[6] , \tile_x8y7_n4beg[5] , \tile_x8y7_n4beg[4] , \tile_x8y7_n4beg[3] , \tile_x8y7_n4beg[2] , \tile_x8y7_n4beg[1] , \tile_x8y7_n4beg[0] }),
.bot_nn4end({ \tile_x8y7_nn4beg[15] , \tile_x8y7_nn4beg[14] , \tile_x8y7_nn4beg[13] , \tile_x8y7_nn4beg[12] , \tile_x8y7_nn4beg[11] , \tile_x8y7_nn4beg[10] , \tile_x8y7_nn4beg[9] , \tile_x8y7_nn4beg[8] , \tile_x8y7_nn4beg[7] , \tile_x8y7_nn4beg[6] , \tile_x8y7_nn4beg[5] , \tile_x8y7_nn4beg[4] , \tile_x8y7_nn4beg[3] , \tile_x8y7_nn4beg[2] , \tile_x8y7_nn4beg[1] , \tile_x8y7_nn4beg[0] }),
.bot_s1beg({ \tile_x8y6_s1beg[3] , \tile_x8y6_s1beg[2] , \tile_x8y6_s1beg[1] , \tile_x8y6_s1beg[0] }),
.bot_s2beg({ \tile_x8y6_s2beg[7] , \tile_x8y6_s2beg[6] , \tile_x8y6_s2beg[5] , \tile_x8y6_s2beg[4] , \tile_x8y6_s2beg[3] , \tile_x8y6_s2beg[2] , \tile_x8y6_s2beg[1] , \tile_x8y6_s2beg[0] }),
.bot_s2begb({ \tile_x8y6_s2begb[7] , \tile_x8y6_s2begb[6] , \tile_x8y6_s2begb[5] , \tile_x8y6_s2begb[4] , \tile_x8y6_s2begb[3] , \tile_x8y6_s2begb[2] , \tile_x8y6_s2begb[1] , \tile_x8y6_s2begb[0] }),
.bot_s4beg({ \tile_x8y6_s4beg[15] , \tile_x8y6_s4beg[14] , \tile_x8y6_s4beg[13] , \tile_x8y6_s4beg[12] , \tile_x8y6_s4beg[11] , \tile_x8y6_s4beg[10] , \tile_x8y6_s4beg[9] , \tile_x8y6_s4beg[8] , \tile_x8y6_s4beg[7] , \tile_x8y6_s4beg[6] , \tile_x8y6_s4beg[5] , \tile_x8y6_s4beg[4] , \tile_x8y6_s4beg[3] , \tile_x8y6_s4beg[2] , \tile_x8y6_s4beg[1] , \tile_x8y6_s4beg[0] }),
.bot_ss4beg({ \tile_x8y6_ss4beg[15] , \tile_x8y6_ss4beg[14] , \tile_x8y6_ss4beg[13] , \tile_x8y6_ss4beg[12] , \tile_x8y6_ss4beg[11] , \tile_x8y6_ss4beg[10] , \tile_x8y6_ss4beg[9] , \tile_x8y6_ss4beg[8] , \tile_x8y6_ss4beg[7] , \tile_x8y6_ss4beg[6] , \tile_x8y6_ss4beg[5] , \tile_x8y6_ss4beg[4] , \tile_x8y6_ss4beg[3] , \tile_x8y6_ss4beg[2] , \tile_x8y6_ss4beg[1] , \tile_x8y6_ss4beg[0] }),
.bot_w1beg({ \tile_x8y6_w1beg[3] , \tile_x8y6_w1beg[2] , \tile_x8y6_w1beg[1] , \tile_x8y6_w1beg[0] }),
.bot_w1end({ \tile_x9y6_w1beg[3] , \tile_x9y6_w1beg[2] , \tile_x9y6_w1beg[1] , \tile_x9y6_w1beg[0] }),
.bot_w2beg({ \tile_x8y6_w2beg[7] , \tile_x8y6_w2beg[6] , \tile_x8y6_w2beg[5] , \tile_x8y6_w2beg[4] , \tile_x8y6_w2beg[3] , \tile_x8y6_w2beg[2] , \tile_x8y6_w2beg[1] , \tile_x8y6_w2beg[0] }),
.bot_w2begb({ \tile_x8y6_w2begb[7] , \tile_x8y6_w2begb[6] , \tile_x8y6_w2begb[5] , \tile_x8y6_w2begb[4] , \tile_x8y6_w2begb[3] , \tile_x8y6_w2begb[2] , \tile_x8y6_w2begb[1] , \tile_x8y6_w2begb[0] }),
.bot_w2end({ \tile_x9y6_w2begb[7] , \tile_x9y6_w2begb[6] , \tile_x9y6_w2begb[5] , \tile_x9y6_w2begb[4] , \tile_x9y6_w2begb[3] , \tile_x9y6_w2begb[2] , \tile_x9y6_w2begb[1] , \tile_x9y6_w2begb[0] }),
.bot_w2mid({ \tile_x9y6_w2beg[7] , \tile_x9y6_w2beg[6] , \tile_x9y6_w2beg[5] , \tile_x9y6_w2beg[4] , \tile_x9y6_w2beg[3] , \tile_x9y6_w2beg[2] , \tile_x9y6_w2beg[1] , \tile_x9y6_w2beg[0] }),
.bot_w6beg({ \tile_x8y6_w6beg[11] , \tile_x8y6_w6beg[10] , \tile_x8y6_w6beg[9] , \tile_x8y6_w6beg[8] , \tile_x8y6_w6beg[7] , \tile_x8y6_w6beg[6] , \tile_x8y6_w6beg[5] , \tile_x8y6_w6beg[4] , \tile_x8y6_w6beg[3] , \tile_x8y6_w6beg[2] , \tile_x8y6_w6beg[1] , \tile_x8y6_w6beg[0] }),
.bot_w6end({ \tile_x9y6_w6beg[11] , \tile_x9y6_w6beg[10] , \tile_x9y6_w6beg[9] , \tile_x9y6_w6beg[8] , \tile_x9y6_w6beg[7] , \tile_x9y6_w6beg[6] , \tile_x9y6_w6beg[5] , \tile_x9y6_w6beg[4] , \tile_x9y6_w6beg[3] , \tile_x9y6_w6beg[2] , \tile_x9y6_w6beg[1] , \tile_x9y6_w6beg[0] }),
.bot_ww4beg({ \tile_x8y6_ww4beg[15] , \tile_x8y6_ww4beg[14] , \tile_x8y6_ww4beg[13] , \tile_x8y6_ww4beg[12] , \tile_x8y6_ww4beg[11] , \tile_x8y6_ww4beg[10] , \tile_x8y6_ww4beg[9] , \tile_x8y6_ww4beg[8] , \tile_x8y6_ww4beg[7] , \tile_x8y6_ww4beg[6] , \tile_x8y6_ww4beg[5] , \tile_x8y6_ww4beg[4] , \tile_x8y6_ww4beg[3] , \tile_x8y6_ww4beg[2] , \tile_x8y6_ww4beg[1] , \tile_x8y6_ww4beg[0] }),
.bot_ww4end({ \tile_x9y6_ww4beg[15] , \tile_x9y6_ww4beg[14] , \tile_x9y6_ww4beg[13] , \tile_x9y6_ww4beg[12] , \tile_x9y6_ww4beg[11] , \tile_x9y6_ww4beg[10] , \tile_x9y6_ww4beg[9] , \tile_x9y6_ww4beg[8] , \tile_x9y6_ww4beg[7] , \tile_x9y6_ww4beg[6] , \tile_x9y6_ww4beg[5] , \tile_x9y6_ww4beg[4] , \tile_x9y6_ww4beg[3] , \tile_x9y6_ww4beg[2] , \tile_x9y6_ww4beg[1] , \tile_x9y6_ww4beg[0] }),
.top_e1beg({ \tile_x8y5_e1beg[3] , \tile_x8y5_e1beg[2] , \tile_x8y5_e1beg[1] , \tile_x8y5_e1beg[0] }),
.top_e1end({ \tile_x7y5_e1beg[3] , \tile_x7y5_e1beg[2] , \tile_x7y5_e1beg[1] , \tile_x7y5_e1beg[0] }),
.top_e2beg({ \tile_x8y5_e2beg[7] , \tile_x8y5_e2beg[6] , \tile_x8y5_e2beg[5] , \tile_x8y5_e2beg[4] , \tile_x8y5_e2beg[3] , \tile_x8y5_e2beg[2] , \tile_x8y5_e2beg[1] , \tile_x8y5_e2beg[0] }),
.top_e2begb({ \tile_x8y5_e2begb[7] , \tile_x8y5_e2begb[6] , \tile_x8y5_e2begb[5] , \tile_x8y5_e2begb[4] , \tile_x8y5_e2begb[3] , \tile_x8y5_e2begb[2] , \tile_x8y5_e2begb[1] , \tile_x8y5_e2begb[0] }),
.top_e2end({ \tile_x7y5_e2begb[7] , \tile_x7y5_e2begb[6] , \tile_x7y5_e2begb[5] , \tile_x7y5_e2begb[4] , \tile_x7y5_e2begb[3] , \tile_x7y5_e2begb[2] , \tile_x7y5_e2begb[1] , \tile_x7y5_e2begb[0] }),
.top_e2mid({ \tile_x7y5_e2beg[7] , \tile_x7y5_e2beg[6] , \tile_x7y5_e2beg[5] , \tile_x7y5_e2beg[4] , \tile_x7y5_e2beg[3] , \tile_x7y5_e2beg[2] , \tile_x7y5_e2beg[1] , \tile_x7y5_e2beg[0] }),
.top_e6beg({ \tile_x8y5_e6beg[11] , \tile_x8y5_e6beg[10] , \tile_x8y5_e6beg[9] , \tile_x8y5_e6beg[8] , \tile_x8y5_e6beg[7] , \tile_x8y5_e6beg[6] , \tile_x8y5_e6beg[5] , \tile_x8y5_e6beg[4] , \tile_x8y5_e6beg[3] , \tile_x8y5_e6beg[2] , \tile_x8y5_e6beg[1] , \tile_x8y5_e6beg[0] }),
.top_e6end({ \tile_x7y5_e6beg[11] , \tile_x7y5_e6beg[10] , \tile_x7y5_e6beg[9] , \tile_x7y5_e6beg[8] , \tile_x7y5_e6beg[7] , \tile_x7y5_e6beg[6] , \tile_x7y5_e6beg[5] , \tile_x7y5_e6beg[4] , \tile_x7y5_e6beg[3] , \tile_x7y5_e6beg[2] , \tile_x7y5_e6beg[1] , \tile_x7y5_e6beg[0] }),
.top_ee4beg({ \tile_x8y5_ee4beg[15] , \tile_x8y5_ee4beg[14] , \tile_x8y5_ee4beg[13] , \tile_x8y5_ee4beg[12] , \tile_x8y5_ee4beg[11] , \tile_x8y5_ee4beg[10] , \tile_x8y5_ee4beg[9] , \tile_x8y5_ee4beg[8] , \tile_x8y5_ee4beg[7] , \tile_x8y5_ee4beg[6] , \tile_x8y5_ee4beg[5] , \tile_x8y5_ee4beg[4] , \tile_x8y5_ee4beg[3] , \tile_x8y5_ee4beg[2] , \tile_x8y5_ee4beg[1] , \tile_x8y5_ee4beg[0] }),
.top_ee4end({ \tile_x7y5_ee4beg[15] , \tile_x7y5_ee4beg[14] , \tile_x7y5_ee4beg[13] , \tile_x7y5_ee4beg[12] , \tile_x7y5_ee4beg[11] , \tile_x7y5_ee4beg[10] , \tile_x7y5_ee4beg[9] , \tile_x7y5_ee4beg[8] , \tile_x7y5_ee4beg[7] , \tile_x7y5_ee4beg[6] , \tile_x7y5_ee4beg[5] , \tile_x7y5_ee4beg[4] , \tile_x7y5_ee4beg[3] , \tile_x7y5_ee4beg[2] , \tile_x7y5_ee4beg[1] , \tile_x7y5_ee4beg[0] }),
.top_framedata({ \tile_x7y5_framedata_o[31] , \tile_x7y5_framedata_o[30] , \tile_x7y5_framedata_o[29] , \tile_x7y5_framedata_o[28] , \tile_x7y5_framedata_o[27] , \tile_x7y5_framedata_o[26] , \tile_x7y5_framedata_o[25] , \tile_x7y5_framedata_o[24] , \tile_x7y5_framedata_o[23] , \tile_x7y5_framedata_o[22] , \tile_x7y5_framedata_o[21] , \tile_x7y5_framedata_o[20] , \tile_x7y5_framedata_o[19] , \tile_x7y5_framedata_o[18] , \tile_x7y5_framedata_o[17] , \tile_x7y5_framedata_o[16] , \tile_x7y5_framedata_o[15] , \tile_x7y5_framedata_o[14] , \tile_x7y5_framedata_o[13] , \tile_x7y5_framedata_o[12] , \tile_x7y5_framedata_o[11] , \tile_x7y5_framedata_o[10] , \tile_x7y5_framedata_o[9] , \tile_x7y5_framedata_o[8] , \tile_x7y5_framedata_o[7] , \tile_x7y5_framedata_o[6] , \tile_x7y5_framedata_o[5] , \tile_x7y5_framedata_o[4] , \tile_x7y5_framedata_o[3] , \tile_x7y5_framedata_o[2] , \tile_x7y5_framedata_o[1] , \tile_x7y5_framedata_o[0] }),
.top_framedata_o({ \tile_x8y5_framedata_o[31] , \tile_x8y5_framedata_o[30] , \tile_x8y5_framedata_o[29] , \tile_x8y5_framedata_o[28] , \tile_x8y5_framedata_o[27] , \tile_x8y5_framedata_o[26] , \tile_x8y5_framedata_o[25] , \tile_x8y5_framedata_o[24] , \tile_x8y5_framedata_o[23] , \tile_x8y5_framedata_o[22] , \tile_x8y5_framedata_o[21] , \tile_x8y5_framedata_o[20] , \tile_x8y5_framedata_o[19] , \tile_x8y5_framedata_o[18] , \tile_x8y5_framedata_o[17] , \tile_x8y5_framedata_o[16] , \tile_x8y5_framedata_o[15] , \tile_x8y5_framedata_o[14] , \tile_x8y5_framedata_o[13] , \tile_x8y5_framedata_o[12] , \tile_x8y5_framedata_o[11] , \tile_x8y5_framedata_o[10] , \tile_x8y5_framedata_o[9] , \tile_x8y5_framedata_o[8] , \tile_x8y5_framedata_o[7] , \tile_x8y5_framedata_o[6] , \tile_x8y5_framedata_o[5] , \tile_x8y5_framedata_o[4] , \tile_x8y5_framedata_o[3] , \tile_x8y5_framedata_o[2] , \tile_x8y5_framedata_o[1] , \tile_x8y5_framedata_o[0] }),
.top_n1beg({ \tile_x8y5_n1beg[3] , \tile_x8y5_n1beg[2] , \tile_x8y5_n1beg[1] , \tile_x8y5_n1beg[0] }),
.top_n2beg({ \tile_x8y5_n2beg[7] , \tile_x8y5_n2beg[6] , \tile_x8y5_n2beg[5] , \tile_x8y5_n2beg[4] , \tile_x8y5_n2beg[3] , \tile_x8y5_n2beg[2] , \tile_x8y5_n2beg[1] , \tile_x8y5_n2beg[0] }),
.top_n2begb({ \tile_x8y5_n2begb[7] , \tile_x8y5_n2begb[6] , \tile_x8y5_n2begb[5] , \tile_x8y5_n2begb[4] , \tile_x8y5_n2begb[3] , \tile_x8y5_n2begb[2] , \tile_x8y5_n2begb[1] , \tile_x8y5_n2begb[0] }),
.top_n4beg({ \tile_x8y5_n4beg[15] , \tile_x8y5_n4beg[14] , \tile_x8y5_n4beg[13] , \tile_x8y5_n4beg[12] , \tile_x8y5_n4beg[11] , \tile_x8y5_n4beg[10] , \tile_x8y5_n4beg[9] , \tile_x8y5_n4beg[8] , \tile_x8y5_n4beg[7] , \tile_x8y5_n4beg[6] , \tile_x8y5_n4beg[5] , \tile_x8y5_n4beg[4] , \tile_x8y5_n4beg[3] , \tile_x8y5_n4beg[2] , \tile_x8y5_n4beg[1] , \tile_x8y5_n4beg[0] }),
.top_nn4beg({ \tile_x8y5_nn4beg[15] , \tile_x8y5_nn4beg[14] , \tile_x8y5_nn4beg[13] , \tile_x8y5_nn4beg[12] , \tile_x8y5_nn4beg[11] , \tile_x8y5_nn4beg[10] , \tile_x8y5_nn4beg[9] , \tile_x8y5_nn4beg[8] , \tile_x8y5_nn4beg[7] , \tile_x8y5_nn4beg[6] , \tile_x8y5_nn4beg[5] , \tile_x8y5_nn4beg[4] , \tile_x8y5_nn4beg[3] , \tile_x8y5_nn4beg[2] , \tile_x8y5_nn4beg[1] , \tile_x8y5_nn4beg[0] }),
.top_s1end({ \tile_x8y4_s1beg[3] , \tile_x8y4_s1beg[2] , \tile_x8y4_s1beg[1] , \tile_x8y4_s1beg[0] }),
.top_s2end({ \tile_x8y4_s2begb[7] , \tile_x8y4_s2begb[6] , \tile_x8y4_s2begb[5] , \tile_x8y4_s2begb[4] , \tile_x8y4_s2begb[3] , \tile_x8y4_s2begb[2] , \tile_x8y4_s2begb[1] , \tile_x8y4_s2begb[0] }),
.top_s2mid({ \tile_x8y4_s2beg[7] , \tile_x8y4_s2beg[6] , \tile_x8y4_s2beg[5] , \tile_x8y4_s2beg[4] , \tile_x8y4_s2beg[3] , \tile_x8y4_s2beg[2] , \tile_x8y4_s2beg[1] , \tile_x8y4_s2beg[0] }),
.top_s4end({ \tile_x8y4_s4beg[15] , \tile_x8y4_s4beg[14] , \tile_x8y4_s4beg[13] , \tile_x8y4_s4beg[12] , \tile_x8y4_s4beg[11] , \tile_x8y4_s4beg[10] , \tile_x8y4_s4beg[9] , \tile_x8y4_s4beg[8] , \tile_x8y4_s4beg[7] , \tile_x8y4_s4beg[6] , \tile_x8y4_s4beg[5] , \tile_x8y4_s4beg[4] , \tile_x8y4_s4beg[3] , \tile_x8y4_s4beg[2] , \tile_x8y4_s4beg[1] , \tile_x8y4_s4beg[0] }),
.top_ss4end({ \tile_x8y4_ss4beg[15] , \tile_x8y4_ss4beg[14] , \tile_x8y4_ss4beg[13] , \tile_x8y4_ss4beg[12] , \tile_x8y4_ss4beg[11] , \tile_x8y4_ss4beg[10] , \tile_x8y4_ss4beg[9] , \tile_x8y4_ss4beg[8] , \tile_x8y4_ss4beg[7] , \tile_x8y4_ss4beg[6] , \tile_x8y4_ss4beg[5] , \tile_x8y4_ss4beg[4] , \tile_x8y4_ss4beg[3] , \tile_x8y4_ss4beg[2] , \tile_x8y4_ss4beg[1] , \tile_x8y4_ss4beg[0] }),
.top_w1beg({ \tile_x8y5_w1beg[3] , \tile_x8y5_w1beg[2] , \tile_x8y5_w1beg[1] , \tile_x8y5_w1beg[0] }),
.top_w1end({ \tile_x9y5_w1beg[3] , \tile_x9y5_w1beg[2] , \tile_x9y5_w1beg[1] , \tile_x9y5_w1beg[0] }),
.top_w2beg({ \tile_x8y5_w2beg[7] , \tile_x8y5_w2beg[6] , \tile_x8y5_w2beg[5] , \tile_x8y5_w2beg[4] , \tile_x8y5_w2beg[3] , \tile_x8y5_w2beg[2] , \tile_x8y5_w2beg[1] , \tile_x8y5_w2beg[0] }),
.top_w2begb({ \tile_x8y5_w2begb[7] , \tile_x8y5_w2begb[6] , \tile_x8y5_w2begb[5] , \tile_x8y5_w2begb[4] , \tile_x8y5_w2begb[3] , \tile_x8y5_w2begb[2] , \tile_x8y5_w2begb[1] , \tile_x8y5_w2begb[0] }),
.top_w2end({ \tile_x9y5_w2begb[7] , \tile_x9y5_w2begb[6] , \tile_x9y5_w2begb[5] , \tile_x9y5_w2begb[4] , \tile_x9y5_w2begb[3] , \tile_x9y5_w2begb[2] , \tile_x9y5_w2begb[1] , \tile_x9y5_w2begb[0] }),
.top_w2mid({ \tile_x9y5_w2beg[7] , \tile_x9y5_w2beg[6] , \tile_x9y5_w2beg[5] , \tile_x9y5_w2beg[4] , \tile_x9y5_w2beg[3] , \tile_x9y5_w2beg[2] , \tile_x9y5_w2beg[1] , \tile_x9y5_w2beg[0] }),
.top_w6beg({ \tile_x8y5_w6beg[11] , \tile_x8y5_w6beg[10] , \tile_x8y5_w6beg[9] , \tile_x8y5_w6beg[8] , \tile_x8y5_w6beg[7] , \tile_x8y5_w6beg[6] , \tile_x8y5_w6beg[5] , \tile_x8y5_w6beg[4] , \tile_x8y5_w6beg[3] , \tile_x8y5_w6beg[2] , \tile_x8y5_w6beg[1] , \tile_x8y5_w6beg[0] }),
.top_w6end({ \tile_x9y5_w6beg[11] , \tile_x9y5_w6beg[10] , \tile_x9y5_w6beg[9] , \tile_x9y5_w6beg[8] , \tile_x9y5_w6beg[7] , \tile_x9y5_w6beg[6] , \tile_x9y5_w6beg[5] , \tile_x9y5_w6beg[4] , \tile_x9y5_w6beg[3] , \tile_x9y5_w6beg[2] , \tile_x9y5_w6beg[1] , \tile_x9y5_w6beg[0] }),
.top_ww4beg({ \tile_x8y5_ww4beg[15] , \tile_x8y5_ww4beg[14] , \tile_x8y5_ww4beg[13] , \tile_x8y5_ww4beg[12] , \tile_x8y5_ww4beg[11] , \tile_x8y5_ww4beg[10] , \tile_x8y5_ww4beg[9] , \tile_x8y5_ww4beg[8] , \tile_x8y5_ww4beg[7] , \tile_x8y5_ww4beg[6] , \tile_x8y5_ww4beg[5] , \tile_x8y5_ww4beg[4] , \tile_x8y5_ww4beg[3] , \tile_x8y5_ww4beg[2] , \tile_x8y5_ww4beg[1] , \tile_x8y5_ww4beg[0] }),
.top_ww4end({ \tile_x9y5_ww4beg[15] , \tile_x9y5_ww4beg[14] , \tile_x9y5_ww4beg[13] , \tile_x9y5_ww4beg[12] , \tile_x9y5_ww4beg[11] , \tile_x9y5_ww4beg[10] , \tile_x9y5_ww4beg[9] , \tile_x9y5_ww4beg[8] , \tile_x9y5_ww4beg[7] , \tile_x9y5_ww4beg[6] , \tile_x9y5_ww4beg[5] , \tile_x9y5_ww4beg[4] , \tile_x9y5_ww4beg[3] , \tile_x9y5_ww4beg[2] , \tile_x9y5_ww4beg[1] , \tile_x9y5_ww4beg[0] })
);
dsp tile_x8y7_x8y8_dsp_tile (
.framestrobe({ \tile_x8y9_framestrobe_o[19] , \tile_x8y9_framestrobe_o[18] , \tile_x8y9_framestrobe_o[17] , \tile_x8y9_framestrobe_o[16] , \tile_x8y9_framestrobe_o[15] , \tile_x8y9_framestrobe_o[14] , \tile_x8y9_framestrobe_o[13] , \tile_x8y9_framestrobe_o[12] , \tile_x8y9_framestrobe_o[11] , \tile_x8y9_framestrobe_o[10] , \tile_x8y9_framestrobe_o[9] , \tile_x8y9_framestrobe_o[8] , \tile_x8y9_framestrobe_o[7] , \tile_x8y9_framestrobe_o[6] , \tile_x8y9_framestrobe_o[5] , \tile_x8y9_framestrobe_o[4] , \tile_x8y9_framestrobe_o[3] , \tile_x8y9_framestrobe_o[2] , \tile_x8y9_framestrobe_o[1] , \tile_x8y9_framestrobe_o[0] }),
.framestrobe_o({ \tile_x8y7_framestrobe_o[19] , \tile_x8y7_framestrobe_o[18] , \tile_x8y7_framestrobe_o[17] , \tile_x8y7_framestrobe_o[16] , \tile_x8y7_framestrobe_o[15] , \tile_x8y7_framestrobe_o[14] , \tile_x8y7_framestrobe_o[13] , \tile_x8y7_framestrobe_o[12] , \tile_x8y7_framestrobe_o[11] , \tile_x8y7_framestrobe_o[10] , \tile_x8y7_framestrobe_o[9] , \tile_x8y7_framestrobe_o[8] , \tile_x8y7_framestrobe_o[7] , \tile_x8y7_framestrobe_o[6] , \tile_x8y7_framestrobe_o[5] , \tile_x8y7_framestrobe_o[4] , \tile_x8y7_framestrobe_o[3] , \tile_x8y7_framestrobe_o[2] , \tile_x8y7_framestrobe_o[1] , \tile_x8y7_framestrobe_o[0] }),
.userclk(tile_x8y9_userclko),
.userclko(tile_x8y7_userclko),
.bot_e1beg({ \tile_x8y8_e1beg[3] , \tile_x8y8_e1beg[2] , \tile_x8y8_e1beg[1] , \tile_x8y8_e1beg[0] }),
.bot_e1end({ \tile_x7y8_e1beg[3] , \tile_x7y8_e1beg[2] , \tile_x7y8_e1beg[1] , \tile_x7y8_e1beg[0] }),
.bot_e2beg({ \tile_x8y8_e2beg[7] , \tile_x8y8_e2beg[6] , \tile_x8y8_e2beg[5] , \tile_x8y8_e2beg[4] , \tile_x8y8_e2beg[3] , \tile_x8y8_e2beg[2] , \tile_x8y8_e2beg[1] , \tile_x8y8_e2beg[0] }),
.bot_e2begb({ \tile_x8y8_e2begb[7] , \tile_x8y8_e2begb[6] , \tile_x8y8_e2begb[5] , \tile_x8y8_e2begb[4] , \tile_x8y8_e2begb[3] , \tile_x8y8_e2begb[2] , \tile_x8y8_e2begb[1] , \tile_x8y8_e2begb[0] }),
.bot_e2end({ \tile_x7y8_e2begb[7] , \tile_x7y8_e2begb[6] , \tile_x7y8_e2begb[5] , \tile_x7y8_e2begb[4] , \tile_x7y8_e2begb[3] , \tile_x7y8_e2begb[2] , \tile_x7y8_e2begb[1] , \tile_x7y8_e2begb[0] }),
.bot_e2mid({ \tile_x7y8_e2beg[7] , \tile_x7y8_e2beg[6] , \tile_x7y8_e2beg[5] , \tile_x7y8_e2beg[4] , \tile_x7y8_e2beg[3] , \tile_x7y8_e2beg[2] , \tile_x7y8_e2beg[1] , \tile_x7y8_e2beg[0] }),
.bot_e6beg({ \tile_x8y8_e6beg[11] , \tile_x8y8_e6beg[10] , \tile_x8y8_e6beg[9] , \tile_x8y8_e6beg[8] , \tile_x8y8_e6beg[7] , \tile_x8y8_e6beg[6] , \tile_x8y8_e6beg[5] , \tile_x8y8_e6beg[4] , \tile_x8y8_e6beg[3] , \tile_x8y8_e6beg[2] , \tile_x8y8_e6beg[1] , \tile_x8y8_e6beg[0] }),
.bot_e6end({ \tile_x7y8_e6beg[11] , \tile_x7y8_e6beg[10] , \tile_x7y8_e6beg[9] , \tile_x7y8_e6beg[8] , \tile_x7y8_e6beg[7] , \tile_x7y8_e6beg[6] , \tile_x7y8_e6beg[5] , \tile_x7y8_e6beg[4] , \tile_x7y8_e6beg[3] , \tile_x7y8_e6beg[2] , \tile_x7y8_e6beg[1] , \tile_x7y8_e6beg[0] }),
.bot_ee4beg({ \tile_x8y8_ee4beg[15] , \tile_x8y8_ee4beg[14] , \tile_x8y8_ee4beg[13] , \tile_x8y8_ee4beg[12] , \tile_x8y8_ee4beg[11] , \tile_x8y8_ee4beg[10] , \tile_x8y8_ee4beg[9] , \tile_x8y8_ee4beg[8] , \tile_x8y8_ee4beg[7] , \tile_x8y8_ee4beg[6] , \tile_x8y8_ee4beg[5] , \tile_x8y8_ee4beg[4] , \tile_x8y8_ee4beg[3] , \tile_x8y8_ee4beg[2] , \tile_x8y8_ee4beg[1] , \tile_x8y8_ee4beg[0] }),
.bot_ee4end({ \tile_x7y8_ee4beg[15] , \tile_x7y8_ee4beg[14] , \tile_x7y8_ee4beg[13] , \tile_x7y8_ee4beg[12] , \tile_x7y8_ee4beg[11] , \tile_x7y8_ee4beg[10] , \tile_x7y8_ee4beg[9] , \tile_x7y8_ee4beg[8] , \tile_x7y8_ee4beg[7] , \tile_x7y8_ee4beg[6] , \tile_x7y8_ee4beg[5] , \tile_x7y8_ee4beg[4] , \tile_x7y8_ee4beg[3] , \tile_x7y8_ee4beg[2] , \tile_x7y8_ee4beg[1] , \tile_x7y8_ee4beg[0] }),
.bot_framedata({ \tile_x7y8_framedata_o[31] , \tile_x7y8_framedata_o[30] , \tile_x7y8_framedata_o[29] , \tile_x7y8_framedata_o[28] , \tile_x7y8_framedata_o[27] , \tile_x7y8_framedata_o[26] , \tile_x7y8_framedata_o[25] , \tile_x7y8_framedata_o[24] , \tile_x7y8_framedata_o[23] , \tile_x7y8_framedata_o[22] , \tile_x7y8_framedata_o[21] , \tile_x7y8_framedata_o[20] , \tile_x7y8_framedata_o[19] , \tile_x7y8_framedata_o[18] , \tile_x7y8_framedata_o[17] , \tile_x7y8_framedata_o[16] , \tile_x7y8_framedata_o[15] , \tile_x7y8_framedata_o[14] , \tile_x7y8_framedata_o[13] , \tile_x7y8_framedata_o[12] , \tile_x7y8_framedata_o[11] , \tile_x7y8_framedata_o[10] , \tile_x7y8_framedata_o[9] , \tile_x7y8_framedata_o[8] , \tile_x7y8_framedata_o[7] , \tile_x7y8_framedata_o[6] , \tile_x7y8_framedata_o[5] , \tile_x7y8_framedata_o[4] , \tile_x7y8_framedata_o[3] , \tile_x7y8_framedata_o[2] , \tile_x7y8_framedata_o[1] , \tile_x7y8_framedata_o[0] }),
.bot_framedata_o({ \tile_x8y8_framedata_o[31] , \tile_x8y8_framedata_o[30] , \tile_x8y8_framedata_o[29] , \tile_x8y8_framedata_o[28] , \tile_x8y8_framedata_o[27] , \tile_x8y8_framedata_o[26] , \tile_x8y8_framedata_o[25] , \tile_x8y8_framedata_o[24] , \tile_x8y8_framedata_o[23] , \tile_x8y8_framedata_o[22] , \tile_x8y8_framedata_o[21] , \tile_x8y8_framedata_o[20] , \tile_x8y8_framedata_o[19] , \tile_x8y8_framedata_o[18] , \tile_x8y8_framedata_o[17] , \tile_x8y8_framedata_o[16] , \tile_x8y8_framedata_o[15] , \tile_x8y8_framedata_o[14] , \tile_x8y8_framedata_o[13] , \tile_x8y8_framedata_o[12] , \tile_x8y8_framedata_o[11] , \tile_x8y8_framedata_o[10] , \tile_x8y8_framedata_o[9] , \tile_x8y8_framedata_o[8] , \tile_x8y8_framedata_o[7] , \tile_x8y8_framedata_o[6] , \tile_x8y8_framedata_o[5] , \tile_x8y8_framedata_o[4] , \tile_x8y8_framedata_o[3] , \tile_x8y8_framedata_o[2] , \tile_x8y8_framedata_o[1] , \tile_x8y8_framedata_o[0] }),
.bot_n1end({ \tile_x8y9_n1beg[3] , \tile_x8y9_n1beg[2] , \tile_x8y9_n1beg[1] , \tile_x8y9_n1beg[0] }),
.bot_n2end({ \tile_x8y9_n2begb[7] , \tile_x8y9_n2begb[6] , \tile_x8y9_n2begb[5] , \tile_x8y9_n2begb[4] , \tile_x8y9_n2begb[3] , \tile_x8y9_n2begb[2] , \tile_x8y9_n2begb[1] , \tile_x8y9_n2begb[0] }),
.bot_n2mid({ \tile_x8y9_n2beg[7] , \tile_x8y9_n2beg[6] , \tile_x8y9_n2beg[5] , \tile_x8y9_n2beg[4] , \tile_x8y9_n2beg[3] , \tile_x8y9_n2beg[2] , \tile_x8y9_n2beg[1] , \tile_x8y9_n2beg[0] }),
.bot_n4end({ \tile_x8y9_n4beg[15] , \tile_x8y9_n4beg[14] , \tile_x8y9_n4beg[13] , \tile_x8y9_n4beg[12] , \tile_x8y9_n4beg[11] , \tile_x8y9_n4beg[10] , \tile_x8y9_n4beg[9] , \tile_x8y9_n4beg[8] , \tile_x8y9_n4beg[7] , \tile_x8y9_n4beg[6] , \tile_x8y9_n4beg[5] , \tile_x8y9_n4beg[4] , \tile_x8y9_n4beg[3] , \tile_x8y9_n4beg[2] , \tile_x8y9_n4beg[1] , \tile_x8y9_n4beg[0] }),
.bot_nn4end({ \tile_x8y9_nn4beg[15] , \tile_x8y9_nn4beg[14] , \tile_x8y9_nn4beg[13] , \tile_x8y9_nn4beg[12] , \tile_x8y9_nn4beg[11] , \tile_x8y9_nn4beg[10] , \tile_x8y9_nn4beg[9] , \tile_x8y9_nn4beg[8] , \tile_x8y9_nn4beg[7] , \tile_x8y9_nn4beg[6] , \tile_x8y9_nn4beg[5] , \tile_x8y9_nn4beg[4] , \tile_x8y9_nn4beg[3] , \tile_x8y9_nn4beg[2] , \tile_x8y9_nn4beg[1] , \tile_x8y9_nn4beg[0] }),
.bot_s1beg({ \tile_x8y8_s1beg[3] , \tile_x8y8_s1beg[2] , \tile_x8y8_s1beg[1] , \tile_x8y8_s1beg[0] }),
.bot_s2beg({ \tile_x8y8_s2beg[7] , \tile_x8y8_s2beg[6] , \tile_x8y8_s2beg[5] , \tile_x8y8_s2beg[4] , \tile_x8y8_s2beg[3] , \tile_x8y8_s2beg[2] , \tile_x8y8_s2beg[1] , \tile_x8y8_s2beg[0] }),
.bot_s2begb({ \tile_x8y8_s2begb[7] , \tile_x8y8_s2begb[6] , \tile_x8y8_s2begb[5] , \tile_x8y8_s2begb[4] , \tile_x8y8_s2begb[3] , \tile_x8y8_s2begb[2] , \tile_x8y8_s2begb[1] , \tile_x8y8_s2begb[0] }),
.bot_s4beg({ \tile_x8y8_s4beg[15] , \tile_x8y8_s4beg[14] , \tile_x8y8_s4beg[13] , \tile_x8y8_s4beg[12] , \tile_x8y8_s4beg[11] , \tile_x8y8_s4beg[10] , \tile_x8y8_s4beg[9] , \tile_x8y8_s4beg[8] , \tile_x8y8_s4beg[7] , \tile_x8y8_s4beg[6] , \tile_x8y8_s4beg[5] , \tile_x8y8_s4beg[4] , \tile_x8y8_s4beg[3] , \tile_x8y8_s4beg[2] , \tile_x8y8_s4beg[1] , \tile_x8y8_s4beg[0] }),
.bot_ss4beg({ \tile_x8y8_ss4beg[15] , \tile_x8y8_ss4beg[14] , \tile_x8y8_ss4beg[13] , \tile_x8y8_ss4beg[12] , \tile_x8y8_ss4beg[11] , \tile_x8y8_ss4beg[10] , \tile_x8y8_ss4beg[9] , \tile_x8y8_ss4beg[8] , \tile_x8y8_ss4beg[7] , \tile_x8y8_ss4beg[6] , \tile_x8y8_ss4beg[5] , \tile_x8y8_ss4beg[4] , \tile_x8y8_ss4beg[3] , \tile_x8y8_ss4beg[2] , \tile_x8y8_ss4beg[1] , \tile_x8y8_ss4beg[0] }),
.bot_w1beg({ \tile_x8y8_w1beg[3] , \tile_x8y8_w1beg[2] , \tile_x8y8_w1beg[1] , \tile_x8y8_w1beg[0] }),
.bot_w1end({ \tile_x9y8_w1beg[3] , \tile_x9y8_w1beg[2] , \tile_x9y8_w1beg[1] , \tile_x9y8_w1beg[0] }),
.bot_w2beg({ \tile_x8y8_w2beg[7] , \tile_x8y8_w2beg[6] , \tile_x8y8_w2beg[5] , \tile_x8y8_w2beg[4] , \tile_x8y8_w2beg[3] , \tile_x8y8_w2beg[2] , \tile_x8y8_w2beg[1] , \tile_x8y8_w2beg[0] }),
.bot_w2begb({ \tile_x8y8_w2begb[7] , \tile_x8y8_w2begb[6] , \tile_x8y8_w2begb[5] , \tile_x8y8_w2begb[4] , \tile_x8y8_w2begb[3] , \tile_x8y8_w2begb[2] , \tile_x8y8_w2begb[1] , \tile_x8y8_w2begb[0] }),
.bot_w2end({ \tile_x9y8_w2begb[7] , \tile_x9y8_w2begb[6] , \tile_x9y8_w2begb[5] , \tile_x9y8_w2begb[4] , \tile_x9y8_w2begb[3] , \tile_x9y8_w2begb[2] , \tile_x9y8_w2begb[1] , \tile_x9y8_w2begb[0] }),
.bot_w2mid({ \tile_x9y8_w2beg[7] , \tile_x9y8_w2beg[6] , \tile_x9y8_w2beg[5] , \tile_x9y8_w2beg[4] , \tile_x9y8_w2beg[3] , \tile_x9y8_w2beg[2] , \tile_x9y8_w2beg[1] , \tile_x9y8_w2beg[0] }),
.bot_w6beg({ \tile_x8y8_w6beg[11] , \tile_x8y8_w6beg[10] , \tile_x8y8_w6beg[9] , \tile_x8y8_w6beg[8] , \tile_x8y8_w6beg[7] , \tile_x8y8_w6beg[6] , \tile_x8y8_w6beg[5] , \tile_x8y8_w6beg[4] , \tile_x8y8_w6beg[3] , \tile_x8y8_w6beg[2] , \tile_x8y8_w6beg[1] , \tile_x8y8_w6beg[0] }),
.bot_w6end({ \tile_x9y8_w6beg[11] , \tile_x9y8_w6beg[10] , \tile_x9y8_w6beg[9] , \tile_x9y8_w6beg[8] , \tile_x9y8_w6beg[7] , \tile_x9y8_w6beg[6] , \tile_x9y8_w6beg[5] , \tile_x9y8_w6beg[4] , \tile_x9y8_w6beg[3] , \tile_x9y8_w6beg[2] , \tile_x9y8_w6beg[1] , \tile_x9y8_w6beg[0] }),
.bot_ww4beg({ \tile_x8y8_ww4beg[15] , \tile_x8y8_ww4beg[14] , \tile_x8y8_ww4beg[13] , \tile_x8y8_ww4beg[12] , \tile_x8y8_ww4beg[11] , \tile_x8y8_ww4beg[10] , \tile_x8y8_ww4beg[9] , \tile_x8y8_ww4beg[8] , \tile_x8y8_ww4beg[7] , \tile_x8y8_ww4beg[6] , \tile_x8y8_ww4beg[5] , \tile_x8y8_ww4beg[4] , \tile_x8y8_ww4beg[3] , \tile_x8y8_ww4beg[2] , \tile_x8y8_ww4beg[1] , \tile_x8y8_ww4beg[0] }),
.bot_ww4end({ \tile_x9y8_ww4beg[15] , \tile_x9y8_ww4beg[14] , \tile_x9y8_ww4beg[13] , \tile_x9y8_ww4beg[12] , \tile_x9y8_ww4beg[11] , \tile_x9y8_ww4beg[10] , \tile_x9y8_ww4beg[9] , \tile_x9y8_ww4beg[8] , \tile_x9y8_ww4beg[7] , \tile_x9y8_ww4beg[6] , \tile_x9y8_ww4beg[5] , \tile_x9y8_ww4beg[4] , \tile_x9y8_ww4beg[3] , \tile_x9y8_ww4beg[2] , \tile_x9y8_ww4beg[1] , \tile_x9y8_ww4beg[0] }),
.top_e1beg({ \tile_x8y7_e1beg[3] , \tile_x8y7_e1beg[2] , \tile_x8y7_e1beg[1] , \tile_x8y7_e1beg[0] }),
.top_e1end({ \tile_x7y7_e1beg[3] , \tile_x7y7_e1beg[2] , \tile_x7y7_e1beg[1] , \tile_x7y7_e1beg[0] }),
.top_e2beg({ \tile_x8y7_e2beg[7] , \tile_x8y7_e2beg[6] , \tile_x8y7_e2beg[5] , \tile_x8y7_e2beg[4] , \tile_x8y7_e2beg[3] , \tile_x8y7_e2beg[2] , \tile_x8y7_e2beg[1] , \tile_x8y7_e2beg[0] }),
.top_e2begb({ \tile_x8y7_e2begb[7] , \tile_x8y7_e2begb[6] , \tile_x8y7_e2begb[5] , \tile_x8y7_e2begb[4] , \tile_x8y7_e2begb[3] , \tile_x8y7_e2begb[2] , \tile_x8y7_e2begb[1] , \tile_x8y7_e2begb[0] }),
.top_e2end({ \tile_x7y7_e2begb[7] , \tile_x7y7_e2begb[6] , \tile_x7y7_e2begb[5] , \tile_x7y7_e2begb[4] , \tile_x7y7_e2begb[3] , \tile_x7y7_e2begb[2] , \tile_x7y7_e2begb[1] , \tile_x7y7_e2begb[0] }),
.top_e2mid({ \tile_x7y7_e2beg[7] , \tile_x7y7_e2beg[6] , \tile_x7y7_e2beg[5] , \tile_x7y7_e2beg[4] , \tile_x7y7_e2beg[3] , \tile_x7y7_e2beg[2] , \tile_x7y7_e2beg[1] , \tile_x7y7_e2beg[0] }),
.top_e6beg({ \tile_x8y7_e6beg[11] , \tile_x8y7_e6beg[10] , \tile_x8y7_e6beg[9] , \tile_x8y7_e6beg[8] , \tile_x8y7_e6beg[7] , \tile_x8y7_e6beg[6] , \tile_x8y7_e6beg[5] , \tile_x8y7_e6beg[4] , \tile_x8y7_e6beg[3] , \tile_x8y7_e6beg[2] , \tile_x8y7_e6beg[1] , \tile_x8y7_e6beg[0] }),
.top_e6end({ \tile_x7y7_e6beg[11] , \tile_x7y7_e6beg[10] , \tile_x7y7_e6beg[9] , \tile_x7y7_e6beg[8] , \tile_x7y7_e6beg[7] , \tile_x7y7_e6beg[6] , \tile_x7y7_e6beg[5] , \tile_x7y7_e6beg[4] , \tile_x7y7_e6beg[3] , \tile_x7y7_e6beg[2] , \tile_x7y7_e6beg[1] , \tile_x7y7_e6beg[0] }),
.top_ee4beg({ \tile_x8y7_ee4beg[15] , \tile_x8y7_ee4beg[14] , \tile_x8y7_ee4beg[13] , \tile_x8y7_ee4beg[12] , \tile_x8y7_ee4beg[11] , \tile_x8y7_ee4beg[10] , \tile_x8y7_ee4beg[9] , \tile_x8y7_ee4beg[8] , \tile_x8y7_ee4beg[7] , \tile_x8y7_ee4beg[6] , \tile_x8y7_ee4beg[5] , \tile_x8y7_ee4beg[4] , \tile_x8y7_ee4beg[3] , \tile_x8y7_ee4beg[2] , \tile_x8y7_ee4beg[1] , \tile_x8y7_ee4beg[0] }),
.top_ee4end({ \tile_x7y7_ee4beg[15] , \tile_x7y7_ee4beg[14] , \tile_x7y7_ee4beg[13] , \tile_x7y7_ee4beg[12] , \tile_x7y7_ee4beg[11] , \tile_x7y7_ee4beg[10] , \tile_x7y7_ee4beg[9] , \tile_x7y7_ee4beg[8] , \tile_x7y7_ee4beg[7] , \tile_x7y7_ee4beg[6] , \tile_x7y7_ee4beg[5] , \tile_x7y7_ee4beg[4] , \tile_x7y7_ee4beg[3] , \tile_x7y7_ee4beg[2] , \tile_x7y7_ee4beg[1] , \tile_x7y7_ee4beg[0] }),
.top_framedata({ \tile_x7y7_framedata_o[31] , \tile_x7y7_framedata_o[30] , \tile_x7y7_framedata_o[29] , \tile_x7y7_framedata_o[28] , \tile_x7y7_framedata_o[27] , \tile_x7y7_framedata_o[26] , \tile_x7y7_framedata_o[25] , \tile_x7y7_framedata_o[24] , \tile_x7y7_framedata_o[23] , \tile_x7y7_framedata_o[22] , \tile_x7y7_framedata_o[21] , \tile_x7y7_framedata_o[20] , \tile_x7y7_framedata_o[19] , \tile_x7y7_framedata_o[18] , \tile_x7y7_framedata_o[17] , \tile_x7y7_framedata_o[16] , \tile_x7y7_framedata_o[15] , \tile_x7y7_framedata_o[14] , \tile_x7y7_framedata_o[13] , \tile_x7y7_framedata_o[12] , \tile_x7y7_framedata_o[11] , \tile_x7y7_framedata_o[10] , \tile_x7y7_framedata_o[9] , \tile_x7y7_framedata_o[8] , \tile_x7y7_framedata_o[7] , \tile_x7y7_framedata_o[6] , \tile_x7y7_framedata_o[5] , \tile_x7y7_framedata_o[4] , \tile_x7y7_framedata_o[3] , \tile_x7y7_framedata_o[2] , \tile_x7y7_framedata_o[1] , \tile_x7y7_framedata_o[0] }),
.top_framedata_o({ \tile_x8y7_framedata_o[31] , \tile_x8y7_framedata_o[30] , \tile_x8y7_framedata_o[29] , \tile_x8y7_framedata_o[28] , \tile_x8y7_framedata_o[27] , \tile_x8y7_framedata_o[26] , \tile_x8y7_framedata_o[25] , \tile_x8y7_framedata_o[24] , \tile_x8y7_framedata_o[23] , \tile_x8y7_framedata_o[22] , \tile_x8y7_framedata_o[21] , \tile_x8y7_framedata_o[20] , \tile_x8y7_framedata_o[19] , \tile_x8y7_framedata_o[18] , \tile_x8y7_framedata_o[17] , \tile_x8y7_framedata_o[16] , \tile_x8y7_framedata_o[15] , \tile_x8y7_framedata_o[14] , \tile_x8y7_framedata_o[13] , \tile_x8y7_framedata_o[12] , \tile_x8y7_framedata_o[11] , \tile_x8y7_framedata_o[10] , \tile_x8y7_framedata_o[9] , \tile_x8y7_framedata_o[8] , \tile_x8y7_framedata_o[7] , \tile_x8y7_framedata_o[6] , \tile_x8y7_framedata_o[5] , \tile_x8y7_framedata_o[4] , \tile_x8y7_framedata_o[3] , \tile_x8y7_framedata_o[2] , \tile_x8y7_framedata_o[1] , \tile_x8y7_framedata_o[0] }),
.top_n1beg({ \tile_x8y7_n1beg[3] , \tile_x8y7_n1beg[2] , \tile_x8y7_n1beg[1] , \tile_x8y7_n1beg[0] }),
.top_n2beg({ \tile_x8y7_n2beg[7] , \tile_x8y7_n2beg[6] , \tile_x8y7_n2beg[5] , \tile_x8y7_n2beg[4] , \tile_x8y7_n2beg[3] , \tile_x8y7_n2beg[2] , \tile_x8y7_n2beg[1] , \tile_x8y7_n2beg[0] }),
.top_n2begb({ \tile_x8y7_n2begb[7] , \tile_x8y7_n2begb[6] , \tile_x8y7_n2begb[5] , \tile_x8y7_n2begb[4] , \tile_x8y7_n2begb[3] , \tile_x8y7_n2begb[2] , \tile_x8y7_n2begb[1] , \tile_x8y7_n2begb[0] }),
.top_n4beg({ \tile_x8y7_n4beg[15] , \tile_x8y7_n4beg[14] , \tile_x8y7_n4beg[13] , \tile_x8y7_n4beg[12] , \tile_x8y7_n4beg[11] , \tile_x8y7_n4beg[10] , \tile_x8y7_n4beg[9] , \tile_x8y7_n4beg[8] , \tile_x8y7_n4beg[7] , \tile_x8y7_n4beg[6] , \tile_x8y7_n4beg[5] , \tile_x8y7_n4beg[4] , \tile_x8y7_n4beg[3] , \tile_x8y7_n4beg[2] , \tile_x8y7_n4beg[1] , \tile_x8y7_n4beg[0] }),
.top_nn4beg({ \tile_x8y7_nn4beg[15] , \tile_x8y7_nn4beg[14] , \tile_x8y7_nn4beg[13] , \tile_x8y7_nn4beg[12] , \tile_x8y7_nn4beg[11] , \tile_x8y7_nn4beg[10] , \tile_x8y7_nn4beg[9] , \tile_x8y7_nn4beg[8] , \tile_x8y7_nn4beg[7] , \tile_x8y7_nn4beg[6] , \tile_x8y7_nn4beg[5] , \tile_x8y7_nn4beg[4] , \tile_x8y7_nn4beg[3] , \tile_x8y7_nn4beg[2] , \tile_x8y7_nn4beg[1] , \tile_x8y7_nn4beg[0] }),
.top_s1end({ \tile_x8y6_s1beg[3] , \tile_x8y6_s1beg[2] , \tile_x8y6_s1beg[1] , \tile_x8y6_s1beg[0] }),
.top_s2end({ \tile_x8y6_s2begb[7] , \tile_x8y6_s2begb[6] , \tile_x8y6_s2begb[5] , \tile_x8y6_s2begb[4] , \tile_x8y6_s2begb[3] , \tile_x8y6_s2begb[2] , \tile_x8y6_s2begb[1] , \tile_x8y6_s2begb[0] }),
.top_s2mid({ \tile_x8y6_s2beg[7] , \tile_x8y6_s2beg[6] , \tile_x8y6_s2beg[5] , \tile_x8y6_s2beg[4] , \tile_x8y6_s2beg[3] , \tile_x8y6_s2beg[2] , \tile_x8y6_s2beg[1] , \tile_x8y6_s2beg[0] }),
.top_s4end({ \tile_x8y6_s4beg[15] , \tile_x8y6_s4beg[14] , \tile_x8y6_s4beg[13] , \tile_x8y6_s4beg[12] , \tile_x8y6_s4beg[11] , \tile_x8y6_s4beg[10] , \tile_x8y6_s4beg[9] , \tile_x8y6_s4beg[8] , \tile_x8y6_s4beg[7] , \tile_x8y6_s4beg[6] , \tile_x8y6_s4beg[5] , \tile_x8y6_s4beg[4] , \tile_x8y6_s4beg[3] , \tile_x8y6_s4beg[2] , \tile_x8y6_s4beg[1] , \tile_x8y6_s4beg[0] }),
.top_ss4end({ \tile_x8y6_ss4beg[15] , \tile_x8y6_ss4beg[14] , \tile_x8y6_ss4beg[13] , \tile_x8y6_ss4beg[12] , \tile_x8y6_ss4beg[11] , \tile_x8y6_ss4beg[10] , \tile_x8y6_ss4beg[9] , \tile_x8y6_ss4beg[8] , \tile_x8y6_ss4beg[7] , \tile_x8y6_ss4beg[6] , \tile_x8y6_ss4beg[5] , \tile_x8y6_ss4beg[4] , \tile_x8y6_ss4beg[3] , \tile_x8y6_ss4beg[2] , \tile_x8y6_ss4beg[1] , \tile_x8y6_ss4beg[0] }),
.top_w1beg({ \tile_x8y7_w1beg[3] , \tile_x8y7_w1beg[2] , \tile_x8y7_w1beg[1] , \tile_x8y7_w1beg[0] }),
.top_w1end({ \tile_x9y7_w1beg[3] , \tile_x9y7_w1beg[2] , \tile_x9y7_w1beg[1] , \tile_x9y7_w1beg[0] }),
.top_w2beg({ \tile_x8y7_w2beg[7] , \tile_x8y7_w2beg[6] , \tile_x8y7_w2beg[5] , \tile_x8y7_w2beg[4] , \tile_x8y7_w2beg[3] , \tile_x8y7_w2beg[2] , \tile_x8y7_w2beg[1] , \tile_x8y7_w2beg[0] }),
.top_w2begb({ \tile_x8y7_w2begb[7] , \tile_x8y7_w2begb[6] , \tile_x8y7_w2begb[5] , \tile_x8y7_w2begb[4] , \tile_x8y7_w2begb[3] , \tile_x8y7_w2begb[2] , \tile_x8y7_w2begb[1] , \tile_x8y7_w2begb[0] }),
.top_w2end({ \tile_x9y7_w2begb[7] , \tile_x9y7_w2begb[6] , \tile_x9y7_w2begb[5] , \tile_x9y7_w2begb[4] , \tile_x9y7_w2begb[3] , \tile_x9y7_w2begb[2] , \tile_x9y7_w2begb[1] , \tile_x9y7_w2begb[0] }),
.top_w2mid({ \tile_x9y7_w2beg[7] , \tile_x9y7_w2beg[6] , \tile_x9y7_w2beg[5] , \tile_x9y7_w2beg[4] , \tile_x9y7_w2beg[3] , \tile_x9y7_w2beg[2] , \tile_x9y7_w2beg[1] , \tile_x9y7_w2beg[0] }),
.top_w6beg({ \tile_x8y7_w6beg[11] , \tile_x8y7_w6beg[10] , \tile_x8y7_w6beg[9] , \tile_x8y7_w6beg[8] , \tile_x8y7_w6beg[7] , \tile_x8y7_w6beg[6] , \tile_x8y7_w6beg[5] , \tile_x8y7_w6beg[4] , \tile_x8y7_w6beg[3] , \tile_x8y7_w6beg[2] , \tile_x8y7_w6beg[1] , \tile_x8y7_w6beg[0] }),
.top_w6end({ \tile_x9y7_w6beg[11] , \tile_x9y7_w6beg[10] , \tile_x9y7_w6beg[9] , \tile_x9y7_w6beg[8] , \tile_x9y7_w6beg[7] , \tile_x9y7_w6beg[6] , \tile_x9y7_w6beg[5] , \tile_x9y7_w6beg[4] , \tile_x9y7_w6beg[3] , \tile_x9y7_w6beg[2] , \tile_x9y7_w6beg[1] , \tile_x9y7_w6beg[0] }),
.top_ww4beg({ \tile_x8y7_ww4beg[15] , \tile_x8y7_ww4beg[14] , \tile_x8y7_ww4beg[13] , \tile_x8y7_ww4beg[12] , \tile_x8y7_ww4beg[11] , \tile_x8y7_ww4beg[10] , \tile_x8y7_ww4beg[9] , \tile_x8y7_ww4beg[8] , \tile_x8y7_ww4beg[7] , \tile_x8y7_ww4beg[6] , \tile_x8y7_ww4beg[5] , \tile_x8y7_ww4beg[4] , \tile_x8y7_ww4beg[3] , \tile_x8y7_ww4beg[2] , \tile_x8y7_ww4beg[1] , \tile_x8y7_ww4beg[0] }),
.top_ww4end({ \tile_x9y7_ww4beg[15] , \tile_x9y7_ww4beg[14] , \tile_x9y7_ww4beg[13] , \tile_x9y7_ww4beg[12] , \tile_x9y7_ww4beg[11] , \tile_x9y7_ww4beg[10] , \tile_x9y7_ww4beg[9] , \tile_x9y7_ww4beg[8] , \tile_x9y7_ww4beg[7] , \tile_x9y7_ww4beg[6] , \tile_x9y7_ww4beg[5] , \tile_x9y7_ww4beg[4] , \tile_x9y7_ww4beg[3] , \tile_x9y7_ww4beg[2] , \tile_x9y7_ww4beg[1] , \tile_x9y7_ww4beg[0] })
);
dsp tile_x8y9_x8y10_dsp_tile (
.framestrobe({ \tile_x8y11_framestrobe_o[19] , \tile_x8y11_framestrobe_o[18] , \tile_x8y11_framestrobe_o[17] , \tile_x8y11_framestrobe_o[16] , \tile_x8y11_framestrobe_o[15] , \tile_x8y11_framestrobe_o[14] , \tile_x8y11_framestrobe_o[13] , \tile_x8y11_framestrobe_o[12] , \tile_x8y11_framestrobe_o[11] , \tile_x8y11_framestrobe_o[10] , \tile_x8y11_framestrobe_o[9] , \tile_x8y11_framestrobe_o[8] , \tile_x8y11_framestrobe_o[7] , \tile_x8y11_framestrobe_o[6] , \tile_x8y11_framestrobe_o[5] , \tile_x8y11_framestrobe_o[4] , \tile_x8y11_framestrobe_o[3] , \tile_x8y11_framestrobe_o[2] , \tile_x8y11_framestrobe_o[1] , \tile_x8y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x8y9_framestrobe_o[19] , \tile_x8y9_framestrobe_o[18] , \tile_x8y9_framestrobe_o[17] , \tile_x8y9_framestrobe_o[16] , \tile_x8y9_framestrobe_o[15] , \tile_x8y9_framestrobe_o[14] , \tile_x8y9_framestrobe_o[13] , \tile_x8y9_framestrobe_o[12] , \tile_x8y9_framestrobe_o[11] , \tile_x8y9_framestrobe_o[10] , \tile_x8y9_framestrobe_o[9] , \tile_x8y9_framestrobe_o[8] , \tile_x8y9_framestrobe_o[7] , \tile_x8y9_framestrobe_o[6] , \tile_x8y9_framestrobe_o[5] , \tile_x8y9_framestrobe_o[4] , \tile_x8y9_framestrobe_o[3] , \tile_x8y9_framestrobe_o[2] , \tile_x8y9_framestrobe_o[1] , \tile_x8y9_framestrobe_o[0] }),
.userclk(tile_x8y11_userclko),
.userclko(tile_x8y9_userclko),
.bot_e1beg({ \tile_x8y10_e1beg[3] , \tile_x8y10_e1beg[2] , \tile_x8y10_e1beg[1] , \tile_x8y10_e1beg[0] }),
.bot_e1end({ \tile_x7y10_e1beg[3] , \tile_x7y10_e1beg[2] , \tile_x7y10_e1beg[1] , \tile_x7y10_e1beg[0] }),
.bot_e2beg({ \tile_x8y10_e2beg[7] , \tile_x8y10_e2beg[6] , \tile_x8y10_e2beg[5] , \tile_x8y10_e2beg[4] , \tile_x8y10_e2beg[3] , \tile_x8y10_e2beg[2] , \tile_x8y10_e2beg[1] , \tile_x8y10_e2beg[0] }),
.bot_e2begb({ \tile_x8y10_e2begb[7] , \tile_x8y10_e2begb[6] , \tile_x8y10_e2begb[5] , \tile_x8y10_e2begb[4] , \tile_x8y10_e2begb[3] , \tile_x8y10_e2begb[2] , \tile_x8y10_e2begb[1] , \tile_x8y10_e2begb[0] }),
.bot_e2end({ \tile_x7y10_e2begb[7] , \tile_x7y10_e2begb[6] , \tile_x7y10_e2begb[5] , \tile_x7y10_e2begb[4] , \tile_x7y10_e2begb[3] , \tile_x7y10_e2begb[2] , \tile_x7y10_e2begb[1] , \tile_x7y10_e2begb[0] }),
.bot_e2mid({ \tile_x7y10_e2beg[7] , \tile_x7y10_e2beg[6] , \tile_x7y10_e2beg[5] , \tile_x7y10_e2beg[4] , \tile_x7y10_e2beg[3] , \tile_x7y10_e2beg[2] , \tile_x7y10_e2beg[1] , \tile_x7y10_e2beg[0] }),
.bot_e6beg({ \tile_x8y10_e6beg[11] , \tile_x8y10_e6beg[10] , \tile_x8y10_e6beg[9] , \tile_x8y10_e6beg[8] , \tile_x8y10_e6beg[7] , \tile_x8y10_e6beg[6] , \tile_x8y10_e6beg[5] , \tile_x8y10_e6beg[4] , \tile_x8y10_e6beg[3] , \tile_x8y10_e6beg[2] , \tile_x8y10_e6beg[1] , \tile_x8y10_e6beg[0] }),
.bot_e6end({ \tile_x7y10_e6beg[11] , \tile_x7y10_e6beg[10] , \tile_x7y10_e6beg[9] , \tile_x7y10_e6beg[8] , \tile_x7y10_e6beg[7] , \tile_x7y10_e6beg[6] , \tile_x7y10_e6beg[5] , \tile_x7y10_e6beg[4] , \tile_x7y10_e6beg[3] , \tile_x7y10_e6beg[2] , \tile_x7y10_e6beg[1] , \tile_x7y10_e6beg[0] }),
.bot_ee4beg({ \tile_x8y10_ee4beg[15] , \tile_x8y10_ee4beg[14] , \tile_x8y10_ee4beg[13] , \tile_x8y10_ee4beg[12] , \tile_x8y10_ee4beg[11] , \tile_x8y10_ee4beg[10] , \tile_x8y10_ee4beg[9] , \tile_x8y10_ee4beg[8] , \tile_x8y10_ee4beg[7] , \tile_x8y10_ee4beg[6] , \tile_x8y10_ee4beg[5] , \tile_x8y10_ee4beg[4] , \tile_x8y10_ee4beg[3] , \tile_x8y10_ee4beg[2] , \tile_x8y10_ee4beg[1] , \tile_x8y10_ee4beg[0] }),
.bot_ee4end({ \tile_x7y10_ee4beg[15] , \tile_x7y10_ee4beg[14] , \tile_x7y10_ee4beg[13] , \tile_x7y10_ee4beg[12] , \tile_x7y10_ee4beg[11] , \tile_x7y10_ee4beg[10] , \tile_x7y10_ee4beg[9] , \tile_x7y10_ee4beg[8] , \tile_x7y10_ee4beg[7] , \tile_x7y10_ee4beg[6] , \tile_x7y10_ee4beg[5] , \tile_x7y10_ee4beg[4] , \tile_x7y10_ee4beg[3] , \tile_x7y10_ee4beg[2] , \tile_x7y10_ee4beg[1] , \tile_x7y10_ee4beg[0] }),
.bot_framedata({ \tile_x7y10_framedata_o[31] , \tile_x7y10_framedata_o[30] , \tile_x7y10_framedata_o[29] , \tile_x7y10_framedata_o[28] , \tile_x7y10_framedata_o[27] , \tile_x7y10_framedata_o[26] , \tile_x7y10_framedata_o[25] , \tile_x7y10_framedata_o[24] , \tile_x7y10_framedata_o[23] , \tile_x7y10_framedata_o[22] , \tile_x7y10_framedata_o[21] , \tile_x7y10_framedata_o[20] , \tile_x7y10_framedata_o[19] , \tile_x7y10_framedata_o[18] , \tile_x7y10_framedata_o[17] , \tile_x7y10_framedata_o[16] , \tile_x7y10_framedata_o[15] , \tile_x7y10_framedata_o[14] , \tile_x7y10_framedata_o[13] , \tile_x7y10_framedata_o[12] , \tile_x7y10_framedata_o[11] , \tile_x7y10_framedata_o[10] , \tile_x7y10_framedata_o[9] , \tile_x7y10_framedata_o[8] , \tile_x7y10_framedata_o[7] , \tile_x7y10_framedata_o[6] , \tile_x7y10_framedata_o[5] , \tile_x7y10_framedata_o[4] , \tile_x7y10_framedata_o[3] , \tile_x7y10_framedata_o[2] , \tile_x7y10_framedata_o[1] , \tile_x7y10_framedata_o[0] }),
.bot_framedata_o({ \tile_x8y10_framedata_o[31] , \tile_x8y10_framedata_o[30] , \tile_x8y10_framedata_o[29] , \tile_x8y10_framedata_o[28] , \tile_x8y10_framedata_o[27] , \tile_x8y10_framedata_o[26] , \tile_x8y10_framedata_o[25] , \tile_x8y10_framedata_o[24] , \tile_x8y10_framedata_o[23] , \tile_x8y10_framedata_o[22] , \tile_x8y10_framedata_o[21] , \tile_x8y10_framedata_o[20] , \tile_x8y10_framedata_o[19] , \tile_x8y10_framedata_o[18] , \tile_x8y10_framedata_o[17] , \tile_x8y10_framedata_o[16] , \tile_x8y10_framedata_o[15] , \tile_x8y10_framedata_o[14] , \tile_x8y10_framedata_o[13] , \tile_x8y10_framedata_o[12] , \tile_x8y10_framedata_o[11] , \tile_x8y10_framedata_o[10] , \tile_x8y10_framedata_o[9] , \tile_x8y10_framedata_o[8] , \tile_x8y10_framedata_o[7] , \tile_x8y10_framedata_o[6] , \tile_x8y10_framedata_o[5] , \tile_x8y10_framedata_o[4] , \tile_x8y10_framedata_o[3] , \tile_x8y10_framedata_o[2] , \tile_x8y10_framedata_o[1] , \tile_x8y10_framedata_o[0] }),
.bot_n1end({ \tile_x8y11_n1beg[3] , \tile_x8y11_n1beg[2] , \tile_x8y11_n1beg[1] , \tile_x8y11_n1beg[0] }),
.bot_n2end({ \tile_x8y11_n2begb[7] , \tile_x8y11_n2begb[6] , \tile_x8y11_n2begb[5] , \tile_x8y11_n2begb[4] , \tile_x8y11_n2begb[3] , \tile_x8y11_n2begb[2] , \tile_x8y11_n2begb[1] , \tile_x8y11_n2begb[0] }),
.bot_n2mid({ \tile_x8y11_n2beg[7] , \tile_x8y11_n2beg[6] , \tile_x8y11_n2beg[5] , \tile_x8y11_n2beg[4] , \tile_x8y11_n2beg[3] , \tile_x8y11_n2beg[2] , \tile_x8y11_n2beg[1] , \tile_x8y11_n2beg[0] }),
.bot_n4end({ \tile_x8y11_n4beg[15] , \tile_x8y11_n4beg[14] , \tile_x8y11_n4beg[13] , \tile_x8y11_n4beg[12] , \tile_x8y11_n4beg[11] , \tile_x8y11_n4beg[10] , \tile_x8y11_n4beg[9] , \tile_x8y11_n4beg[8] , \tile_x8y11_n4beg[7] , \tile_x8y11_n4beg[6] , \tile_x8y11_n4beg[5] , \tile_x8y11_n4beg[4] , \tile_x8y11_n4beg[3] , \tile_x8y11_n4beg[2] , \tile_x8y11_n4beg[1] , \tile_x8y11_n4beg[0] }),
.bot_nn4end({ \tile_x8y11_nn4beg[15] , \tile_x8y11_nn4beg[14] , \tile_x8y11_nn4beg[13] , \tile_x8y11_nn4beg[12] , \tile_x8y11_nn4beg[11] , \tile_x8y11_nn4beg[10] , \tile_x8y11_nn4beg[9] , \tile_x8y11_nn4beg[8] , \tile_x8y11_nn4beg[7] , \tile_x8y11_nn4beg[6] , \tile_x8y11_nn4beg[5] , \tile_x8y11_nn4beg[4] , \tile_x8y11_nn4beg[3] , \tile_x8y11_nn4beg[2] , \tile_x8y11_nn4beg[1] , \tile_x8y11_nn4beg[0] }),
.bot_s1beg({ \tile_x8y10_s1beg[3] , \tile_x8y10_s1beg[2] , \tile_x8y10_s1beg[1] , \tile_x8y10_s1beg[0] }),
.bot_s2beg({ \tile_x8y10_s2beg[7] , \tile_x8y10_s2beg[6] , \tile_x8y10_s2beg[5] , \tile_x8y10_s2beg[4] , \tile_x8y10_s2beg[3] , \tile_x8y10_s2beg[2] , \tile_x8y10_s2beg[1] , \tile_x8y10_s2beg[0] }),
.bot_s2begb({ \tile_x8y10_s2begb[7] , \tile_x8y10_s2begb[6] , \tile_x8y10_s2begb[5] , \tile_x8y10_s2begb[4] , \tile_x8y10_s2begb[3] , \tile_x8y10_s2begb[2] , \tile_x8y10_s2begb[1] , \tile_x8y10_s2begb[0] }),
.bot_s4beg({ \tile_x8y10_s4beg[15] , \tile_x8y10_s4beg[14] , \tile_x8y10_s4beg[13] , \tile_x8y10_s4beg[12] , \tile_x8y10_s4beg[11] , \tile_x8y10_s4beg[10] , \tile_x8y10_s4beg[9] , \tile_x8y10_s4beg[8] , \tile_x8y10_s4beg[7] , \tile_x8y10_s4beg[6] , \tile_x8y10_s4beg[5] , \tile_x8y10_s4beg[4] , \tile_x8y10_s4beg[3] , \tile_x8y10_s4beg[2] , \tile_x8y10_s4beg[1] , \tile_x8y10_s4beg[0] }),
.bot_ss4beg({ \tile_x8y10_ss4beg[15] , \tile_x8y10_ss4beg[14] , \tile_x8y10_ss4beg[13] , \tile_x8y10_ss4beg[12] , \tile_x8y10_ss4beg[11] , \tile_x8y10_ss4beg[10] , \tile_x8y10_ss4beg[9] , \tile_x8y10_ss4beg[8] , \tile_x8y10_ss4beg[7] , \tile_x8y10_ss4beg[6] , \tile_x8y10_ss4beg[5] , \tile_x8y10_ss4beg[4] , \tile_x8y10_ss4beg[3] , \tile_x8y10_ss4beg[2] , \tile_x8y10_ss4beg[1] , \tile_x8y10_ss4beg[0] }),
.bot_w1beg({ \tile_x8y10_w1beg[3] , \tile_x8y10_w1beg[2] , \tile_x8y10_w1beg[1] , \tile_x8y10_w1beg[0] }),
.bot_w1end({ \tile_x9y10_w1beg[3] , \tile_x9y10_w1beg[2] , \tile_x9y10_w1beg[1] , \tile_x9y10_w1beg[0] }),
.bot_w2beg({ \tile_x8y10_w2beg[7] , \tile_x8y10_w2beg[6] , \tile_x8y10_w2beg[5] , \tile_x8y10_w2beg[4] , \tile_x8y10_w2beg[3] , \tile_x8y10_w2beg[2] , \tile_x8y10_w2beg[1] , \tile_x8y10_w2beg[0] }),
.bot_w2begb({ \tile_x8y10_w2begb[7] , \tile_x8y10_w2begb[6] , \tile_x8y10_w2begb[5] , \tile_x8y10_w2begb[4] , \tile_x8y10_w2begb[3] , \tile_x8y10_w2begb[2] , \tile_x8y10_w2begb[1] , \tile_x8y10_w2begb[0] }),
.bot_w2end({ \tile_x9y10_w2begb[7] , \tile_x9y10_w2begb[6] , \tile_x9y10_w2begb[5] , \tile_x9y10_w2begb[4] , \tile_x9y10_w2begb[3] , \tile_x9y10_w2begb[2] , \tile_x9y10_w2begb[1] , \tile_x9y10_w2begb[0] }),
.bot_w2mid({ \tile_x9y10_w2beg[7] , \tile_x9y10_w2beg[6] , \tile_x9y10_w2beg[5] , \tile_x9y10_w2beg[4] , \tile_x9y10_w2beg[3] , \tile_x9y10_w2beg[2] , \tile_x9y10_w2beg[1] , \tile_x9y10_w2beg[0] }),
.bot_w6beg({ \tile_x8y10_w6beg[11] , \tile_x8y10_w6beg[10] , \tile_x8y10_w6beg[9] , \tile_x8y10_w6beg[8] , \tile_x8y10_w6beg[7] , \tile_x8y10_w6beg[6] , \tile_x8y10_w6beg[5] , \tile_x8y10_w6beg[4] , \tile_x8y10_w6beg[3] , \tile_x8y10_w6beg[2] , \tile_x8y10_w6beg[1] , \tile_x8y10_w6beg[0] }),
.bot_w6end({ \tile_x9y10_w6beg[11] , \tile_x9y10_w6beg[10] , \tile_x9y10_w6beg[9] , \tile_x9y10_w6beg[8] , \tile_x9y10_w6beg[7] , \tile_x9y10_w6beg[6] , \tile_x9y10_w6beg[5] , \tile_x9y10_w6beg[4] , \tile_x9y10_w6beg[3] , \tile_x9y10_w6beg[2] , \tile_x9y10_w6beg[1] , \tile_x9y10_w6beg[0] }),
.bot_ww4beg({ \tile_x8y10_ww4beg[15] , \tile_x8y10_ww4beg[14] , \tile_x8y10_ww4beg[13] , \tile_x8y10_ww4beg[12] , \tile_x8y10_ww4beg[11] , \tile_x8y10_ww4beg[10] , \tile_x8y10_ww4beg[9] , \tile_x8y10_ww4beg[8] , \tile_x8y10_ww4beg[7] , \tile_x8y10_ww4beg[6] , \tile_x8y10_ww4beg[5] , \tile_x8y10_ww4beg[4] , \tile_x8y10_ww4beg[3] , \tile_x8y10_ww4beg[2] , \tile_x8y10_ww4beg[1] , \tile_x8y10_ww4beg[0] }),
.bot_ww4end({ \tile_x9y10_ww4beg[15] , \tile_x9y10_ww4beg[14] , \tile_x9y10_ww4beg[13] , \tile_x9y10_ww4beg[12] , \tile_x9y10_ww4beg[11] , \tile_x9y10_ww4beg[10] , \tile_x9y10_ww4beg[9] , \tile_x9y10_ww4beg[8] , \tile_x9y10_ww4beg[7] , \tile_x9y10_ww4beg[6] , \tile_x9y10_ww4beg[5] , \tile_x9y10_ww4beg[4] , \tile_x9y10_ww4beg[3] , \tile_x9y10_ww4beg[2] , \tile_x9y10_ww4beg[1] , \tile_x9y10_ww4beg[0] }),
.top_e1beg({ \tile_x8y9_e1beg[3] , \tile_x8y9_e1beg[2] , \tile_x8y9_e1beg[1] , \tile_x8y9_e1beg[0] }),
.top_e1end({ \tile_x7y9_e1beg[3] , \tile_x7y9_e1beg[2] , \tile_x7y9_e1beg[1] , \tile_x7y9_e1beg[0] }),
.top_e2beg({ \tile_x8y9_e2beg[7] , \tile_x8y9_e2beg[6] , \tile_x8y9_e2beg[5] , \tile_x8y9_e2beg[4] , \tile_x8y9_e2beg[3] , \tile_x8y9_e2beg[2] , \tile_x8y9_e2beg[1] , \tile_x8y9_e2beg[0] }),
.top_e2begb({ \tile_x8y9_e2begb[7] , \tile_x8y9_e2begb[6] , \tile_x8y9_e2begb[5] , \tile_x8y9_e2begb[4] , \tile_x8y9_e2begb[3] , \tile_x8y9_e2begb[2] , \tile_x8y9_e2begb[1] , \tile_x8y9_e2begb[0] }),
.top_e2end({ \tile_x7y9_e2begb[7] , \tile_x7y9_e2begb[6] , \tile_x7y9_e2begb[5] , \tile_x7y9_e2begb[4] , \tile_x7y9_e2begb[3] , \tile_x7y9_e2begb[2] , \tile_x7y9_e2begb[1] , \tile_x7y9_e2begb[0] }),
.top_e2mid({ \tile_x7y9_e2beg[7] , \tile_x7y9_e2beg[6] , \tile_x7y9_e2beg[5] , \tile_x7y9_e2beg[4] , \tile_x7y9_e2beg[3] , \tile_x7y9_e2beg[2] , \tile_x7y9_e2beg[1] , \tile_x7y9_e2beg[0] }),
.top_e6beg({ \tile_x8y9_e6beg[11] , \tile_x8y9_e6beg[10] , \tile_x8y9_e6beg[9] , \tile_x8y9_e6beg[8] , \tile_x8y9_e6beg[7] , \tile_x8y9_e6beg[6] , \tile_x8y9_e6beg[5] , \tile_x8y9_e6beg[4] , \tile_x8y9_e6beg[3] , \tile_x8y9_e6beg[2] , \tile_x8y9_e6beg[1] , \tile_x8y9_e6beg[0] }),
.top_e6end({ \tile_x7y9_e6beg[11] , \tile_x7y9_e6beg[10] , \tile_x7y9_e6beg[9] , \tile_x7y9_e6beg[8] , \tile_x7y9_e6beg[7] , \tile_x7y9_e6beg[6] , \tile_x7y9_e6beg[5] , \tile_x7y9_e6beg[4] , \tile_x7y9_e6beg[3] , \tile_x7y9_e6beg[2] , \tile_x7y9_e6beg[1] , \tile_x7y9_e6beg[0] }),
.top_ee4beg({ \tile_x8y9_ee4beg[15] , \tile_x8y9_ee4beg[14] , \tile_x8y9_ee4beg[13] , \tile_x8y9_ee4beg[12] , \tile_x8y9_ee4beg[11] , \tile_x8y9_ee4beg[10] , \tile_x8y9_ee4beg[9] , \tile_x8y9_ee4beg[8] , \tile_x8y9_ee4beg[7] , \tile_x8y9_ee4beg[6] , \tile_x8y9_ee4beg[5] , \tile_x8y9_ee4beg[4] , \tile_x8y9_ee4beg[3] , \tile_x8y9_ee4beg[2] , \tile_x8y9_ee4beg[1] , \tile_x8y9_ee4beg[0] }),
.top_ee4end({ \tile_x7y9_ee4beg[15] , \tile_x7y9_ee4beg[14] , \tile_x7y9_ee4beg[13] , \tile_x7y9_ee4beg[12] , \tile_x7y9_ee4beg[11] , \tile_x7y9_ee4beg[10] , \tile_x7y9_ee4beg[9] , \tile_x7y9_ee4beg[8] , \tile_x7y9_ee4beg[7] , \tile_x7y9_ee4beg[6] , \tile_x7y9_ee4beg[5] , \tile_x7y9_ee4beg[4] , \tile_x7y9_ee4beg[3] , \tile_x7y9_ee4beg[2] , \tile_x7y9_ee4beg[1] , \tile_x7y9_ee4beg[0] }),
.top_framedata({ \tile_x7y9_framedata_o[31] , \tile_x7y9_framedata_o[30] , \tile_x7y9_framedata_o[29] , \tile_x7y9_framedata_o[28] , \tile_x7y9_framedata_o[27] , \tile_x7y9_framedata_o[26] , \tile_x7y9_framedata_o[25] , \tile_x7y9_framedata_o[24] , \tile_x7y9_framedata_o[23] , \tile_x7y9_framedata_o[22] , \tile_x7y9_framedata_o[21] , \tile_x7y9_framedata_o[20] , \tile_x7y9_framedata_o[19] , \tile_x7y9_framedata_o[18] , \tile_x7y9_framedata_o[17] , \tile_x7y9_framedata_o[16] , \tile_x7y9_framedata_o[15] , \tile_x7y9_framedata_o[14] , \tile_x7y9_framedata_o[13] , \tile_x7y9_framedata_o[12] , \tile_x7y9_framedata_o[11] , \tile_x7y9_framedata_o[10] , \tile_x7y9_framedata_o[9] , \tile_x7y9_framedata_o[8] , \tile_x7y9_framedata_o[7] , \tile_x7y9_framedata_o[6] , \tile_x7y9_framedata_o[5] , \tile_x7y9_framedata_o[4] , \tile_x7y9_framedata_o[3] , \tile_x7y9_framedata_o[2] , \tile_x7y9_framedata_o[1] , \tile_x7y9_framedata_o[0] }),
.top_framedata_o({ \tile_x8y9_framedata_o[31] , \tile_x8y9_framedata_o[30] , \tile_x8y9_framedata_o[29] , \tile_x8y9_framedata_o[28] , \tile_x8y9_framedata_o[27] , \tile_x8y9_framedata_o[26] , \tile_x8y9_framedata_o[25] , \tile_x8y9_framedata_o[24] , \tile_x8y9_framedata_o[23] , \tile_x8y9_framedata_o[22] , \tile_x8y9_framedata_o[21] , \tile_x8y9_framedata_o[20] , \tile_x8y9_framedata_o[19] , \tile_x8y9_framedata_o[18] , \tile_x8y9_framedata_o[17] , \tile_x8y9_framedata_o[16] , \tile_x8y9_framedata_o[15] , \tile_x8y9_framedata_o[14] , \tile_x8y9_framedata_o[13] , \tile_x8y9_framedata_o[12] , \tile_x8y9_framedata_o[11] , \tile_x8y9_framedata_o[10] , \tile_x8y9_framedata_o[9] , \tile_x8y9_framedata_o[8] , \tile_x8y9_framedata_o[7] , \tile_x8y9_framedata_o[6] , \tile_x8y9_framedata_o[5] , \tile_x8y9_framedata_o[4] , \tile_x8y9_framedata_o[3] , \tile_x8y9_framedata_o[2] , \tile_x8y9_framedata_o[1] , \tile_x8y9_framedata_o[0] }),
.top_n1beg({ \tile_x8y9_n1beg[3] , \tile_x8y9_n1beg[2] , \tile_x8y9_n1beg[1] , \tile_x8y9_n1beg[0] }),
.top_n2beg({ \tile_x8y9_n2beg[7] , \tile_x8y9_n2beg[6] , \tile_x8y9_n2beg[5] , \tile_x8y9_n2beg[4] , \tile_x8y9_n2beg[3] , \tile_x8y9_n2beg[2] , \tile_x8y9_n2beg[1] , \tile_x8y9_n2beg[0] }),
.top_n2begb({ \tile_x8y9_n2begb[7] , \tile_x8y9_n2begb[6] , \tile_x8y9_n2begb[5] , \tile_x8y9_n2begb[4] , \tile_x8y9_n2begb[3] , \tile_x8y9_n2begb[2] , \tile_x8y9_n2begb[1] , \tile_x8y9_n2begb[0] }),
.top_n4beg({ \tile_x8y9_n4beg[15] , \tile_x8y9_n4beg[14] , \tile_x8y9_n4beg[13] , \tile_x8y9_n4beg[12] , \tile_x8y9_n4beg[11] , \tile_x8y9_n4beg[10] , \tile_x8y9_n4beg[9] , \tile_x8y9_n4beg[8] , \tile_x8y9_n4beg[7] , \tile_x8y9_n4beg[6] , \tile_x8y9_n4beg[5] , \tile_x8y9_n4beg[4] , \tile_x8y9_n4beg[3] , \tile_x8y9_n4beg[2] , \tile_x8y9_n4beg[1] , \tile_x8y9_n4beg[0] }),
.top_nn4beg({ \tile_x8y9_nn4beg[15] , \tile_x8y9_nn4beg[14] , \tile_x8y9_nn4beg[13] , \tile_x8y9_nn4beg[12] , \tile_x8y9_nn4beg[11] , \tile_x8y9_nn4beg[10] , \tile_x8y9_nn4beg[9] , \tile_x8y9_nn4beg[8] , \tile_x8y9_nn4beg[7] , \tile_x8y9_nn4beg[6] , \tile_x8y9_nn4beg[5] , \tile_x8y9_nn4beg[4] , \tile_x8y9_nn4beg[3] , \tile_x8y9_nn4beg[2] , \tile_x8y9_nn4beg[1] , \tile_x8y9_nn4beg[0] }),
.top_s1end({ \tile_x8y8_s1beg[3] , \tile_x8y8_s1beg[2] , \tile_x8y8_s1beg[1] , \tile_x8y8_s1beg[0] }),
.top_s2end({ \tile_x8y8_s2begb[7] , \tile_x8y8_s2begb[6] , \tile_x8y8_s2begb[5] , \tile_x8y8_s2begb[4] , \tile_x8y8_s2begb[3] , \tile_x8y8_s2begb[2] , \tile_x8y8_s2begb[1] , \tile_x8y8_s2begb[0] }),
.top_s2mid({ \tile_x8y8_s2beg[7] , \tile_x8y8_s2beg[6] , \tile_x8y8_s2beg[5] , \tile_x8y8_s2beg[4] , \tile_x8y8_s2beg[3] , \tile_x8y8_s2beg[2] , \tile_x8y8_s2beg[1] , \tile_x8y8_s2beg[0] }),
.top_s4end({ \tile_x8y8_s4beg[15] , \tile_x8y8_s4beg[14] , \tile_x8y8_s4beg[13] , \tile_x8y8_s4beg[12] , \tile_x8y8_s4beg[11] , \tile_x8y8_s4beg[10] , \tile_x8y8_s4beg[9] , \tile_x8y8_s4beg[8] , \tile_x8y8_s4beg[7] , \tile_x8y8_s4beg[6] , \tile_x8y8_s4beg[5] , \tile_x8y8_s4beg[4] , \tile_x8y8_s4beg[3] , \tile_x8y8_s4beg[2] , \tile_x8y8_s4beg[1] , \tile_x8y8_s4beg[0] }),
.top_ss4end({ \tile_x8y8_ss4beg[15] , \tile_x8y8_ss4beg[14] , \tile_x8y8_ss4beg[13] , \tile_x8y8_ss4beg[12] , \tile_x8y8_ss4beg[11] , \tile_x8y8_ss4beg[10] , \tile_x8y8_ss4beg[9] , \tile_x8y8_ss4beg[8] , \tile_x8y8_ss4beg[7] , \tile_x8y8_ss4beg[6] , \tile_x8y8_ss4beg[5] , \tile_x8y8_ss4beg[4] , \tile_x8y8_ss4beg[3] , \tile_x8y8_ss4beg[2] , \tile_x8y8_ss4beg[1] , \tile_x8y8_ss4beg[0] }),
.top_w1beg({ \tile_x8y9_w1beg[3] , \tile_x8y9_w1beg[2] , \tile_x8y9_w1beg[1] , \tile_x8y9_w1beg[0] }),
.top_w1end({ \tile_x9y9_w1beg[3] , \tile_x9y9_w1beg[2] , \tile_x9y9_w1beg[1] , \tile_x9y9_w1beg[0] }),
.top_w2beg({ \tile_x8y9_w2beg[7] , \tile_x8y9_w2beg[6] , \tile_x8y9_w2beg[5] , \tile_x8y9_w2beg[4] , \tile_x8y9_w2beg[3] , \tile_x8y9_w2beg[2] , \tile_x8y9_w2beg[1] , \tile_x8y9_w2beg[0] }),
.top_w2begb({ \tile_x8y9_w2begb[7] , \tile_x8y9_w2begb[6] , \tile_x8y9_w2begb[5] , \tile_x8y9_w2begb[4] , \tile_x8y9_w2begb[3] , \tile_x8y9_w2begb[2] , \tile_x8y9_w2begb[1] , \tile_x8y9_w2begb[0] }),
.top_w2end({ \tile_x9y9_w2begb[7] , \tile_x9y9_w2begb[6] , \tile_x9y9_w2begb[5] , \tile_x9y9_w2begb[4] , \tile_x9y9_w2begb[3] , \tile_x9y9_w2begb[2] , \tile_x9y9_w2begb[1] , \tile_x9y9_w2begb[0] }),
.top_w2mid({ \tile_x9y9_w2beg[7] , \tile_x9y9_w2beg[6] , \tile_x9y9_w2beg[5] , \tile_x9y9_w2beg[4] , \tile_x9y9_w2beg[3] , \tile_x9y9_w2beg[2] , \tile_x9y9_w2beg[1] , \tile_x9y9_w2beg[0] }),
.top_w6beg({ \tile_x8y9_w6beg[11] , \tile_x8y9_w6beg[10] , \tile_x8y9_w6beg[9] , \tile_x8y9_w6beg[8] , \tile_x8y9_w6beg[7] , \tile_x8y9_w6beg[6] , \tile_x8y9_w6beg[5] , \tile_x8y9_w6beg[4] , \tile_x8y9_w6beg[3] , \tile_x8y9_w6beg[2] , \tile_x8y9_w6beg[1] , \tile_x8y9_w6beg[0] }),
.top_w6end({ \tile_x9y9_w6beg[11] , \tile_x9y9_w6beg[10] , \tile_x9y9_w6beg[9] , \tile_x9y9_w6beg[8] , \tile_x9y9_w6beg[7] , \tile_x9y9_w6beg[6] , \tile_x9y9_w6beg[5] , \tile_x9y9_w6beg[4] , \tile_x9y9_w6beg[3] , \tile_x9y9_w6beg[2] , \tile_x9y9_w6beg[1] , \tile_x9y9_w6beg[0] }),
.top_ww4beg({ \tile_x8y9_ww4beg[15] , \tile_x8y9_ww4beg[14] , \tile_x8y9_ww4beg[13] , \tile_x8y9_ww4beg[12] , \tile_x8y9_ww4beg[11] , \tile_x8y9_ww4beg[10] , \tile_x8y9_ww4beg[9] , \tile_x8y9_ww4beg[8] , \tile_x8y9_ww4beg[7] , \tile_x8y9_ww4beg[6] , \tile_x8y9_ww4beg[5] , \tile_x8y9_ww4beg[4] , \tile_x8y9_ww4beg[3] , \tile_x8y9_ww4beg[2] , \tile_x8y9_ww4beg[1] , \tile_x8y9_ww4beg[0] }),
.top_ww4end({ \tile_x9y9_ww4beg[15] , \tile_x9y9_ww4beg[14] , \tile_x9y9_ww4beg[13] , \tile_x9y9_ww4beg[12] , \tile_x9y9_ww4beg[11] , \tile_x9y9_ww4beg[10] , \tile_x9y9_ww4beg[9] , \tile_x9y9_ww4beg[8] , \tile_x9y9_ww4beg[7] , \tile_x9y9_ww4beg[6] , \tile_x9y9_ww4beg[5] , \tile_x9y9_ww4beg[4] , \tile_x9y9_ww4beg[3] , \tile_x9y9_ww4beg[2] , \tile_x9y9_ww4beg[1] , \tile_x9y9_ww4beg[0] })
);
n_term_single tile_x9y0_n_term_single (
.ci(tile_x9y1_co),
.framestrobe({ \tile_x9y1_framestrobe_o[19] , \tile_x9y1_framestrobe_o[18] , \tile_x9y1_framestrobe_o[17] , \tile_x9y1_framestrobe_o[16] , \tile_x9y1_framestrobe_o[15] , \tile_x9y1_framestrobe_o[14] , \tile_x9y1_framestrobe_o[13] , \tile_x9y1_framestrobe_o[12] , \tile_x9y1_framestrobe_o[11] , \tile_x9y1_framestrobe_o[10] , \tile_x9y1_framestrobe_o[9] , \tile_x9y1_framestrobe_o[8] , \tile_x9y1_framestrobe_o[7] , \tile_x9y1_framestrobe_o[6] , \tile_x9y1_framestrobe_o[5] , \tile_x9y1_framestrobe_o[4] , \tile_x9y1_framestrobe_o[3] , \tile_x9y1_framestrobe_o[2] , \tile_x9y1_framestrobe_o[1] , \tile_x9y1_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y0_framestrobe_o[19] , \tile_x9y0_framestrobe_o[18] , \tile_x9y0_framestrobe_o[17] , \tile_x9y0_framestrobe_o[16] , \tile_x9y0_framestrobe_o[15] , \tile_x9y0_framestrobe_o[14] , \tile_x9y0_framestrobe_o[13] , \tile_x9y0_framestrobe_o[12] , \tile_x9y0_framestrobe_o[11] , \tile_x9y0_framestrobe_o[10] , \tile_x9y0_framestrobe_o[9] , \tile_x9y0_framestrobe_o[8] , \tile_x9y0_framestrobe_o[7] , \tile_x9y0_framestrobe_o[6] , \tile_x9y0_framestrobe_o[5] , \tile_x9y0_framestrobe_o[4] , \tile_x9y0_framestrobe_o[3] , \tile_x9y0_framestrobe_o[2] , \tile_x9y0_framestrobe_o[1] , \tile_x9y0_framestrobe_o[0] }),
.n1end({ \tile_x9y1_n1beg[3] , \tile_x9y1_n1beg[2] , \tile_x9y1_n1beg[1] , \tile_x9y1_n1beg[0] }),
.n2end({ \tile_x9y1_n2begb[7] , \tile_x9y1_n2begb[6] , \tile_x9y1_n2begb[5] , \tile_x9y1_n2begb[4] , \tile_x9y1_n2begb[3] , \tile_x9y1_n2begb[2] , \tile_x9y1_n2begb[1] , \tile_x9y1_n2begb[0] }),
.n2mid({ \tile_x9y1_n2beg[7] , \tile_x9y1_n2beg[6] , \tile_x9y1_n2beg[5] , \tile_x9y1_n2beg[4] , \tile_x9y1_n2beg[3] , \tile_x9y1_n2beg[2] , \tile_x9y1_n2beg[1] , \tile_x9y1_n2beg[0] }),
.n4end({ \tile_x9y1_n4beg[15] , \tile_x9y1_n4beg[14] , \tile_x9y1_n4beg[13] , \tile_x9y1_n4beg[12] , \tile_x9y1_n4beg[11] , \tile_x9y1_n4beg[10] , \tile_x9y1_n4beg[9] , \tile_x9y1_n4beg[8] , \tile_x9y1_n4beg[7] , \tile_x9y1_n4beg[6] , \tile_x9y1_n4beg[5] , \tile_x9y1_n4beg[4] , \tile_x9y1_n4beg[3] , \tile_x9y1_n4beg[2] , \tile_x9y1_n4beg[1] , \tile_x9y1_n4beg[0] }),
.nn4end({ \tile_x9y1_nn4beg[15] , \tile_x9y1_nn4beg[14] , \tile_x9y1_nn4beg[13] , \tile_x9y1_nn4beg[12] , \tile_x9y1_nn4beg[11] , \tile_x9y1_nn4beg[10] , \tile_x9y1_nn4beg[9] , \tile_x9y1_nn4beg[8] , \tile_x9y1_nn4beg[7] , \tile_x9y1_nn4beg[6] , \tile_x9y1_nn4beg[5] , \tile_x9y1_nn4beg[4] , \tile_x9y1_nn4beg[3] , \tile_x9y1_nn4beg[2] , \tile_x9y1_nn4beg[1] , \tile_x9y1_nn4beg[0] }),
.s1beg({ \tile_x9y0_s1beg[3] , \tile_x9y0_s1beg[2] , \tile_x9y0_s1beg[1] , \tile_x9y0_s1beg[0] }),
.s2beg({ \tile_x9y0_s2beg[7] , \tile_x9y0_s2beg[6] , \tile_x9y0_s2beg[5] , \tile_x9y0_s2beg[4] , \tile_x9y0_s2beg[3] , \tile_x9y0_s2beg[2] , \tile_x9y0_s2beg[1] , \tile_x9y0_s2beg[0] }),
.s2begb({ \tile_x9y0_s2begb[7] , \tile_x9y0_s2begb[6] , \tile_x9y0_s2begb[5] , \tile_x9y0_s2begb[4] , \tile_x9y0_s2begb[3] , \tile_x9y0_s2begb[2] , \tile_x9y0_s2begb[1] , \tile_x9y0_s2begb[0] }),
.s4beg({ \tile_x9y0_s4beg[15] , \tile_x9y0_s4beg[14] , \tile_x9y0_s4beg[13] , \tile_x9y0_s4beg[12] , \tile_x9y0_s4beg[11] , \tile_x9y0_s4beg[10] , \tile_x9y0_s4beg[9] , \tile_x9y0_s4beg[8] , \tile_x9y0_s4beg[7] , \tile_x9y0_s4beg[6] , \tile_x9y0_s4beg[5] , \tile_x9y0_s4beg[4] , \tile_x9y0_s4beg[3] , \tile_x9y0_s4beg[2] , \tile_x9y0_s4beg[1] , \tile_x9y0_s4beg[0] }),
.ss4beg({ \tile_x9y0_ss4beg[15] , \tile_x9y0_ss4beg[14] , \tile_x9y0_ss4beg[13] , \tile_x9y0_ss4beg[12] , \tile_x9y0_ss4beg[11] , \tile_x9y0_ss4beg[10] , \tile_x9y0_ss4beg[9] , \tile_x9y0_ss4beg[8] , \tile_x9y0_ss4beg[7] , \tile_x9y0_ss4beg[6] , \tile_x9y0_ss4beg[5] , \tile_x9y0_ss4beg[4] , \tile_x9y0_ss4beg[3] , \tile_x9y0_ss4beg[2] , \tile_x9y0_ss4beg[1] , \tile_x9y0_ss4beg[0] }),
.userclk(tile_x9y1_userclko),
.userclko(tile_x9y0_userclko)
);
lut4ab tile_x9y10_lut4ab (
.ci(tile_x9y11_co),
.co(tile_x9y10_co),
.e1beg({ \tile_x9y10_e1beg[3] , \tile_x9y10_e1beg[2] , \tile_x9y10_e1beg[1] , \tile_x9y10_e1beg[0] }),
.e1end({ \tile_x8y10_e1beg[3] , \tile_x8y10_e1beg[2] , \tile_x8y10_e1beg[1] , \tile_x8y10_e1beg[0] }),
.e2beg({ \tile_x9y10_e2beg[7] , \tile_x9y10_e2beg[6] , \tile_x9y10_e2beg[5] , \tile_x9y10_e2beg[4] , \tile_x9y10_e2beg[3] , \tile_x9y10_e2beg[2] , \tile_x9y10_e2beg[1] , \tile_x9y10_e2beg[0] }),
.e2begb({ \tile_x9y10_e2begb[7] , \tile_x9y10_e2begb[6] , \tile_x9y10_e2begb[5] , \tile_x9y10_e2begb[4] , \tile_x9y10_e2begb[3] , \tile_x9y10_e2begb[2] , \tile_x9y10_e2begb[1] , \tile_x9y10_e2begb[0] }),
.e2end({ \tile_x8y10_e2begb[7] , \tile_x8y10_e2begb[6] , \tile_x8y10_e2begb[5] , \tile_x8y10_e2begb[4] , \tile_x8y10_e2begb[3] , \tile_x8y10_e2begb[2] , \tile_x8y10_e2begb[1] , \tile_x8y10_e2begb[0] }),
.e2mid({ \tile_x8y10_e2beg[7] , \tile_x8y10_e2beg[6] , \tile_x8y10_e2beg[5] , \tile_x8y10_e2beg[4] , \tile_x8y10_e2beg[3] , \tile_x8y10_e2beg[2] , \tile_x8y10_e2beg[1] , \tile_x8y10_e2beg[0] }),
.e6beg({ \tile_x9y10_e6beg[11] , \tile_x9y10_e6beg[10] , \tile_x9y10_e6beg[9] , \tile_x9y10_e6beg[8] , \tile_x9y10_e6beg[7] , \tile_x9y10_e6beg[6] , \tile_x9y10_e6beg[5] , \tile_x9y10_e6beg[4] , \tile_x9y10_e6beg[3] , \tile_x9y10_e6beg[2] , \tile_x9y10_e6beg[1] , \tile_x9y10_e6beg[0] }),
.e6end({ \tile_x8y10_e6beg[11] , \tile_x8y10_e6beg[10] , \tile_x8y10_e6beg[9] , \tile_x8y10_e6beg[8] , \tile_x8y10_e6beg[7] , \tile_x8y10_e6beg[6] , \tile_x8y10_e6beg[5] , \tile_x8y10_e6beg[4] , \tile_x8y10_e6beg[3] , \tile_x8y10_e6beg[2] , \tile_x8y10_e6beg[1] , \tile_x8y10_e6beg[0] }),
.ee4beg({ \tile_x9y10_ee4beg[15] , \tile_x9y10_ee4beg[14] , \tile_x9y10_ee4beg[13] , \tile_x9y10_ee4beg[12] , \tile_x9y10_ee4beg[11] , \tile_x9y10_ee4beg[10] , \tile_x9y10_ee4beg[9] , \tile_x9y10_ee4beg[8] , \tile_x9y10_ee4beg[7] , \tile_x9y10_ee4beg[6] , \tile_x9y10_ee4beg[5] , \tile_x9y10_ee4beg[4] , \tile_x9y10_ee4beg[3] , \tile_x9y10_ee4beg[2] , \tile_x9y10_ee4beg[1] , \tile_x9y10_ee4beg[0] }),
.ee4end({ \tile_x8y10_ee4beg[15] , \tile_x8y10_ee4beg[14] , \tile_x8y10_ee4beg[13] , \tile_x8y10_ee4beg[12] , \tile_x8y10_ee4beg[11] , \tile_x8y10_ee4beg[10] , \tile_x8y10_ee4beg[9] , \tile_x8y10_ee4beg[8] , \tile_x8y10_ee4beg[7] , \tile_x8y10_ee4beg[6] , \tile_x8y10_ee4beg[5] , \tile_x8y10_ee4beg[4] , \tile_x8y10_ee4beg[3] , \tile_x8y10_ee4beg[2] , \tile_x8y10_ee4beg[1] , \tile_x8y10_ee4beg[0] }),
.framedata({ \tile_x8y10_framedata_o[31] , \tile_x8y10_framedata_o[30] , \tile_x8y10_framedata_o[29] , \tile_x8y10_framedata_o[28] , \tile_x8y10_framedata_o[27] , \tile_x8y10_framedata_o[26] , \tile_x8y10_framedata_o[25] , \tile_x8y10_framedata_o[24] , \tile_x8y10_framedata_o[23] , \tile_x8y10_framedata_o[22] , \tile_x8y10_framedata_o[21] , \tile_x8y10_framedata_o[20] , \tile_x8y10_framedata_o[19] , \tile_x8y10_framedata_o[18] , \tile_x8y10_framedata_o[17] , \tile_x8y10_framedata_o[16] , \tile_x8y10_framedata_o[15] , \tile_x8y10_framedata_o[14] , \tile_x8y10_framedata_o[13] , \tile_x8y10_framedata_o[12] , \tile_x8y10_framedata_o[11] , \tile_x8y10_framedata_o[10] , \tile_x8y10_framedata_o[9] , \tile_x8y10_framedata_o[8] , \tile_x8y10_framedata_o[7] , \tile_x8y10_framedata_o[6] , \tile_x8y10_framedata_o[5] , \tile_x8y10_framedata_o[4] , \tile_x8y10_framedata_o[3] , \tile_x8y10_framedata_o[2] , \tile_x8y10_framedata_o[1] , \tile_x8y10_framedata_o[0] }),
.framedata_o({ \tile_x9y10_framedata_o[31] , \tile_x9y10_framedata_o[30] , \tile_x9y10_framedata_o[29] , \tile_x9y10_framedata_o[28] , \tile_x9y10_framedata_o[27] , \tile_x9y10_framedata_o[26] , \tile_x9y10_framedata_o[25] , \tile_x9y10_framedata_o[24] , \tile_x9y10_framedata_o[23] , \tile_x9y10_framedata_o[22] , \tile_x9y10_framedata_o[21] , \tile_x9y10_framedata_o[20] , \tile_x9y10_framedata_o[19] , \tile_x9y10_framedata_o[18] , \tile_x9y10_framedata_o[17] , \tile_x9y10_framedata_o[16] , \tile_x9y10_framedata_o[15] , \tile_x9y10_framedata_o[14] , \tile_x9y10_framedata_o[13] , \tile_x9y10_framedata_o[12] , \tile_x9y10_framedata_o[11] , \tile_x9y10_framedata_o[10] , \tile_x9y10_framedata_o[9] , \tile_x9y10_framedata_o[8] , \tile_x9y10_framedata_o[7] , \tile_x9y10_framedata_o[6] , \tile_x9y10_framedata_o[5] , \tile_x9y10_framedata_o[4] , \tile_x9y10_framedata_o[3] , \tile_x9y10_framedata_o[2] , \tile_x9y10_framedata_o[1] , \tile_x9y10_framedata_o[0] }),
.framestrobe({ \tile_x9y11_framestrobe_o[19] , \tile_x9y11_framestrobe_o[18] , \tile_x9y11_framestrobe_o[17] , \tile_x9y11_framestrobe_o[16] , \tile_x9y11_framestrobe_o[15] , \tile_x9y11_framestrobe_o[14] , \tile_x9y11_framestrobe_o[13] , \tile_x9y11_framestrobe_o[12] , \tile_x9y11_framestrobe_o[11] , \tile_x9y11_framestrobe_o[10] , \tile_x9y11_framestrobe_o[9] , \tile_x9y11_framestrobe_o[8] , \tile_x9y11_framestrobe_o[7] , \tile_x9y11_framestrobe_o[6] , \tile_x9y11_framestrobe_o[5] , \tile_x9y11_framestrobe_o[4] , \tile_x9y11_framestrobe_o[3] , \tile_x9y11_framestrobe_o[2] , \tile_x9y11_framestrobe_o[1] , \tile_x9y11_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y10_framestrobe_o[19] , \tile_x9y10_framestrobe_o[18] , \tile_x9y10_framestrobe_o[17] , \tile_x9y10_framestrobe_o[16] , \tile_x9y10_framestrobe_o[15] , \tile_x9y10_framestrobe_o[14] , \tile_x9y10_framestrobe_o[13] , \tile_x9y10_framestrobe_o[12] , \tile_x9y10_framestrobe_o[11] , \tile_x9y10_framestrobe_o[10] , \tile_x9y10_framestrobe_o[9] , \tile_x9y10_framestrobe_o[8] , \tile_x9y10_framestrobe_o[7] , \tile_x9y10_framestrobe_o[6] , \tile_x9y10_framestrobe_o[5] , \tile_x9y10_framestrobe_o[4] , \tile_x9y10_framestrobe_o[3] , \tile_x9y10_framestrobe_o[2] , \tile_x9y10_framestrobe_o[1] , \tile_x9y10_framestrobe_o[0] }),
.n1beg({ \tile_x9y10_n1beg[3] , \tile_x9y10_n1beg[2] , \tile_x9y10_n1beg[1] , \tile_x9y10_n1beg[0] }),
.n1end({ \tile_x9y11_n1beg[3] , \tile_x9y11_n1beg[2] , \tile_x9y11_n1beg[1] , \tile_x9y11_n1beg[0] }),
.n2beg({ \tile_x9y10_n2beg[7] , \tile_x9y10_n2beg[6] , \tile_x9y10_n2beg[5] , \tile_x9y10_n2beg[4] , \tile_x9y10_n2beg[3] , \tile_x9y10_n2beg[2] , \tile_x9y10_n2beg[1] , \tile_x9y10_n2beg[0] }),
.n2begb({ \tile_x9y10_n2begb[7] , \tile_x9y10_n2begb[6] , \tile_x9y10_n2begb[5] , \tile_x9y10_n2begb[4] , \tile_x9y10_n2begb[3] , \tile_x9y10_n2begb[2] , \tile_x9y10_n2begb[1] , \tile_x9y10_n2begb[0] }),
.n2end({ \tile_x9y11_n2begb[7] , \tile_x9y11_n2begb[6] , \tile_x9y11_n2begb[5] , \tile_x9y11_n2begb[4] , \tile_x9y11_n2begb[3] , \tile_x9y11_n2begb[2] , \tile_x9y11_n2begb[1] , \tile_x9y11_n2begb[0] }),
.n2mid({ \tile_x9y11_n2beg[7] , \tile_x9y11_n2beg[6] , \tile_x9y11_n2beg[5] , \tile_x9y11_n2beg[4] , \tile_x9y11_n2beg[3] , \tile_x9y11_n2beg[2] , \tile_x9y11_n2beg[1] , \tile_x9y11_n2beg[0] }),
.n4beg({ \tile_x9y10_n4beg[15] , \tile_x9y10_n4beg[14] , \tile_x9y10_n4beg[13] , \tile_x9y10_n4beg[12] , \tile_x9y10_n4beg[11] , \tile_x9y10_n4beg[10] , \tile_x9y10_n4beg[9] , \tile_x9y10_n4beg[8] , \tile_x9y10_n4beg[7] , \tile_x9y10_n4beg[6] , \tile_x9y10_n4beg[5] , \tile_x9y10_n4beg[4] , \tile_x9y10_n4beg[3] , \tile_x9y10_n4beg[2] , \tile_x9y10_n4beg[1] , \tile_x9y10_n4beg[0] }),
.n4end({ \tile_x9y11_n4beg[15] , \tile_x9y11_n4beg[14] , \tile_x9y11_n4beg[13] , \tile_x9y11_n4beg[12] , \tile_x9y11_n4beg[11] , \tile_x9y11_n4beg[10] , \tile_x9y11_n4beg[9] , \tile_x9y11_n4beg[8] , \tile_x9y11_n4beg[7] , \tile_x9y11_n4beg[6] , \tile_x9y11_n4beg[5] , \tile_x9y11_n4beg[4] , \tile_x9y11_n4beg[3] , \tile_x9y11_n4beg[2] , \tile_x9y11_n4beg[1] , \tile_x9y11_n4beg[0] }),
.nn4beg({ \tile_x9y10_nn4beg[15] , \tile_x9y10_nn4beg[14] , \tile_x9y10_nn4beg[13] , \tile_x9y10_nn4beg[12] , \tile_x9y10_nn4beg[11] , \tile_x9y10_nn4beg[10] , \tile_x9y10_nn4beg[9] , \tile_x9y10_nn4beg[8] , \tile_x9y10_nn4beg[7] , \tile_x9y10_nn4beg[6] , \tile_x9y10_nn4beg[5] , \tile_x9y10_nn4beg[4] , \tile_x9y10_nn4beg[3] , \tile_x9y10_nn4beg[2] , \tile_x9y10_nn4beg[1] , \tile_x9y10_nn4beg[0] }),
.nn4end({ \tile_x9y11_nn4beg[15] , \tile_x9y11_nn4beg[14] , \tile_x9y11_nn4beg[13] , \tile_x9y11_nn4beg[12] , \tile_x9y11_nn4beg[11] , \tile_x9y11_nn4beg[10] , \tile_x9y11_nn4beg[9] , \tile_x9y11_nn4beg[8] , \tile_x9y11_nn4beg[7] , \tile_x9y11_nn4beg[6] , \tile_x9y11_nn4beg[5] , \tile_x9y11_nn4beg[4] , \tile_x9y11_nn4beg[3] , \tile_x9y11_nn4beg[2] , \tile_x9y11_nn4beg[1] , \tile_x9y11_nn4beg[0] }),
.s1beg({ \tile_x9y10_s1beg[3] , \tile_x9y10_s1beg[2] , \tile_x9y10_s1beg[1] , \tile_x9y10_s1beg[0] }),
.s1end({ \tile_x9y9_s1beg[3] , \tile_x9y9_s1beg[2] , \tile_x9y9_s1beg[1] , \tile_x9y9_s1beg[0] }),
.s2beg({ \tile_x9y10_s2beg[7] , \tile_x9y10_s2beg[6] , \tile_x9y10_s2beg[5] , \tile_x9y10_s2beg[4] , \tile_x9y10_s2beg[3] , \tile_x9y10_s2beg[2] , \tile_x9y10_s2beg[1] , \tile_x9y10_s2beg[0] }),
.s2begb({ \tile_x9y10_s2begb[7] , \tile_x9y10_s2begb[6] , \tile_x9y10_s2begb[5] , \tile_x9y10_s2begb[4] , \tile_x9y10_s2begb[3] , \tile_x9y10_s2begb[2] , \tile_x9y10_s2begb[1] , \tile_x9y10_s2begb[0] }),
.s2end({ \tile_x9y9_s2begb[7] , \tile_x9y9_s2begb[6] , \tile_x9y9_s2begb[5] , \tile_x9y9_s2begb[4] , \tile_x9y9_s2begb[3] , \tile_x9y9_s2begb[2] , \tile_x9y9_s2begb[1] , \tile_x9y9_s2begb[0] }),
.s2mid({ \tile_x9y9_s2beg[7] , \tile_x9y9_s2beg[6] , \tile_x9y9_s2beg[5] , \tile_x9y9_s2beg[4] , \tile_x9y9_s2beg[3] , \tile_x9y9_s2beg[2] , \tile_x9y9_s2beg[1] , \tile_x9y9_s2beg[0] }),
.s4beg({ \tile_x9y10_s4beg[15] , \tile_x9y10_s4beg[14] , \tile_x9y10_s4beg[13] , \tile_x9y10_s4beg[12] , \tile_x9y10_s4beg[11] , \tile_x9y10_s4beg[10] , \tile_x9y10_s4beg[9] , \tile_x9y10_s4beg[8] , \tile_x9y10_s4beg[7] , \tile_x9y10_s4beg[6] , \tile_x9y10_s4beg[5] , \tile_x9y10_s4beg[4] , \tile_x9y10_s4beg[3] , \tile_x9y10_s4beg[2] , \tile_x9y10_s4beg[1] , \tile_x9y10_s4beg[0] }),
.s4end({ \tile_x9y9_s4beg[15] , \tile_x9y9_s4beg[14] , \tile_x9y9_s4beg[13] , \tile_x9y9_s4beg[12] , \tile_x9y9_s4beg[11] , \tile_x9y9_s4beg[10] , \tile_x9y9_s4beg[9] , \tile_x9y9_s4beg[8] , \tile_x9y9_s4beg[7] , \tile_x9y9_s4beg[6] , \tile_x9y9_s4beg[5] , \tile_x9y9_s4beg[4] , \tile_x9y9_s4beg[3] , \tile_x9y9_s4beg[2] , \tile_x9y9_s4beg[1] , \tile_x9y9_s4beg[0] }),
.ss4beg({ \tile_x9y10_ss4beg[15] , \tile_x9y10_ss4beg[14] , \tile_x9y10_ss4beg[13] , \tile_x9y10_ss4beg[12] , \tile_x9y10_ss4beg[11] , \tile_x9y10_ss4beg[10] , \tile_x9y10_ss4beg[9] , \tile_x9y10_ss4beg[8] , \tile_x9y10_ss4beg[7] , \tile_x9y10_ss4beg[6] , \tile_x9y10_ss4beg[5] , \tile_x9y10_ss4beg[4] , \tile_x9y10_ss4beg[3] , \tile_x9y10_ss4beg[2] , \tile_x9y10_ss4beg[1] , \tile_x9y10_ss4beg[0] }),
.ss4end({ \tile_x9y9_ss4beg[15] , \tile_x9y9_ss4beg[14] , \tile_x9y9_ss4beg[13] , \tile_x9y9_ss4beg[12] , \tile_x9y9_ss4beg[11] , \tile_x9y9_ss4beg[10] , \tile_x9y9_ss4beg[9] , \tile_x9y9_ss4beg[8] , \tile_x9y9_ss4beg[7] , \tile_x9y9_ss4beg[6] , \tile_x9y9_ss4beg[5] , \tile_x9y9_ss4beg[4] , \tile_x9y9_ss4beg[3] , \tile_x9y9_ss4beg[2] , \tile_x9y9_ss4beg[1] , \tile_x9y9_ss4beg[0] }),
.userclk(tile_x9y11_userclko),
.userclko(tile_x9y10_userclko),
.w1beg({ \tile_x9y10_w1beg[3] , \tile_x9y10_w1beg[2] , \tile_x9y10_w1beg[1] , \tile_x9y10_w1beg[0] }),
.w1end({ \tile_x10y10_w1beg[3] , \tile_x10y10_w1beg[2] , \tile_x10y10_w1beg[1] , \tile_x10y10_w1beg[0] }),
.w2beg({ \tile_x9y10_w2beg[7] , \tile_x9y10_w2beg[6] , \tile_x9y10_w2beg[5] , \tile_x9y10_w2beg[4] , \tile_x9y10_w2beg[3] , \tile_x9y10_w2beg[2] , \tile_x9y10_w2beg[1] , \tile_x9y10_w2beg[0] }),
.w2begb({ \tile_x9y10_w2begb[7] , \tile_x9y10_w2begb[6] , \tile_x9y10_w2begb[5] , \tile_x9y10_w2begb[4] , \tile_x9y10_w2begb[3] , \tile_x9y10_w2begb[2] , \tile_x9y10_w2begb[1] , \tile_x9y10_w2begb[0] }),
.w2end({ \tile_x10y10_w2begb[7] , \tile_x10y10_w2begb[6] , \tile_x10y10_w2begb[5] , \tile_x10y10_w2begb[4] , \tile_x10y10_w2begb[3] , \tile_x10y10_w2begb[2] , \tile_x10y10_w2begb[1] , \tile_x10y10_w2begb[0] }),
.w2mid({ \tile_x10y10_w2beg[7] , \tile_x10y10_w2beg[6] , \tile_x10y10_w2beg[5] , \tile_x10y10_w2beg[4] , \tile_x10y10_w2beg[3] , \tile_x10y10_w2beg[2] , \tile_x10y10_w2beg[1] , \tile_x10y10_w2beg[0] }),
.w6beg({ \tile_x9y10_w6beg[11] , \tile_x9y10_w6beg[10] , \tile_x9y10_w6beg[9] , \tile_x9y10_w6beg[8] , \tile_x9y10_w6beg[7] , \tile_x9y10_w6beg[6] , \tile_x9y10_w6beg[5] , \tile_x9y10_w6beg[4] , \tile_x9y10_w6beg[3] , \tile_x9y10_w6beg[2] , \tile_x9y10_w6beg[1] , \tile_x9y10_w6beg[0] }),
.w6end({ \tile_x10y10_w6beg[11] , \tile_x10y10_w6beg[10] , \tile_x10y10_w6beg[9] , \tile_x10y10_w6beg[8] , \tile_x10y10_w6beg[7] , \tile_x10y10_w6beg[6] , \tile_x10y10_w6beg[5] , \tile_x10y10_w6beg[4] , \tile_x10y10_w6beg[3] , \tile_x10y10_w6beg[2] , \tile_x10y10_w6beg[1] , \tile_x10y10_w6beg[0] }),
.ww4beg({ \tile_x9y10_ww4beg[15] , \tile_x9y10_ww4beg[14] , \tile_x9y10_ww4beg[13] , \tile_x9y10_ww4beg[12] , \tile_x9y10_ww4beg[11] , \tile_x9y10_ww4beg[10] , \tile_x9y10_ww4beg[9] , \tile_x9y10_ww4beg[8] , \tile_x9y10_ww4beg[7] , \tile_x9y10_ww4beg[6] , \tile_x9y10_ww4beg[5] , \tile_x9y10_ww4beg[4] , \tile_x9y10_ww4beg[3] , \tile_x9y10_ww4beg[2] , \tile_x9y10_ww4beg[1] , \tile_x9y10_ww4beg[0] }),
.ww4end({ \tile_x10y10_ww4beg[15] , \tile_x10y10_ww4beg[14] , \tile_x10y10_ww4beg[13] , \tile_x10y10_ww4beg[12] , \tile_x10y10_ww4beg[11] , \tile_x10y10_ww4beg[10] , \tile_x10y10_ww4beg[9] , \tile_x10y10_ww4beg[8] , \tile_x10y10_ww4beg[7] , \tile_x10y10_ww4beg[6] , \tile_x10y10_ww4beg[5] , \tile_x10y10_ww4beg[4] , \tile_x10y10_ww4beg[3] , \tile_x10y10_ww4beg[2] , \tile_x10y10_ww4beg[1] , \tile_x10y10_ww4beg[0] })
);
lut4ab tile_x9y11_lut4ab (
.ci(tile_x9y12_co),
.co(tile_x9y11_co),
.e1beg({ \tile_x9y11_e1beg[3] , \tile_x9y11_e1beg[2] , \tile_x9y11_e1beg[1] , \tile_x9y11_e1beg[0] }),
.e1end({ \tile_x8y11_e1beg[3] , \tile_x8y11_e1beg[2] , \tile_x8y11_e1beg[1] , \tile_x8y11_e1beg[0] }),
.e2beg({ \tile_x9y11_e2beg[7] , \tile_x9y11_e2beg[6] , \tile_x9y11_e2beg[5] , \tile_x9y11_e2beg[4] , \tile_x9y11_e2beg[3] , \tile_x9y11_e2beg[2] , \tile_x9y11_e2beg[1] , \tile_x9y11_e2beg[0] }),
.e2begb({ \tile_x9y11_e2begb[7] , \tile_x9y11_e2begb[6] , \tile_x9y11_e2begb[5] , \tile_x9y11_e2begb[4] , \tile_x9y11_e2begb[3] , \tile_x9y11_e2begb[2] , \tile_x9y11_e2begb[1] , \tile_x9y11_e2begb[0] }),
.e2end({ \tile_x8y11_e2begb[7] , \tile_x8y11_e2begb[6] , \tile_x8y11_e2begb[5] , \tile_x8y11_e2begb[4] , \tile_x8y11_e2begb[3] , \tile_x8y11_e2begb[2] , \tile_x8y11_e2begb[1] , \tile_x8y11_e2begb[0] }),
.e2mid({ \tile_x8y11_e2beg[7] , \tile_x8y11_e2beg[6] , \tile_x8y11_e2beg[5] , \tile_x8y11_e2beg[4] , \tile_x8y11_e2beg[3] , \tile_x8y11_e2beg[2] , \tile_x8y11_e2beg[1] , \tile_x8y11_e2beg[0] }),
.e6beg({ \tile_x9y11_e6beg[11] , \tile_x9y11_e6beg[10] , \tile_x9y11_e6beg[9] , \tile_x9y11_e6beg[8] , \tile_x9y11_e6beg[7] , \tile_x9y11_e6beg[6] , \tile_x9y11_e6beg[5] , \tile_x9y11_e6beg[4] , \tile_x9y11_e6beg[3] , \tile_x9y11_e6beg[2] , \tile_x9y11_e6beg[1] , \tile_x9y11_e6beg[0] }),
.e6end({ \tile_x8y11_e6beg[11] , \tile_x8y11_e6beg[10] , \tile_x8y11_e6beg[9] , \tile_x8y11_e6beg[8] , \tile_x8y11_e6beg[7] , \tile_x8y11_e6beg[6] , \tile_x8y11_e6beg[5] , \tile_x8y11_e6beg[4] , \tile_x8y11_e6beg[3] , \tile_x8y11_e6beg[2] , \tile_x8y11_e6beg[1] , \tile_x8y11_e6beg[0] }),
.ee4beg({ \tile_x9y11_ee4beg[15] , \tile_x9y11_ee4beg[14] , \tile_x9y11_ee4beg[13] , \tile_x9y11_ee4beg[12] , \tile_x9y11_ee4beg[11] , \tile_x9y11_ee4beg[10] , \tile_x9y11_ee4beg[9] , \tile_x9y11_ee4beg[8] , \tile_x9y11_ee4beg[7] , \tile_x9y11_ee4beg[6] , \tile_x9y11_ee4beg[5] , \tile_x9y11_ee4beg[4] , \tile_x9y11_ee4beg[3] , \tile_x9y11_ee4beg[2] , \tile_x9y11_ee4beg[1] , \tile_x9y11_ee4beg[0] }),
.ee4end({ \tile_x8y11_ee4beg[15] , \tile_x8y11_ee4beg[14] , \tile_x8y11_ee4beg[13] , \tile_x8y11_ee4beg[12] , \tile_x8y11_ee4beg[11] , \tile_x8y11_ee4beg[10] , \tile_x8y11_ee4beg[9] , \tile_x8y11_ee4beg[8] , \tile_x8y11_ee4beg[7] , \tile_x8y11_ee4beg[6] , \tile_x8y11_ee4beg[5] , \tile_x8y11_ee4beg[4] , \tile_x8y11_ee4beg[3] , \tile_x8y11_ee4beg[2] , \tile_x8y11_ee4beg[1] , \tile_x8y11_ee4beg[0] }),
.framedata({ \tile_x8y11_framedata_o[31] , \tile_x8y11_framedata_o[30] , \tile_x8y11_framedata_o[29] , \tile_x8y11_framedata_o[28] , \tile_x8y11_framedata_o[27] , \tile_x8y11_framedata_o[26] , \tile_x8y11_framedata_o[25] , \tile_x8y11_framedata_o[24] , \tile_x8y11_framedata_o[23] , \tile_x8y11_framedata_o[22] , \tile_x8y11_framedata_o[21] , \tile_x8y11_framedata_o[20] , \tile_x8y11_framedata_o[19] , \tile_x8y11_framedata_o[18] , \tile_x8y11_framedata_o[17] , \tile_x8y11_framedata_o[16] , \tile_x8y11_framedata_o[15] , \tile_x8y11_framedata_o[14] , \tile_x8y11_framedata_o[13] , \tile_x8y11_framedata_o[12] , \tile_x8y11_framedata_o[11] , \tile_x8y11_framedata_o[10] , \tile_x8y11_framedata_o[9] , \tile_x8y11_framedata_o[8] , \tile_x8y11_framedata_o[7] , \tile_x8y11_framedata_o[6] , \tile_x8y11_framedata_o[5] , \tile_x8y11_framedata_o[4] , \tile_x8y11_framedata_o[3] , \tile_x8y11_framedata_o[2] , \tile_x8y11_framedata_o[1] , \tile_x8y11_framedata_o[0] }),
.framedata_o({ \tile_x9y11_framedata_o[31] , \tile_x9y11_framedata_o[30] , \tile_x9y11_framedata_o[29] , \tile_x9y11_framedata_o[28] , \tile_x9y11_framedata_o[27] , \tile_x9y11_framedata_o[26] , \tile_x9y11_framedata_o[25] , \tile_x9y11_framedata_o[24] , \tile_x9y11_framedata_o[23] , \tile_x9y11_framedata_o[22] , \tile_x9y11_framedata_o[21] , \tile_x9y11_framedata_o[20] , \tile_x9y11_framedata_o[19] , \tile_x9y11_framedata_o[18] , \tile_x9y11_framedata_o[17] , \tile_x9y11_framedata_o[16] , \tile_x9y11_framedata_o[15] , \tile_x9y11_framedata_o[14] , \tile_x9y11_framedata_o[13] , \tile_x9y11_framedata_o[12] , \tile_x9y11_framedata_o[11] , \tile_x9y11_framedata_o[10] , \tile_x9y11_framedata_o[9] , \tile_x9y11_framedata_o[8] , \tile_x9y11_framedata_o[7] , \tile_x9y11_framedata_o[6] , \tile_x9y11_framedata_o[5] , \tile_x9y11_framedata_o[4] , \tile_x9y11_framedata_o[3] , \tile_x9y11_framedata_o[2] , \tile_x9y11_framedata_o[1] , \tile_x9y11_framedata_o[0] }),
.framestrobe({ \tile_x9y12_framestrobe_o[19] , \tile_x9y12_framestrobe_o[18] , \tile_x9y12_framestrobe_o[17] , \tile_x9y12_framestrobe_o[16] , \tile_x9y12_framestrobe_o[15] , \tile_x9y12_framestrobe_o[14] , \tile_x9y12_framestrobe_o[13] , \tile_x9y12_framestrobe_o[12] , \tile_x9y12_framestrobe_o[11] , \tile_x9y12_framestrobe_o[10] , \tile_x9y12_framestrobe_o[9] , \tile_x9y12_framestrobe_o[8] , \tile_x9y12_framestrobe_o[7] , \tile_x9y12_framestrobe_o[6] , \tile_x9y12_framestrobe_o[5] , \tile_x9y12_framestrobe_o[4] , \tile_x9y12_framestrobe_o[3] , \tile_x9y12_framestrobe_o[2] , \tile_x9y12_framestrobe_o[1] , \tile_x9y12_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y11_framestrobe_o[19] , \tile_x9y11_framestrobe_o[18] , \tile_x9y11_framestrobe_o[17] , \tile_x9y11_framestrobe_o[16] , \tile_x9y11_framestrobe_o[15] , \tile_x9y11_framestrobe_o[14] , \tile_x9y11_framestrobe_o[13] , \tile_x9y11_framestrobe_o[12] , \tile_x9y11_framestrobe_o[11] , \tile_x9y11_framestrobe_o[10] , \tile_x9y11_framestrobe_o[9] , \tile_x9y11_framestrobe_o[8] , \tile_x9y11_framestrobe_o[7] , \tile_x9y11_framestrobe_o[6] , \tile_x9y11_framestrobe_o[5] , \tile_x9y11_framestrobe_o[4] , \tile_x9y11_framestrobe_o[3] , \tile_x9y11_framestrobe_o[2] , \tile_x9y11_framestrobe_o[1] , \tile_x9y11_framestrobe_o[0] }),
.n1beg({ \tile_x9y11_n1beg[3] , \tile_x9y11_n1beg[2] , \tile_x9y11_n1beg[1] , \tile_x9y11_n1beg[0] }),
.n1end({ \tile_x9y12_n1beg[3] , \tile_x9y12_n1beg[2] , \tile_x9y12_n1beg[1] , \tile_x9y12_n1beg[0] }),
.n2beg({ \tile_x9y11_n2beg[7] , \tile_x9y11_n2beg[6] , \tile_x9y11_n2beg[5] , \tile_x9y11_n2beg[4] , \tile_x9y11_n2beg[3] , \tile_x9y11_n2beg[2] , \tile_x9y11_n2beg[1] , \tile_x9y11_n2beg[0] }),
.n2begb({ \tile_x9y11_n2begb[7] , \tile_x9y11_n2begb[6] , \tile_x9y11_n2begb[5] , \tile_x9y11_n2begb[4] , \tile_x9y11_n2begb[3] , \tile_x9y11_n2begb[2] , \tile_x9y11_n2begb[1] , \tile_x9y11_n2begb[0] }),
.n2end({ \tile_x9y12_n2begb[7] , \tile_x9y12_n2begb[6] , \tile_x9y12_n2begb[5] , \tile_x9y12_n2begb[4] , \tile_x9y12_n2begb[3] , \tile_x9y12_n2begb[2] , \tile_x9y12_n2begb[1] , \tile_x9y12_n2begb[0] }),
.n2mid({ \tile_x9y12_n2beg[7] , \tile_x9y12_n2beg[6] , \tile_x9y12_n2beg[5] , \tile_x9y12_n2beg[4] , \tile_x9y12_n2beg[3] , \tile_x9y12_n2beg[2] , \tile_x9y12_n2beg[1] , \tile_x9y12_n2beg[0] }),
.n4beg({ \tile_x9y11_n4beg[15] , \tile_x9y11_n4beg[14] , \tile_x9y11_n4beg[13] , \tile_x9y11_n4beg[12] , \tile_x9y11_n4beg[11] , \tile_x9y11_n4beg[10] , \tile_x9y11_n4beg[9] , \tile_x9y11_n4beg[8] , \tile_x9y11_n4beg[7] , \tile_x9y11_n4beg[6] , \tile_x9y11_n4beg[5] , \tile_x9y11_n4beg[4] , \tile_x9y11_n4beg[3] , \tile_x9y11_n4beg[2] , \tile_x9y11_n4beg[1] , \tile_x9y11_n4beg[0] }),
.n4end({ \tile_x9y12_n4beg[15] , \tile_x9y12_n4beg[14] , \tile_x9y12_n4beg[13] , \tile_x9y12_n4beg[12] , \tile_x9y12_n4beg[11] , \tile_x9y12_n4beg[10] , \tile_x9y12_n4beg[9] , \tile_x9y12_n4beg[8] , \tile_x9y12_n4beg[7] , \tile_x9y12_n4beg[6] , \tile_x9y12_n4beg[5] , \tile_x9y12_n4beg[4] , \tile_x9y12_n4beg[3] , \tile_x9y12_n4beg[2] , \tile_x9y12_n4beg[1] , \tile_x9y12_n4beg[0] }),
.nn4beg({ \tile_x9y11_nn4beg[15] , \tile_x9y11_nn4beg[14] , \tile_x9y11_nn4beg[13] , \tile_x9y11_nn4beg[12] , \tile_x9y11_nn4beg[11] , \tile_x9y11_nn4beg[10] , \tile_x9y11_nn4beg[9] , \tile_x9y11_nn4beg[8] , \tile_x9y11_nn4beg[7] , \tile_x9y11_nn4beg[6] , \tile_x9y11_nn4beg[5] , \tile_x9y11_nn4beg[4] , \tile_x9y11_nn4beg[3] , \tile_x9y11_nn4beg[2] , \tile_x9y11_nn4beg[1] , \tile_x9y11_nn4beg[0] }),
.nn4end({ \tile_x9y12_nn4beg[15] , \tile_x9y12_nn4beg[14] , \tile_x9y12_nn4beg[13] , \tile_x9y12_nn4beg[12] , \tile_x9y12_nn4beg[11] , \tile_x9y12_nn4beg[10] , \tile_x9y12_nn4beg[9] , \tile_x9y12_nn4beg[8] , \tile_x9y12_nn4beg[7] , \tile_x9y12_nn4beg[6] , \tile_x9y12_nn4beg[5] , \tile_x9y12_nn4beg[4] , \tile_x9y12_nn4beg[3] , \tile_x9y12_nn4beg[2] , \tile_x9y12_nn4beg[1] , \tile_x9y12_nn4beg[0] }),
.s1beg({ \tile_x9y11_s1beg[3] , \tile_x9y11_s1beg[2] , \tile_x9y11_s1beg[1] , \tile_x9y11_s1beg[0] }),
.s1end({ \tile_x9y10_s1beg[3] , \tile_x9y10_s1beg[2] , \tile_x9y10_s1beg[1] , \tile_x9y10_s1beg[0] }),
.s2beg({ \tile_x9y11_s2beg[7] , \tile_x9y11_s2beg[6] , \tile_x9y11_s2beg[5] , \tile_x9y11_s2beg[4] , \tile_x9y11_s2beg[3] , \tile_x9y11_s2beg[2] , \tile_x9y11_s2beg[1] , \tile_x9y11_s2beg[0] }),
.s2begb({ \tile_x9y11_s2begb[7] , \tile_x9y11_s2begb[6] , \tile_x9y11_s2begb[5] , \tile_x9y11_s2begb[4] , \tile_x9y11_s2begb[3] , \tile_x9y11_s2begb[2] , \tile_x9y11_s2begb[1] , \tile_x9y11_s2begb[0] }),
.s2end({ \tile_x9y10_s2begb[7] , \tile_x9y10_s2begb[6] , \tile_x9y10_s2begb[5] , \tile_x9y10_s2begb[4] , \tile_x9y10_s2begb[3] , \tile_x9y10_s2begb[2] , \tile_x9y10_s2begb[1] , \tile_x9y10_s2begb[0] }),
.s2mid({ \tile_x9y10_s2beg[7] , \tile_x9y10_s2beg[6] , \tile_x9y10_s2beg[5] , \tile_x9y10_s2beg[4] , \tile_x9y10_s2beg[3] , \tile_x9y10_s2beg[2] , \tile_x9y10_s2beg[1] , \tile_x9y10_s2beg[0] }),
.s4beg({ \tile_x9y11_s4beg[15] , \tile_x9y11_s4beg[14] , \tile_x9y11_s4beg[13] , \tile_x9y11_s4beg[12] , \tile_x9y11_s4beg[11] , \tile_x9y11_s4beg[10] , \tile_x9y11_s4beg[9] , \tile_x9y11_s4beg[8] , \tile_x9y11_s4beg[7] , \tile_x9y11_s4beg[6] , \tile_x9y11_s4beg[5] , \tile_x9y11_s4beg[4] , \tile_x9y11_s4beg[3] , \tile_x9y11_s4beg[2] , \tile_x9y11_s4beg[1] , \tile_x9y11_s4beg[0] }),
.s4end({ \tile_x9y10_s4beg[15] , \tile_x9y10_s4beg[14] , \tile_x9y10_s4beg[13] , \tile_x9y10_s4beg[12] , \tile_x9y10_s4beg[11] , \tile_x9y10_s4beg[10] , \tile_x9y10_s4beg[9] , \tile_x9y10_s4beg[8] , \tile_x9y10_s4beg[7] , \tile_x9y10_s4beg[6] , \tile_x9y10_s4beg[5] , \tile_x9y10_s4beg[4] , \tile_x9y10_s4beg[3] , \tile_x9y10_s4beg[2] , \tile_x9y10_s4beg[1] , \tile_x9y10_s4beg[0] }),
.ss4beg({ \tile_x9y11_ss4beg[15] , \tile_x9y11_ss4beg[14] , \tile_x9y11_ss4beg[13] , \tile_x9y11_ss4beg[12] , \tile_x9y11_ss4beg[11] , \tile_x9y11_ss4beg[10] , \tile_x9y11_ss4beg[9] , \tile_x9y11_ss4beg[8] , \tile_x9y11_ss4beg[7] , \tile_x9y11_ss4beg[6] , \tile_x9y11_ss4beg[5] , \tile_x9y11_ss4beg[4] , \tile_x9y11_ss4beg[3] , \tile_x9y11_ss4beg[2] , \tile_x9y11_ss4beg[1] , \tile_x9y11_ss4beg[0] }),
.ss4end({ \tile_x9y10_ss4beg[15] , \tile_x9y10_ss4beg[14] , \tile_x9y10_ss4beg[13] , \tile_x9y10_ss4beg[12] , \tile_x9y10_ss4beg[11] , \tile_x9y10_ss4beg[10] , \tile_x9y10_ss4beg[9] , \tile_x9y10_ss4beg[8] , \tile_x9y10_ss4beg[7] , \tile_x9y10_ss4beg[6] , \tile_x9y10_ss4beg[5] , \tile_x9y10_ss4beg[4] , \tile_x9y10_ss4beg[3] , \tile_x9y10_ss4beg[2] , \tile_x9y10_ss4beg[1] , \tile_x9y10_ss4beg[0] }),
.userclk(tile_x9y12_userclko),
.userclko(tile_x9y11_userclko),
.w1beg({ \tile_x9y11_w1beg[3] , \tile_x9y11_w1beg[2] , \tile_x9y11_w1beg[1] , \tile_x9y11_w1beg[0] }),
.w1end({ \tile_x10y11_w1beg[3] , \tile_x10y11_w1beg[2] , \tile_x10y11_w1beg[1] , \tile_x10y11_w1beg[0] }),
.w2beg({ \tile_x9y11_w2beg[7] , \tile_x9y11_w2beg[6] , \tile_x9y11_w2beg[5] , \tile_x9y11_w2beg[4] , \tile_x9y11_w2beg[3] , \tile_x9y11_w2beg[2] , \tile_x9y11_w2beg[1] , \tile_x9y11_w2beg[0] }),
.w2begb({ \tile_x9y11_w2begb[7] , \tile_x9y11_w2begb[6] , \tile_x9y11_w2begb[5] , \tile_x9y11_w2begb[4] , \tile_x9y11_w2begb[3] , \tile_x9y11_w2begb[2] , \tile_x9y11_w2begb[1] , \tile_x9y11_w2begb[0] }),
.w2end({ \tile_x10y11_w2begb[7] , \tile_x10y11_w2begb[6] , \tile_x10y11_w2begb[5] , \tile_x10y11_w2begb[4] , \tile_x10y11_w2begb[3] , \tile_x10y11_w2begb[2] , \tile_x10y11_w2begb[1] , \tile_x10y11_w2begb[0] }),
.w2mid({ \tile_x10y11_w2beg[7] , \tile_x10y11_w2beg[6] , \tile_x10y11_w2beg[5] , \tile_x10y11_w2beg[4] , \tile_x10y11_w2beg[3] , \tile_x10y11_w2beg[2] , \tile_x10y11_w2beg[1] , \tile_x10y11_w2beg[0] }),
.w6beg({ \tile_x9y11_w6beg[11] , \tile_x9y11_w6beg[10] , \tile_x9y11_w6beg[9] , \tile_x9y11_w6beg[8] , \tile_x9y11_w6beg[7] , \tile_x9y11_w6beg[6] , \tile_x9y11_w6beg[5] , \tile_x9y11_w6beg[4] , \tile_x9y11_w6beg[3] , \tile_x9y11_w6beg[2] , \tile_x9y11_w6beg[1] , \tile_x9y11_w6beg[0] }),
.w6end({ \tile_x10y11_w6beg[11] , \tile_x10y11_w6beg[10] , \tile_x10y11_w6beg[9] , \tile_x10y11_w6beg[8] , \tile_x10y11_w6beg[7] , \tile_x10y11_w6beg[6] , \tile_x10y11_w6beg[5] , \tile_x10y11_w6beg[4] , \tile_x10y11_w6beg[3] , \tile_x10y11_w6beg[2] , \tile_x10y11_w6beg[1] , \tile_x10y11_w6beg[0] }),
.ww4beg({ \tile_x9y11_ww4beg[15] , \tile_x9y11_ww4beg[14] , \tile_x9y11_ww4beg[13] , \tile_x9y11_ww4beg[12] , \tile_x9y11_ww4beg[11] , \tile_x9y11_ww4beg[10] , \tile_x9y11_ww4beg[9] , \tile_x9y11_ww4beg[8] , \tile_x9y11_ww4beg[7] , \tile_x9y11_ww4beg[6] , \tile_x9y11_ww4beg[5] , \tile_x9y11_ww4beg[4] , \tile_x9y11_ww4beg[3] , \tile_x9y11_ww4beg[2] , \tile_x9y11_ww4beg[1] , \tile_x9y11_ww4beg[0] }),
.ww4end({ \tile_x10y11_ww4beg[15] , \tile_x10y11_ww4beg[14] , \tile_x10y11_ww4beg[13] , \tile_x10y11_ww4beg[12] , \tile_x10y11_ww4beg[11] , \tile_x10y11_ww4beg[10] , \tile_x10y11_ww4beg[9] , \tile_x10y11_ww4beg[8] , \tile_x10y11_ww4beg[7] , \tile_x10y11_ww4beg[6] , \tile_x10y11_ww4beg[5] , \tile_x10y11_ww4beg[4] , \tile_x10y11_ww4beg[3] , \tile_x10y11_ww4beg[2] , \tile_x10y11_ww4beg[1] , \tile_x10y11_ww4beg[0] })
);
lut4ab tile_x9y12_lut4ab (
.ci(tile_x9y13_co),
.co(tile_x9y12_co),
.e1beg({ \tile_x9y12_e1beg[3] , \tile_x9y12_e1beg[2] , \tile_x9y12_e1beg[1] , \tile_x9y12_e1beg[0] }),
.e1end({ \tile_x8y12_e1beg[3] , \tile_x8y12_e1beg[2] , \tile_x8y12_e1beg[1] , \tile_x8y12_e1beg[0] }),
.e2beg({ \tile_x9y12_e2beg[7] , \tile_x9y12_e2beg[6] , \tile_x9y12_e2beg[5] , \tile_x9y12_e2beg[4] , \tile_x9y12_e2beg[3] , \tile_x9y12_e2beg[2] , \tile_x9y12_e2beg[1] , \tile_x9y12_e2beg[0] }),
.e2begb({ \tile_x9y12_e2begb[7] , \tile_x9y12_e2begb[6] , \tile_x9y12_e2begb[5] , \tile_x9y12_e2begb[4] , \tile_x9y12_e2begb[3] , \tile_x9y12_e2begb[2] , \tile_x9y12_e2begb[1] , \tile_x9y12_e2begb[0] }),
.e2end({ \tile_x8y12_e2begb[7] , \tile_x8y12_e2begb[6] , \tile_x8y12_e2begb[5] , \tile_x8y12_e2begb[4] , \tile_x8y12_e2begb[3] , \tile_x8y12_e2begb[2] , \tile_x8y12_e2begb[1] , \tile_x8y12_e2begb[0] }),
.e2mid({ \tile_x8y12_e2beg[7] , \tile_x8y12_e2beg[6] , \tile_x8y12_e2beg[5] , \tile_x8y12_e2beg[4] , \tile_x8y12_e2beg[3] , \tile_x8y12_e2beg[2] , \tile_x8y12_e2beg[1] , \tile_x8y12_e2beg[0] }),
.e6beg({ \tile_x9y12_e6beg[11] , \tile_x9y12_e6beg[10] , \tile_x9y12_e6beg[9] , \tile_x9y12_e6beg[8] , \tile_x9y12_e6beg[7] , \tile_x9y12_e6beg[6] , \tile_x9y12_e6beg[5] , \tile_x9y12_e6beg[4] , \tile_x9y12_e6beg[3] , \tile_x9y12_e6beg[2] , \tile_x9y12_e6beg[1] , \tile_x9y12_e6beg[0] }),
.e6end({ \tile_x8y12_e6beg[11] , \tile_x8y12_e6beg[10] , \tile_x8y12_e6beg[9] , \tile_x8y12_e6beg[8] , \tile_x8y12_e6beg[7] , \tile_x8y12_e6beg[6] , \tile_x8y12_e6beg[5] , \tile_x8y12_e6beg[4] , \tile_x8y12_e6beg[3] , \tile_x8y12_e6beg[2] , \tile_x8y12_e6beg[1] , \tile_x8y12_e6beg[0] }),
.ee4beg({ \tile_x9y12_ee4beg[15] , \tile_x9y12_ee4beg[14] , \tile_x9y12_ee4beg[13] , \tile_x9y12_ee4beg[12] , \tile_x9y12_ee4beg[11] , \tile_x9y12_ee4beg[10] , \tile_x9y12_ee4beg[9] , \tile_x9y12_ee4beg[8] , \tile_x9y12_ee4beg[7] , \tile_x9y12_ee4beg[6] , \tile_x9y12_ee4beg[5] , \tile_x9y12_ee4beg[4] , \tile_x9y12_ee4beg[3] , \tile_x9y12_ee4beg[2] , \tile_x9y12_ee4beg[1] , \tile_x9y12_ee4beg[0] }),
.ee4end({ \tile_x8y12_ee4beg[15] , \tile_x8y12_ee4beg[14] , \tile_x8y12_ee4beg[13] , \tile_x8y12_ee4beg[12] , \tile_x8y12_ee4beg[11] , \tile_x8y12_ee4beg[10] , \tile_x8y12_ee4beg[9] , \tile_x8y12_ee4beg[8] , \tile_x8y12_ee4beg[7] , \tile_x8y12_ee4beg[6] , \tile_x8y12_ee4beg[5] , \tile_x8y12_ee4beg[4] , \tile_x8y12_ee4beg[3] , \tile_x8y12_ee4beg[2] , \tile_x8y12_ee4beg[1] , \tile_x8y12_ee4beg[0] }),
.framedata({ \tile_x8y12_framedata_o[31] , \tile_x8y12_framedata_o[30] , \tile_x8y12_framedata_o[29] , \tile_x8y12_framedata_o[28] , \tile_x8y12_framedata_o[27] , \tile_x8y12_framedata_o[26] , \tile_x8y12_framedata_o[25] , \tile_x8y12_framedata_o[24] , \tile_x8y12_framedata_o[23] , \tile_x8y12_framedata_o[22] , \tile_x8y12_framedata_o[21] , \tile_x8y12_framedata_o[20] , \tile_x8y12_framedata_o[19] , \tile_x8y12_framedata_o[18] , \tile_x8y12_framedata_o[17] , \tile_x8y12_framedata_o[16] , \tile_x8y12_framedata_o[15] , \tile_x8y12_framedata_o[14] , \tile_x8y12_framedata_o[13] , \tile_x8y12_framedata_o[12] , \tile_x8y12_framedata_o[11] , \tile_x8y12_framedata_o[10] , \tile_x8y12_framedata_o[9] , \tile_x8y12_framedata_o[8] , \tile_x8y12_framedata_o[7] , \tile_x8y12_framedata_o[6] , \tile_x8y12_framedata_o[5] , \tile_x8y12_framedata_o[4] , \tile_x8y12_framedata_o[3] , \tile_x8y12_framedata_o[2] , \tile_x8y12_framedata_o[1] , \tile_x8y12_framedata_o[0] }),
.framedata_o({ \tile_x9y12_framedata_o[31] , \tile_x9y12_framedata_o[30] , \tile_x9y12_framedata_o[29] , \tile_x9y12_framedata_o[28] , \tile_x9y12_framedata_o[27] , \tile_x9y12_framedata_o[26] , \tile_x9y12_framedata_o[25] , \tile_x9y12_framedata_o[24] , \tile_x9y12_framedata_o[23] , \tile_x9y12_framedata_o[22] , \tile_x9y12_framedata_o[21] , \tile_x9y12_framedata_o[20] , \tile_x9y12_framedata_o[19] , \tile_x9y12_framedata_o[18] , \tile_x9y12_framedata_o[17] , \tile_x9y12_framedata_o[16] , \tile_x9y12_framedata_o[15] , \tile_x9y12_framedata_o[14] , \tile_x9y12_framedata_o[13] , \tile_x9y12_framedata_o[12] , \tile_x9y12_framedata_o[11] , \tile_x9y12_framedata_o[10] , \tile_x9y12_framedata_o[9] , \tile_x9y12_framedata_o[8] , \tile_x9y12_framedata_o[7] , \tile_x9y12_framedata_o[6] , \tile_x9y12_framedata_o[5] , \tile_x9y12_framedata_o[4] , \tile_x9y12_framedata_o[3] , \tile_x9y12_framedata_o[2] , \tile_x9y12_framedata_o[1] , \tile_x9y12_framedata_o[0] }),
.framestrobe({ \tile_x9y13_framestrobe_o[19] , \tile_x9y13_framestrobe_o[18] , \tile_x9y13_framestrobe_o[17] , \tile_x9y13_framestrobe_o[16] , \tile_x9y13_framestrobe_o[15] , \tile_x9y13_framestrobe_o[14] , \tile_x9y13_framestrobe_o[13] , \tile_x9y13_framestrobe_o[12] , \tile_x9y13_framestrobe_o[11] , \tile_x9y13_framestrobe_o[10] , \tile_x9y13_framestrobe_o[9] , \tile_x9y13_framestrobe_o[8] , \tile_x9y13_framestrobe_o[7] , \tile_x9y13_framestrobe_o[6] , \tile_x9y13_framestrobe_o[5] , \tile_x9y13_framestrobe_o[4] , \tile_x9y13_framestrobe_o[3] , \tile_x9y13_framestrobe_o[2] , \tile_x9y13_framestrobe_o[1] , \tile_x9y13_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y12_framestrobe_o[19] , \tile_x9y12_framestrobe_o[18] , \tile_x9y12_framestrobe_o[17] , \tile_x9y12_framestrobe_o[16] , \tile_x9y12_framestrobe_o[15] , \tile_x9y12_framestrobe_o[14] , \tile_x9y12_framestrobe_o[13] , \tile_x9y12_framestrobe_o[12] , \tile_x9y12_framestrobe_o[11] , \tile_x9y12_framestrobe_o[10] , \tile_x9y12_framestrobe_o[9] , \tile_x9y12_framestrobe_o[8] , \tile_x9y12_framestrobe_o[7] , \tile_x9y12_framestrobe_o[6] , \tile_x9y12_framestrobe_o[5] , \tile_x9y12_framestrobe_o[4] , \tile_x9y12_framestrobe_o[3] , \tile_x9y12_framestrobe_o[2] , \tile_x9y12_framestrobe_o[1] , \tile_x9y12_framestrobe_o[0] }),
.n1beg({ \tile_x9y12_n1beg[3] , \tile_x9y12_n1beg[2] , \tile_x9y12_n1beg[1] , \tile_x9y12_n1beg[0] }),
.n1end({ \tile_x9y13_n1beg[3] , \tile_x9y13_n1beg[2] , \tile_x9y13_n1beg[1] , \tile_x9y13_n1beg[0] }),
.n2beg({ \tile_x9y12_n2beg[7] , \tile_x9y12_n2beg[6] , \tile_x9y12_n2beg[5] , \tile_x9y12_n2beg[4] , \tile_x9y12_n2beg[3] , \tile_x9y12_n2beg[2] , \tile_x9y12_n2beg[1] , \tile_x9y12_n2beg[0] }),
.n2begb({ \tile_x9y12_n2begb[7] , \tile_x9y12_n2begb[6] , \tile_x9y12_n2begb[5] , \tile_x9y12_n2begb[4] , \tile_x9y12_n2begb[3] , \tile_x9y12_n2begb[2] , \tile_x9y12_n2begb[1] , \tile_x9y12_n2begb[0] }),
.n2end({ \tile_x9y13_n2begb[7] , \tile_x9y13_n2begb[6] , \tile_x9y13_n2begb[5] , \tile_x9y13_n2begb[4] , \tile_x9y13_n2begb[3] , \tile_x9y13_n2begb[2] , \tile_x9y13_n2begb[1] , \tile_x9y13_n2begb[0] }),
.n2mid({ \tile_x9y13_n2beg[7] , \tile_x9y13_n2beg[6] , \tile_x9y13_n2beg[5] , \tile_x9y13_n2beg[4] , \tile_x9y13_n2beg[3] , \tile_x9y13_n2beg[2] , \tile_x9y13_n2beg[1] , \tile_x9y13_n2beg[0] }),
.n4beg({ \tile_x9y12_n4beg[15] , \tile_x9y12_n4beg[14] , \tile_x9y12_n4beg[13] , \tile_x9y12_n4beg[12] , \tile_x9y12_n4beg[11] , \tile_x9y12_n4beg[10] , \tile_x9y12_n4beg[9] , \tile_x9y12_n4beg[8] , \tile_x9y12_n4beg[7] , \tile_x9y12_n4beg[6] , \tile_x9y12_n4beg[5] , \tile_x9y12_n4beg[4] , \tile_x9y12_n4beg[3] , \tile_x9y12_n4beg[2] , \tile_x9y12_n4beg[1] , \tile_x9y12_n4beg[0] }),
.n4end({ \tile_x9y13_n4beg[15] , \tile_x9y13_n4beg[14] , \tile_x9y13_n4beg[13] , \tile_x9y13_n4beg[12] , \tile_x9y13_n4beg[11] , \tile_x9y13_n4beg[10] , \tile_x9y13_n4beg[9] , \tile_x9y13_n4beg[8] , \tile_x9y13_n4beg[7] , \tile_x9y13_n4beg[6] , \tile_x9y13_n4beg[5] , \tile_x9y13_n4beg[4] , \tile_x9y13_n4beg[3] , \tile_x9y13_n4beg[2] , \tile_x9y13_n4beg[1] , \tile_x9y13_n4beg[0] }),
.nn4beg({ \tile_x9y12_nn4beg[15] , \tile_x9y12_nn4beg[14] , \tile_x9y12_nn4beg[13] , \tile_x9y12_nn4beg[12] , \tile_x9y12_nn4beg[11] , \tile_x9y12_nn4beg[10] , \tile_x9y12_nn4beg[9] , \tile_x9y12_nn4beg[8] , \tile_x9y12_nn4beg[7] , \tile_x9y12_nn4beg[6] , \tile_x9y12_nn4beg[5] , \tile_x9y12_nn4beg[4] , \tile_x9y12_nn4beg[3] , \tile_x9y12_nn4beg[2] , \tile_x9y12_nn4beg[1] , \tile_x9y12_nn4beg[0] }),
.nn4end({ \tile_x9y13_nn4beg[15] , \tile_x9y13_nn4beg[14] , \tile_x9y13_nn4beg[13] , \tile_x9y13_nn4beg[12] , \tile_x9y13_nn4beg[11] , \tile_x9y13_nn4beg[10] , \tile_x9y13_nn4beg[9] , \tile_x9y13_nn4beg[8] , \tile_x9y13_nn4beg[7] , \tile_x9y13_nn4beg[6] , \tile_x9y13_nn4beg[5] , \tile_x9y13_nn4beg[4] , \tile_x9y13_nn4beg[3] , \tile_x9y13_nn4beg[2] , \tile_x9y13_nn4beg[1] , \tile_x9y13_nn4beg[0] }),
.s1beg({ \tile_x9y12_s1beg[3] , \tile_x9y12_s1beg[2] , \tile_x9y12_s1beg[1] , \tile_x9y12_s1beg[0] }),
.s1end({ \tile_x9y11_s1beg[3] , \tile_x9y11_s1beg[2] , \tile_x9y11_s1beg[1] , \tile_x9y11_s1beg[0] }),
.s2beg({ \tile_x9y12_s2beg[7] , \tile_x9y12_s2beg[6] , \tile_x9y12_s2beg[5] , \tile_x9y12_s2beg[4] , \tile_x9y12_s2beg[3] , \tile_x9y12_s2beg[2] , \tile_x9y12_s2beg[1] , \tile_x9y12_s2beg[0] }),
.s2begb({ \tile_x9y12_s2begb[7] , \tile_x9y12_s2begb[6] , \tile_x9y12_s2begb[5] , \tile_x9y12_s2begb[4] , \tile_x9y12_s2begb[3] , \tile_x9y12_s2begb[2] , \tile_x9y12_s2begb[1] , \tile_x9y12_s2begb[0] }),
.s2end({ \tile_x9y11_s2begb[7] , \tile_x9y11_s2begb[6] , \tile_x9y11_s2begb[5] , \tile_x9y11_s2begb[4] , \tile_x9y11_s2begb[3] , \tile_x9y11_s2begb[2] , \tile_x9y11_s2begb[1] , \tile_x9y11_s2begb[0] }),
.s2mid({ \tile_x9y11_s2beg[7] , \tile_x9y11_s2beg[6] , \tile_x9y11_s2beg[5] , \tile_x9y11_s2beg[4] , \tile_x9y11_s2beg[3] , \tile_x9y11_s2beg[2] , \tile_x9y11_s2beg[1] , \tile_x9y11_s2beg[0] }),
.s4beg({ \tile_x9y12_s4beg[15] , \tile_x9y12_s4beg[14] , \tile_x9y12_s4beg[13] , \tile_x9y12_s4beg[12] , \tile_x9y12_s4beg[11] , \tile_x9y12_s4beg[10] , \tile_x9y12_s4beg[9] , \tile_x9y12_s4beg[8] , \tile_x9y12_s4beg[7] , \tile_x9y12_s4beg[6] , \tile_x9y12_s4beg[5] , \tile_x9y12_s4beg[4] , \tile_x9y12_s4beg[3] , \tile_x9y12_s4beg[2] , \tile_x9y12_s4beg[1] , \tile_x9y12_s4beg[0] }),
.s4end({ \tile_x9y11_s4beg[15] , \tile_x9y11_s4beg[14] , \tile_x9y11_s4beg[13] , \tile_x9y11_s4beg[12] , \tile_x9y11_s4beg[11] , \tile_x9y11_s4beg[10] , \tile_x9y11_s4beg[9] , \tile_x9y11_s4beg[8] , \tile_x9y11_s4beg[7] , \tile_x9y11_s4beg[6] , \tile_x9y11_s4beg[5] , \tile_x9y11_s4beg[4] , \tile_x9y11_s4beg[3] , \tile_x9y11_s4beg[2] , \tile_x9y11_s4beg[1] , \tile_x9y11_s4beg[0] }),
.ss4beg({ \tile_x9y12_ss4beg[15] , \tile_x9y12_ss4beg[14] , \tile_x9y12_ss4beg[13] , \tile_x9y12_ss4beg[12] , \tile_x9y12_ss4beg[11] , \tile_x9y12_ss4beg[10] , \tile_x9y12_ss4beg[9] , \tile_x9y12_ss4beg[8] , \tile_x9y12_ss4beg[7] , \tile_x9y12_ss4beg[6] , \tile_x9y12_ss4beg[5] , \tile_x9y12_ss4beg[4] , \tile_x9y12_ss4beg[3] , \tile_x9y12_ss4beg[2] , \tile_x9y12_ss4beg[1] , \tile_x9y12_ss4beg[0] }),
.ss4end({ \tile_x9y11_ss4beg[15] , \tile_x9y11_ss4beg[14] , \tile_x9y11_ss4beg[13] , \tile_x9y11_ss4beg[12] , \tile_x9y11_ss4beg[11] , \tile_x9y11_ss4beg[10] , \tile_x9y11_ss4beg[9] , \tile_x9y11_ss4beg[8] , \tile_x9y11_ss4beg[7] , \tile_x9y11_ss4beg[6] , \tile_x9y11_ss4beg[5] , \tile_x9y11_ss4beg[4] , \tile_x9y11_ss4beg[3] , \tile_x9y11_ss4beg[2] , \tile_x9y11_ss4beg[1] , \tile_x9y11_ss4beg[0] }),
.userclk(tile_x9y13_userclko),
.userclko(tile_x9y12_userclko),
.w1beg({ \tile_x9y12_w1beg[3] , \tile_x9y12_w1beg[2] , \tile_x9y12_w1beg[1] , \tile_x9y12_w1beg[0] }),
.w1end({ \tile_x10y12_w1beg[3] , \tile_x10y12_w1beg[2] , \tile_x10y12_w1beg[1] , \tile_x10y12_w1beg[0] }),
.w2beg({ \tile_x9y12_w2beg[7] , \tile_x9y12_w2beg[6] , \tile_x9y12_w2beg[5] , \tile_x9y12_w2beg[4] , \tile_x9y12_w2beg[3] , \tile_x9y12_w2beg[2] , \tile_x9y12_w2beg[1] , \tile_x9y12_w2beg[0] }),
.w2begb({ \tile_x9y12_w2begb[7] , \tile_x9y12_w2begb[6] , \tile_x9y12_w2begb[5] , \tile_x9y12_w2begb[4] , \tile_x9y12_w2begb[3] , \tile_x9y12_w2begb[2] , \tile_x9y12_w2begb[1] , \tile_x9y12_w2begb[0] }),
.w2end({ \tile_x10y12_w2begb[7] , \tile_x10y12_w2begb[6] , \tile_x10y12_w2begb[5] , \tile_x10y12_w2begb[4] , \tile_x10y12_w2begb[3] , \tile_x10y12_w2begb[2] , \tile_x10y12_w2begb[1] , \tile_x10y12_w2begb[0] }),
.w2mid({ \tile_x10y12_w2beg[7] , \tile_x10y12_w2beg[6] , \tile_x10y12_w2beg[5] , \tile_x10y12_w2beg[4] , \tile_x10y12_w2beg[3] , \tile_x10y12_w2beg[2] , \tile_x10y12_w2beg[1] , \tile_x10y12_w2beg[0] }),
.w6beg({ \tile_x9y12_w6beg[11] , \tile_x9y12_w6beg[10] , \tile_x9y12_w6beg[9] , \tile_x9y12_w6beg[8] , \tile_x9y12_w6beg[7] , \tile_x9y12_w6beg[6] , \tile_x9y12_w6beg[5] , \tile_x9y12_w6beg[4] , \tile_x9y12_w6beg[3] , \tile_x9y12_w6beg[2] , \tile_x9y12_w6beg[1] , \tile_x9y12_w6beg[0] }),
.w6end({ \tile_x10y12_w6beg[11] , \tile_x10y12_w6beg[10] , \tile_x10y12_w6beg[9] , \tile_x10y12_w6beg[8] , \tile_x10y12_w6beg[7] , \tile_x10y12_w6beg[6] , \tile_x10y12_w6beg[5] , \tile_x10y12_w6beg[4] , \tile_x10y12_w6beg[3] , \tile_x10y12_w6beg[2] , \tile_x10y12_w6beg[1] , \tile_x10y12_w6beg[0] }),
.ww4beg({ \tile_x9y12_ww4beg[15] , \tile_x9y12_ww4beg[14] , \tile_x9y12_ww4beg[13] , \tile_x9y12_ww4beg[12] , \tile_x9y12_ww4beg[11] , \tile_x9y12_ww4beg[10] , \tile_x9y12_ww4beg[9] , \tile_x9y12_ww4beg[8] , \tile_x9y12_ww4beg[7] , \tile_x9y12_ww4beg[6] , \tile_x9y12_ww4beg[5] , \tile_x9y12_ww4beg[4] , \tile_x9y12_ww4beg[3] , \tile_x9y12_ww4beg[2] , \tile_x9y12_ww4beg[1] , \tile_x9y12_ww4beg[0] }),
.ww4end({ \tile_x10y12_ww4beg[15] , \tile_x10y12_ww4beg[14] , \tile_x10y12_ww4beg[13] , \tile_x10y12_ww4beg[12] , \tile_x10y12_ww4beg[11] , \tile_x10y12_ww4beg[10] , \tile_x10y12_ww4beg[9] , \tile_x10y12_ww4beg[8] , \tile_x10y12_ww4beg[7] , \tile_x10y12_ww4beg[6] , \tile_x10y12_ww4beg[5] , \tile_x10y12_ww4beg[4] , \tile_x10y12_ww4beg[3] , \tile_x10y12_ww4beg[2] , \tile_x10y12_ww4beg[1] , \tile_x10y12_ww4beg[0] })
);
lut4ab tile_x9y13_lut4ab (
.ci(tile_x9y14_co),
.co(tile_x9y13_co),
.e1beg({ \tile_x9y13_e1beg[3] , \tile_x9y13_e1beg[2] , \tile_x9y13_e1beg[1] , \tile_x9y13_e1beg[0] }),
.e1end({ \tile_x8y13_e1beg[3] , \tile_x8y13_e1beg[2] , \tile_x8y13_e1beg[1] , \tile_x8y13_e1beg[0] }),
.e2beg({ \tile_x9y13_e2beg[7] , \tile_x9y13_e2beg[6] , \tile_x9y13_e2beg[5] , \tile_x9y13_e2beg[4] , \tile_x9y13_e2beg[3] , \tile_x9y13_e2beg[2] , \tile_x9y13_e2beg[1] , \tile_x9y13_e2beg[0] }),
.e2begb({ \tile_x9y13_e2begb[7] , \tile_x9y13_e2begb[6] , \tile_x9y13_e2begb[5] , \tile_x9y13_e2begb[4] , \tile_x9y13_e2begb[3] , \tile_x9y13_e2begb[2] , \tile_x9y13_e2begb[1] , \tile_x9y13_e2begb[0] }),
.e2end({ \tile_x8y13_e2begb[7] , \tile_x8y13_e2begb[6] , \tile_x8y13_e2begb[5] , \tile_x8y13_e2begb[4] , \tile_x8y13_e2begb[3] , \tile_x8y13_e2begb[2] , \tile_x8y13_e2begb[1] , \tile_x8y13_e2begb[0] }),
.e2mid({ \tile_x8y13_e2beg[7] , \tile_x8y13_e2beg[6] , \tile_x8y13_e2beg[5] , \tile_x8y13_e2beg[4] , \tile_x8y13_e2beg[3] , \tile_x8y13_e2beg[2] , \tile_x8y13_e2beg[1] , \tile_x8y13_e2beg[0] }),
.e6beg({ \tile_x9y13_e6beg[11] , \tile_x9y13_e6beg[10] , \tile_x9y13_e6beg[9] , \tile_x9y13_e6beg[8] , \tile_x9y13_e6beg[7] , \tile_x9y13_e6beg[6] , \tile_x9y13_e6beg[5] , \tile_x9y13_e6beg[4] , \tile_x9y13_e6beg[3] , \tile_x9y13_e6beg[2] , \tile_x9y13_e6beg[1] , \tile_x9y13_e6beg[0] }),
.e6end({ \tile_x8y13_e6beg[11] , \tile_x8y13_e6beg[10] , \tile_x8y13_e6beg[9] , \tile_x8y13_e6beg[8] , \tile_x8y13_e6beg[7] , \tile_x8y13_e6beg[6] , \tile_x8y13_e6beg[5] , \tile_x8y13_e6beg[4] , \tile_x8y13_e6beg[3] , \tile_x8y13_e6beg[2] , \tile_x8y13_e6beg[1] , \tile_x8y13_e6beg[0] }),
.ee4beg({ \tile_x9y13_ee4beg[15] , \tile_x9y13_ee4beg[14] , \tile_x9y13_ee4beg[13] , \tile_x9y13_ee4beg[12] , \tile_x9y13_ee4beg[11] , \tile_x9y13_ee4beg[10] , \tile_x9y13_ee4beg[9] , \tile_x9y13_ee4beg[8] , \tile_x9y13_ee4beg[7] , \tile_x9y13_ee4beg[6] , \tile_x9y13_ee4beg[5] , \tile_x9y13_ee4beg[4] , \tile_x9y13_ee4beg[3] , \tile_x9y13_ee4beg[2] , \tile_x9y13_ee4beg[1] , \tile_x9y13_ee4beg[0] }),
.ee4end({ \tile_x8y13_ee4beg[15] , \tile_x8y13_ee4beg[14] , \tile_x8y13_ee4beg[13] , \tile_x8y13_ee4beg[12] , \tile_x8y13_ee4beg[11] , \tile_x8y13_ee4beg[10] , \tile_x8y13_ee4beg[9] , \tile_x8y13_ee4beg[8] , \tile_x8y13_ee4beg[7] , \tile_x8y13_ee4beg[6] , \tile_x8y13_ee4beg[5] , \tile_x8y13_ee4beg[4] , \tile_x8y13_ee4beg[3] , \tile_x8y13_ee4beg[2] , \tile_x8y13_ee4beg[1] , \tile_x8y13_ee4beg[0] }),
.framedata({ \tile_x8y13_framedata_o[31] , \tile_x8y13_framedata_o[30] , \tile_x8y13_framedata_o[29] , \tile_x8y13_framedata_o[28] , \tile_x8y13_framedata_o[27] , \tile_x8y13_framedata_o[26] , \tile_x8y13_framedata_o[25] , \tile_x8y13_framedata_o[24] , \tile_x8y13_framedata_o[23] , \tile_x8y13_framedata_o[22] , \tile_x8y13_framedata_o[21] , \tile_x8y13_framedata_o[20] , \tile_x8y13_framedata_o[19] , \tile_x8y13_framedata_o[18] , \tile_x8y13_framedata_o[17] , \tile_x8y13_framedata_o[16] , \tile_x8y13_framedata_o[15] , \tile_x8y13_framedata_o[14] , \tile_x8y13_framedata_o[13] , \tile_x8y13_framedata_o[12] , \tile_x8y13_framedata_o[11] , \tile_x8y13_framedata_o[10] , \tile_x8y13_framedata_o[9] , \tile_x8y13_framedata_o[8] , \tile_x8y13_framedata_o[7] , \tile_x8y13_framedata_o[6] , \tile_x8y13_framedata_o[5] , \tile_x8y13_framedata_o[4] , \tile_x8y13_framedata_o[3] , \tile_x8y13_framedata_o[2] , \tile_x8y13_framedata_o[1] , \tile_x8y13_framedata_o[0] }),
.framedata_o({ \tile_x9y13_framedata_o[31] , \tile_x9y13_framedata_o[30] , \tile_x9y13_framedata_o[29] , \tile_x9y13_framedata_o[28] , \tile_x9y13_framedata_o[27] , \tile_x9y13_framedata_o[26] , \tile_x9y13_framedata_o[25] , \tile_x9y13_framedata_o[24] , \tile_x9y13_framedata_o[23] , \tile_x9y13_framedata_o[22] , \tile_x9y13_framedata_o[21] , \tile_x9y13_framedata_o[20] , \tile_x9y13_framedata_o[19] , \tile_x9y13_framedata_o[18] , \tile_x9y13_framedata_o[17] , \tile_x9y13_framedata_o[16] , \tile_x9y13_framedata_o[15] , \tile_x9y13_framedata_o[14] , \tile_x9y13_framedata_o[13] , \tile_x9y13_framedata_o[12] , \tile_x9y13_framedata_o[11] , \tile_x9y13_framedata_o[10] , \tile_x9y13_framedata_o[9] , \tile_x9y13_framedata_o[8] , \tile_x9y13_framedata_o[7] , \tile_x9y13_framedata_o[6] , \tile_x9y13_framedata_o[5] , \tile_x9y13_framedata_o[4] , \tile_x9y13_framedata_o[3] , \tile_x9y13_framedata_o[2] , \tile_x9y13_framedata_o[1] , \tile_x9y13_framedata_o[0] }),
.framestrobe({ \tile_x9y14_framestrobe_o[19] , \tile_x9y14_framestrobe_o[18] , \tile_x9y14_framestrobe_o[17] , \tile_x9y14_framestrobe_o[16] , \tile_x9y14_framestrobe_o[15] , \tile_x9y14_framestrobe_o[14] , \tile_x9y14_framestrobe_o[13] , \tile_x9y14_framestrobe_o[12] , \tile_x9y14_framestrobe_o[11] , \tile_x9y14_framestrobe_o[10] , \tile_x9y14_framestrobe_o[9] , \tile_x9y14_framestrobe_o[8] , \tile_x9y14_framestrobe_o[7] , \tile_x9y14_framestrobe_o[6] , \tile_x9y14_framestrobe_o[5] , \tile_x9y14_framestrobe_o[4] , \tile_x9y14_framestrobe_o[3] , \tile_x9y14_framestrobe_o[2] , \tile_x9y14_framestrobe_o[1] , \tile_x9y14_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y13_framestrobe_o[19] , \tile_x9y13_framestrobe_o[18] , \tile_x9y13_framestrobe_o[17] , \tile_x9y13_framestrobe_o[16] , \tile_x9y13_framestrobe_o[15] , \tile_x9y13_framestrobe_o[14] , \tile_x9y13_framestrobe_o[13] , \tile_x9y13_framestrobe_o[12] , \tile_x9y13_framestrobe_o[11] , \tile_x9y13_framestrobe_o[10] , \tile_x9y13_framestrobe_o[9] , \tile_x9y13_framestrobe_o[8] , \tile_x9y13_framestrobe_o[7] , \tile_x9y13_framestrobe_o[6] , \tile_x9y13_framestrobe_o[5] , \tile_x9y13_framestrobe_o[4] , \tile_x9y13_framestrobe_o[3] , \tile_x9y13_framestrobe_o[2] , \tile_x9y13_framestrobe_o[1] , \tile_x9y13_framestrobe_o[0] }),
.n1beg({ \tile_x9y13_n1beg[3] , \tile_x9y13_n1beg[2] , \tile_x9y13_n1beg[1] , \tile_x9y13_n1beg[0] }),
.n1end({ \tile_x9y14_n1beg[3] , \tile_x9y14_n1beg[2] , \tile_x9y14_n1beg[1] , \tile_x9y14_n1beg[0] }),
.n2beg({ \tile_x9y13_n2beg[7] , \tile_x9y13_n2beg[6] , \tile_x9y13_n2beg[5] , \tile_x9y13_n2beg[4] , \tile_x9y13_n2beg[3] , \tile_x9y13_n2beg[2] , \tile_x9y13_n2beg[1] , \tile_x9y13_n2beg[0] }),
.n2begb({ \tile_x9y13_n2begb[7] , \tile_x9y13_n2begb[6] , \tile_x9y13_n2begb[5] , \tile_x9y13_n2begb[4] , \tile_x9y13_n2begb[3] , \tile_x9y13_n2begb[2] , \tile_x9y13_n2begb[1] , \tile_x9y13_n2begb[0] }),
.n2end({ \tile_x9y14_n2begb[7] , \tile_x9y14_n2begb[6] , \tile_x9y14_n2begb[5] , \tile_x9y14_n2begb[4] , \tile_x9y14_n2begb[3] , \tile_x9y14_n2begb[2] , \tile_x9y14_n2begb[1] , \tile_x9y14_n2begb[0] }),
.n2mid({ \tile_x9y14_n2beg[7] , \tile_x9y14_n2beg[6] , \tile_x9y14_n2beg[5] , \tile_x9y14_n2beg[4] , \tile_x9y14_n2beg[3] , \tile_x9y14_n2beg[2] , \tile_x9y14_n2beg[1] , \tile_x9y14_n2beg[0] }),
.n4beg({ \tile_x9y13_n4beg[15] , \tile_x9y13_n4beg[14] , \tile_x9y13_n4beg[13] , \tile_x9y13_n4beg[12] , \tile_x9y13_n4beg[11] , \tile_x9y13_n4beg[10] , \tile_x9y13_n4beg[9] , \tile_x9y13_n4beg[8] , \tile_x9y13_n4beg[7] , \tile_x9y13_n4beg[6] , \tile_x9y13_n4beg[5] , \tile_x9y13_n4beg[4] , \tile_x9y13_n4beg[3] , \tile_x9y13_n4beg[2] , \tile_x9y13_n4beg[1] , \tile_x9y13_n4beg[0] }),
.n4end({ \tile_x9y14_n4beg[15] , \tile_x9y14_n4beg[14] , \tile_x9y14_n4beg[13] , \tile_x9y14_n4beg[12] , \tile_x9y14_n4beg[11] , \tile_x9y14_n4beg[10] , \tile_x9y14_n4beg[9] , \tile_x9y14_n4beg[8] , \tile_x9y14_n4beg[7] , \tile_x9y14_n4beg[6] , \tile_x9y14_n4beg[5] , \tile_x9y14_n4beg[4] , \tile_x9y14_n4beg[3] , \tile_x9y14_n4beg[2] , \tile_x9y14_n4beg[1] , \tile_x9y14_n4beg[0] }),
.nn4beg({ \tile_x9y13_nn4beg[15] , \tile_x9y13_nn4beg[14] , \tile_x9y13_nn4beg[13] , \tile_x9y13_nn4beg[12] , \tile_x9y13_nn4beg[11] , \tile_x9y13_nn4beg[10] , \tile_x9y13_nn4beg[9] , \tile_x9y13_nn4beg[8] , \tile_x9y13_nn4beg[7] , \tile_x9y13_nn4beg[6] , \tile_x9y13_nn4beg[5] , \tile_x9y13_nn4beg[4] , \tile_x9y13_nn4beg[3] , \tile_x9y13_nn4beg[2] , \tile_x9y13_nn4beg[1] , \tile_x9y13_nn4beg[0] }),
.nn4end({ \tile_x9y14_nn4beg[15] , \tile_x9y14_nn4beg[14] , \tile_x9y14_nn4beg[13] , \tile_x9y14_nn4beg[12] , \tile_x9y14_nn4beg[11] , \tile_x9y14_nn4beg[10] , \tile_x9y14_nn4beg[9] , \tile_x9y14_nn4beg[8] , \tile_x9y14_nn4beg[7] , \tile_x9y14_nn4beg[6] , \tile_x9y14_nn4beg[5] , \tile_x9y14_nn4beg[4] , \tile_x9y14_nn4beg[3] , \tile_x9y14_nn4beg[2] , \tile_x9y14_nn4beg[1] , \tile_x9y14_nn4beg[0] }),
.s1beg({ \tile_x9y13_s1beg[3] , \tile_x9y13_s1beg[2] , \tile_x9y13_s1beg[1] , \tile_x9y13_s1beg[0] }),
.s1end({ \tile_x9y12_s1beg[3] , \tile_x9y12_s1beg[2] , \tile_x9y12_s1beg[1] , \tile_x9y12_s1beg[0] }),
.s2beg({ \tile_x9y13_s2beg[7] , \tile_x9y13_s2beg[6] , \tile_x9y13_s2beg[5] , \tile_x9y13_s2beg[4] , \tile_x9y13_s2beg[3] , \tile_x9y13_s2beg[2] , \tile_x9y13_s2beg[1] , \tile_x9y13_s2beg[0] }),
.s2begb({ \tile_x9y13_s2begb[7] , \tile_x9y13_s2begb[6] , \tile_x9y13_s2begb[5] , \tile_x9y13_s2begb[4] , \tile_x9y13_s2begb[3] , \tile_x9y13_s2begb[2] , \tile_x9y13_s2begb[1] , \tile_x9y13_s2begb[0] }),
.s2end({ \tile_x9y12_s2begb[7] , \tile_x9y12_s2begb[6] , \tile_x9y12_s2begb[5] , \tile_x9y12_s2begb[4] , \tile_x9y12_s2begb[3] , \tile_x9y12_s2begb[2] , \tile_x9y12_s2begb[1] , \tile_x9y12_s2begb[0] }),
.s2mid({ \tile_x9y12_s2beg[7] , \tile_x9y12_s2beg[6] , \tile_x9y12_s2beg[5] , \tile_x9y12_s2beg[4] , \tile_x9y12_s2beg[3] , \tile_x9y12_s2beg[2] , \tile_x9y12_s2beg[1] , \tile_x9y12_s2beg[0] }),
.s4beg({ \tile_x9y13_s4beg[15] , \tile_x9y13_s4beg[14] , \tile_x9y13_s4beg[13] , \tile_x9y13_s4beg[12] , \tile_x9y13_s4beg[11] , \tile_x9y13_s4beg[10] , \tile_x9y13_s4beg[9] , \tile_x9y13_s4beg[8] , \tile_x9y13_s4beg[7] , \tile_x9y13_s4beg[6] , \tile_x9y13_s4beg[5] , \tile_x9y13_s4beg[4] , \tile_x9y13_s4beg[3] , \tile_x9y13_s4beg[2] , \tile_x9y13_s4beg[1] , \tile_x9y13_s4beg[0] }),
.s4end({ \tile_x9y12_s4beg[15] , \tile_x9y12_s4beg[14] , \tile_x9y12_s4beg[13] , \tile_x9y12_s4beg[12] , \tile_x9y12_s4beg[11] , \tile_x9y12_s4beg[10] , \tile_x9y12_s4beg[9] , \tile_x9y12_s4beg[8] , \tile_x9y12_s4beg[7] , \tile_x9y12_s4beg[6] , \tile_x9y12_s4beg[5] , \tile_x9y12_s4beg[4] , \tile_x9y12_s4beg[3] , \tile_x9y12_s4beg[2] , \tile_x9y12_s4beg[1] , \tile_x9y12_s4beg[0] }),
.ss4beg({ \tile_x9y13_ss4beg[15] , \tile_x9y13_ss4beg[14] , \tile_x9y13_ss4beg[13] , \tile_x9y13_ss4beg[12] , \tile_x9y13_ss4beg[11] , \tile_x9y13_ss4beg[10] , \tile_x9y13_ss4beg[9] , \tile_x9y13_ss4beg[8] , \tile_x9y13_ss4beg[7] , \tile_x9y13_ss4beg[6] , \tile_x9y13_ss4beg[5] , \tile_x9y13_ss4beg[4] , \tile_x9y13_ss4beg[3] , \tile_x9y13_ss4beg[2] , \tile_x9y13_ss4beg[1] , \tile_x9y13_ss4beg[0] }),
.ss4end({ \tile_x9y12_ss4beg[15] , \tile_x9y12_ss4beg[14] , \tile_x9y12_ss4beg[13] , \tile_x9y12_ss4beg[12] , \tile_x9y12_ss4beg[11] , \tile_x9y12_ss4beg[10] , \tile_x9y12_ss4beg[9] , \tile_x9y12_ss4beg[8] , \tile_x9y12_ss4beg[7] , \tile_x9y12_ss4beg[6] , \tile_x9y12_ss4beg[5] , \tile_x9y12_ss4beg[4] , \tile_x9y12_ss4beg[3] , \tile_x9y12_ss4beg[2] , \tile_x9y12_ss4beg[1] , \tile_x9y12_ss4beg[0] }),
.userclk(tile_x9y14_userclko),
.userclko(tile_x9y13_userclko),
.w1beg({ \tile_x9y13_w1beg[3] , \tile_x9y13_w1beg[2] , \tile_x9y13_w1beg[1] , \tile_x9y13_w1beg[0] }),
.w1end({ \tile_x10y13_w1beg[3] , \tile_x10y13_w1beg[2] , \tile_x10y13_w1beg[1] , \tile_x10y13_w1beg[0] }),
.w2beg({ \tile_x9y13_w2beg[7] , \tile_x9y13_w2beg[6] , \tile_x9y13_w2beg[5] , \tile_x9y13_w2beg[4] , \tile_x9y13_w2beg[3] , \tile_x9y13_w2beg[2] , \tile_x9y13_w2beg[1] , \tile_x9y13_w2beg[0] }),
.w2begb({ \tile_x9y13_w2begb[7] , \tile_x9y13_w2begb[6] , \tile_x9y13_w2begb[5] , \tile_x9y13_w2begb[4] , \tile_x9y13_w2begb[3] , \tile_x9y13_w2begb[2] , \tile_x9y13_w2begb[1] , \tile_x9y13_w2begb[0] }),
.w2end({ \tile_x10y13_w2begb[7] , \tile_x10y13_w2begb[6] , \tile_x10y13_w2begb[5] , \tile_x10y13_w2begb[4] , \tile_x10y13_w2begb[3] , \tile_x10y13_w2begb[2] , \tile_x10y13_w2begb[1] , \tile_x10y13_w2begb[0] }),
.w2mid({ \tile_x10y13_w2beg[7] , \tile_x10y13_w2beg[6] , \tile_x10y13_w2beg[5] , \tile_x10y13_w2beg[4] , \tile_x10y13_w2beg[3] , \tile_x10y13_w2beg[2] , \tile_x10y13_w2beg[1] , \tile_x10y13_w2beg[0] }),
.w6beg({ \tile_x9y13_w6beg[11] , \tile_x9y13_w6beg[10] , \tile_x9y13_w6beg[9] , \tile_x9y13_w6beg[8] , \tile_x9y13_w6beg[7] , \tile_x9y13_w6beg[6] , \tile_x9y13_w6beg[5] , \tile_x9y13_w6beg[4] , \tile_x9y13_w6beg[3] , \tile_x9y13_w6beg[2] , \tile_x9y13_w6beg[1] , \tile_x9y13_w6beg[0] }),
.w6end({ \tile_x10y13_w6beg[11] , \tile_x10y13_w6beg[10] , \tile_x10y13_w6beg[9] , \tile_x10y13_w6beg[8] , \tile_x10y13_w6beg[7] , \tile_x10y13_w6beg[6] , \tile_x10y13_w6beg[5] , \tile_x10y13_w6beg[4] , \tile_x10y13_w6beg[3] , \tile_x10y13_w6beg[2] , \tile_x10y13_w6beg[1] , \tile_x10y13_w6beg[0] }),
.ww4beg({ \tile_x9y13_ww4beg[15] , \tile_x9y13_ww4beg[14] , \tile_x9y13_ww4beg[13] , \tile_x9y13_ww4beg[12] , \tile_x9y13_ww4beg[11] , \tile_x9y13_ww4beg[10] , \tile_x9y13_ww4beg[9] , \tile_x9y13_ww4beg[8] , \tile_x9y13_ww4beg[7] , \tile_x9y13_ww4beg[6] , \tile_x9y13_ww4beg[5] , \tile_x9y13_ww4beg[4] , \tile_x9y13_ww4beg[3] , \tile_x9y13_ww4beg[2] , \tile_x9y13_ww4beg[1] , \tile_x9y13_ww4beg[0] }),
.ww4end({ \tile_x10y13_ww4beg[15] , \tile_x10y13_ww4beg[14] , \tile_x10y13_ww4beg[13] , \tile_x10y13_ww4beg[12] , \tile_x10y13_ww4beg[11] , \tile_x10y13_ww4beg[10] , \tile_x10y13_ww4beg[9] , \tile_x10y13_ww4beg[8] , \tile_x10y13_ww4beg[7] , \tile_x10y13_ww4beg[6] , \tile_x10y13_ww4beg[5] , \tile_x10y13_ww4beg[4] , \tile_x10y13_ww4beg[3] , \tile_x10y13_ww4beg[2] , \tile_x10y13_ww4beg[1] , \tile_x10y13_ww4beg[0] })
);
lut4ab tile_x9y14_lut4ab (
.ci(tile_x9y15_co),
.co(tile_x9y14_co),
.e1beg({ \tile_x9y14_e1beg[3] , \tile_x9y14_e1beg[2] , \tile_x9y14_e1beg[1] , \tile_x9y14_e1beg[0] }),
.e1end({ \tile_x8y14_e1beg[3] , \tile_x8y14_e1beg[2] , \tile_x8y14_e1beg[1] , \tile_x8y14_e1beg[0] }),
.e2beg({ \tile_x9y14_e2beg[7] , \tile_x9y14_e2beg[6] , \tile_x9y14_e2beg[5] , \tile_x9y14_e2beg[4] , \tile_x9y14_e2beg[3] , \tile_x9y14_e2beg[2] , \tile_x9y14_e2beg[1] , \tile_x9y14_e2beg[0] }),
.e2begb({ \tile_x9y14_e2begb[7] , \tile_x9y14_e2begb[6] , \tile_x9y14_e2begb[5] , \tile_x9y14_e2begb[4] , \tile_x9y14_e2begb[3] , \tile_x9y14_e2begb[2] , \tile_x9y14_e2begb[1] , \tile_x9y14_e2begb[0] }),
.e2end({ \tile_x8y14_e2begb[7] , \tile_x8y14_e2begb[6] , \tile_x8y14_e2begb[5] , \tile_x8y14_e2begb[4] , \tile_x8y14_e2begb[3] , \tile_x8y14_e2begb[2] , \tile_x8y14_e2begb[1] , \tile_x8y14_e2begb[0] }),
.e2mid({ \tile_x8y14_e2beg[7] , \tile_x8y14_e2beg[6] , \tile_x8y14_e2beg[5] , \tile_x8y14_e2beg[4] , \tile_x8y14_e2beg[3] , \tile_x8y14_e2beg[2] , \tile_x8y14_e2beg[1] , \tile_x8y14_e2beg[0] }),
.e6beg({ \tile_x9y14_e6beg[11] , \tile_x9y14_e6beg[10] , \tile_x9y14_e6beg[9] , \tile_x9y14_e6beg[8] , \tile_x9y14_e6beg[7] , \tile_x9y14_e6beg[6] , \tile_x9y14_e6beg[5] , \tile_x9y14_e6beg[4] , \tile_x9y14_e6beg[3] , \tile_x9y14_e6beg[2] , \tile_x9y14_e6beg[1] , \tile_x9y14_e6beg[0] }),
.e6end({ \tile_x8y14_e6beg[11] , \tile_x8y14_e6beg[10] , \tile_x8y14_e6beg[9] , \tile_x8y14_e6beg[8] , \tile_x8y14_e6beg[7] , \tile_x8y14_e6beg[6] , \tile_x8y14_e6beg[5] , \tile_x8y14_e6beg[4] , \tile_x8y14_e6beg[3] , \tile_x8y14_e6beg[2] , \tile_x8y14_e6beg[1] , \tile_x8y14_e6beg[0] }),
.ee4beg({ \tile_x9y14_ee4beg[15] , \tile_x9y14_ee4beg[14] , \tile_x9y14_ee4beg[13] , \tile_x9y14_ee4beg[12] , \tile_x9y14_ee4beg[11] , \tile_x9y14_ee4beg[10] , \tile_x9y14_ee4beg[9] , \tile_x9y14_ee4beg[8] , \tile_x9y14_ee4beg[7] , \tile_x9y14_ee4beg[6] , \tile_x9y14_ee4beg[5] , \tile_x9y14_ee4beg[4] , \tile_x9y14_ee4beg[3] , \tile_x9y14_ee4beg[2] , \tile_x9y14_ee4beg[1] , \tile_x9y14_ee4beg[0] }),
.ee4end({ \tile_x8y14_ee4beg[15] , \tile_x8y14_ee4beg[14] , \tile_x8y14_ee4beg[13] , \tile_x8y14_ee4beg[12] , \tile_x8y14_ee4beg[11] , \tile_x8y14_ee4beg[10] , \tile_x8y14_ee4beg[9] , \tile_x8y14_ee4beg[8] , \tile_x8y14_ee4beg[7] , \tile_x8y14_ee4beg[6] , \tile_x8y14_ee4beg[5] , \tile_x8y14_ee4beg[4] , \tile_x8y14_ee4beg[3] , \tile_x8y14_ee4beg[2] , \tile_x8y14_ee4beg[1] , \tile_x8y14_ee4beg[0] }),
.framedata({ \tile_x8y14_framedata_o[31] , \tile_x8y14_framedata_o[30] , \tile_x8y14_framedata_o[29] , \tile_x8y14_framedata_o[28] , \tile_x8y14_framedata_o[27] , \tile_x8y14_framedata_o[26] , \tile_x8y14_framedata_o[25] , \tile_x8y14_framedata_o[24] , \tile_x8y14_framedata_o[23] , \tile_x8y14_framedata_o[22] , \tile_x8y14_framedata_o[21] , \tile_x8y14_framedata_o[20] , \tile_x8y14_framedata_o[19] , \tile_x8y14_framedata_o[18] , \tile_x8y14_framedata_o[17] , \tile_x8y14_framedata_o[16] , \tile_x8y14_framedata_o[15] , \tile_x8y14_framedata_o[14] , \tile_x8y14_framedata_o[13] , \tile_x8y14_framedata_o[12] , \tile_x8y14_framedata_o[11] , \tile_x8y14_framedata_o[10] , \tile_x8y14_framedata_o[9] , \tile_x8y14_framedata_o[8] , \tile_x8y14_framedata_o[7] , \tile_x8y14_framedata_o[6] , \tile_x8y14_framedata_o[5] , \tile_x8y14_framedata_o[4] , \tile_x8y14_framedata_o[3] , \tile_x8y14_framedata_o[2] , \tile_x8y14_framedata_o[1] , \tile_x8y14_framedata_o[0] }),
.framedata_o({ \tile_x9y14_framedata_o[31] , \tile_x9y14_framedata_o[30] , \tile_x9y14_framedata_o[29] , \tile_x9y14_framedata_o[28] , \tile_x9y14_framedata_o[27] , \tile_x9y14_framedata_o[26] , \tile_x9y14_framedata_o[25] , \tile_x9y14_framedata_o[24] , \tile_x9y14_framedata_o[23] , \tile_x9y14_framedata_o[22] , \tile_x9y14_framedata_o[21] , \tile_x9y14_framedata_o[20] , \tile_x9y14_framedata_o[19] , \tile_x9y14_framedata_o[18] , \tile_x9y14_framedata_o[17] , \tile_x9y14_framedata_o[16] , \tile_x9y14_framedata_o[15] , \tile_x9y14_framedata_o[14] , \tile_x9y14_framedata_o[13] , \tile_x9y14_framedata_o[12] , \tile_x9y14_framedata_o[11] , \tile_x9y14_framedata_o[10] , \tile_x9y14_framedata_o[9] , \tile_x9y14_framedata_o[8] , \tile_x9y14_framedata_o[7] , \tile_x9y14_framedata_o[6] , \tile_x9y14_framedata_o[5] , \tile_x9y14_framedata_o[4] , \tile_x9y14_framedata_o[3] , \tile_x9y14_framedata_o[2] , \tile_x9y14_framedata_o[1] , \tile_x9y14_framedata_o[0] }),
.framestrobe({ \tile_x9y15_framestrobe_o[19] , \tile_x9y15_framestrobe_o[18] , \tile_x9y15_framestrobe_o[17] , \tile_x9y15_framestrobe_o[16] , \tile_x9y15_framestrobe_o[15] , \tile_x9y15_framestrobe_o[14] , \tile_x9y15_framestrobe_o[13] , \tile_x9y15_framestrobe_o[12] , \tile_x9y15_framestrobe_o[11] , \tile_x9y15_framestrobe_o[10] , \tile_x9y15_framestrobe_o[9] , \tile_x9y15_framestrobe_o[8] , \tile_x9y15_framestrobe_o[7] , \tile_x9y15_framestrobe_o[6] , \tile_x9y15_framestrobe_o[5] , \tile_x9y15_framestrobe_o[4] , \tile_x9y15_framestrobe_o[3] , \tile_x9y15_framestrobe_o[2] , \tile_x9y15_framestrobe_o[1] , \tile_x9y15_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y14_framestrobe_o[19] , \tile_x9y14_framestrobe_o[18] , \tile_x9y14_framestrobe_o[17] , \tile_x9y14_framestrobe_o[16] , \tile_x9y14_framestrobe_o[15] , \tile_x9y14_framestrobe_o[14] , \tile_x9y14_framestrobe_o[13] , \tile_x9y14_framestrobe_o[12] , \tile_x9y14_framestrobe_o[11] , \tile_x9y14_framestrobe_o[10] , \tile_x9y14_framestrobe_o[9] , \tile_x9y14_framestrobe_o[8] , \tile_x9y14_framestrobe_o[7] , \tile_x9y14_framestrobe_o[6] , \tile_x9y14_framestrobe_o[5] , \tile_x9y14_framestrobe_o[4] , \tile_x9y14_framestrobe_o[3] , \tile_x9y14_framestrobe_o[2] , \tile_x9y14_framestrobe_o[1] , \tile_x9y14_framestrobe_o[0] }),
.n1beg({ \tile_x9y14_n1beg[3] , \tile_x9y14_n1beg[2] , \tile_x9y14_n1beg[1] , \tile_x9y14_n1beg[0] }),
.n1end({ \tile_x9y15_n1beg[3] , \tile_x9y15_n1beg[2] , \tile_x9y15_n1beg[1] , \tile_x9y15_n1beg[0] }),
.n2beg({ \tile_x9y14_n2beg[7] , \tile_x9y14_n2beg[6] , \tile_x9y14_n2beg[5] , \tile_x9y14_n2beg[4] , \tile_x9y14_n2beg[3] , \tile_x9y14_n2beg[2] , \tile_x9y14_n2beg[1] , \tile_x9y14_n2beg[0] }),
.n2begb({ \tile_x9y14_n2begb[7] , \tile_x9y14_n2begb[6] , \tile_x9y14_n2begb[5] , \tile_x9y14_n2begb[4] , \tile_x9y14_n2begb[3] , \tile_x9y14_n2begb[2] , \tile_x9y14_n2begb[1] , \tile_x9y14_n2begb[0] }),
.n2end({ \tile_x9y15_n2begb[7] , \tile_x9y15_n2begb[6] , \tile_x9y15_n2begb[5] , \tile_x9y15_n2begb[4] , \tile_x9y15_n2begb[3] , \tile_x9y15_n2begb[2] , \tile_x9y15_n2begb[1] , \tile_x9y15_n2begb[0] }),
.n2mid({ \tile_x9y15_n2beg[7] , \tile_x9y15_n2beg[6] , \tile_x9y15_n2beg[5] , \tile_x9y15_n2beg[4] , \tile_x9y15_n2beg[3] , \tile_x9y15_n2beg[2] , \tile_x9y15_n2beg[1] , \tile_x9y15_n2beg[0] }),
.n4beg({ \tile_x9y14_n4beg[15] , \tile_x9y14_n4beg[14] , \tile_x9y14_n4beg[13] , \tile_x9y14_n4beg[12] , \tile_x9y14_n4beg[11] , \tile_x9y14_n4beg[10] , \tile_x9y14_n4beg[9] , \tile_x9y14_n4beg[8] , \tile_x9y14_n4beg[7] , \tile_x9y14_n4beg[6] , \tile_x9y14_n4beg[5] , \tile_x9y14_n4beg[4] , \tile_x9y14_n4beg[3] , \tile_x9y14_n4beg[2] , \tile_x9y14_n4beg[1] , \tile_x9y14_n4beg[0] }),
.n4end({ \tile_x9y15_n4beg[15] , \tile_x9y15_n4beg[14] , \tile_x9y15_n4beg[13] , \tile_x9y15_n4beg[12] , \tile_x9y15_n4beg[11] , \tile_x9y15_n4beg[10] , \tile_x9y15_n4beg[9] , \tile_x9y15_n4beg[8] , \tile_x9y15_n4beg[7] , \tile_x9y15_n4beg[6] , \tile_x9y15_n4beg[5] , \tile_x9y15_n4beg[4] , \tile_x9y15_n4beg[3] , \tile_x9y15_n4beg[2] , \tile_x9y15_n4beg[1] , \tile_x9y15_n4beg[0] }),
.nn4beg({ \tile_x9y14_nn4beg[15] , \tile_x9y14_nn4beg[14] , \tile_x9y14_nn4beg[13] , \tile_x9y14_nn4beg[12] , \tile_x9y14_nn4beg[11] , \tile_x9y14_nn4beg[10] , \tile_x9y14_nn4beg[9] , \tile_x9y14_nn4beg[8] , \tile_x9y14_nn4beg[7] , \tile_x9y14_nn4beg[6] , \tile_x9y14_nn4beg[5] , \tile_x9y14_nn4beg[4] , \tile_x9y14_nn4beg[3] , \tile_x9y14_nn4beg[2] , \tile_x9y14_nn4beg[1] , \tile_x9y14_nn4beg[0] }),
.nn4end({ \tile_x9y15_nn4beg[15] , \tile_x9y15_nn4beg[14] , \tile_x9y15_nn4beg[13] , \tile_x9y15_nn4beg[12] , \tile_x9y15_nn4beg[11] , \tile_x9y15_nn4beg[10] , \tile_x9y15_nn4beg[9] , \tile_x9y15_nn4beg[8] , \tile_x9y15_nn4beg[7] , \tile_x9y15_nn4beg[6] , \tile_x9y15_nn4beg[5] , \tile_x9y15_nn4beg[4] , \tile_x9y15_nn4beg[3] , \tile_x9y15_nn4beg[2] , \tile_x9y15_nn4beg[1] , \tile_x9y15_nn4beg[0] }),
.s1beg({ \tile_x9y14_s1beg[3] , \tile_x9y14_s1beg[2] , \tile_x9y14_s1beg[1] , \tile_x9y14_s1beg[0] }),
.s1end({ \tile_x9y13_s1beg[3] , \tile_x9y13_s1beg[2] , \tile_x9y13_s1beg[1] , \tile_x9y13_s1beg[0] }),
.s2beg({ \tile_x9y14_s2beg[7] , \tile_x9y14_s2beg[6] , \tile_x9y14_s2beg[5] , \tile_x9y14_s2beg[4] , \tile_x9y14_s2beg[3] , \tile_x9y14_s2beg[2] , \tile_x9y14_s2beg[1] , \tile_x9y14_s2beg[0] }),
.s2begb({ \tile_x9y14_s2begb[7] , \tile_x9y14_s2begb[6] , \tile_x9y14_s2begb[5] , \tile_x9y14_s2begb[4] , \tile_x9y14_s2begb[3] , \tile_x9y14_s2begb[2] , \tile_x9y14_s2begb[1] , \tile_x9y14_s2begb[0] }),
.s2end({ \tile_x9y13_s2begb[7] , \tile_x9y13_s2begb[6] , \tile_x9y13_s2begb[5] , \tile_x9y13_s2begb[4] , \tile_x9y13_s2begb[3] , \tile_x9y13_s2begb[2] , \tile_x9y13_s2begb[1] , \tile_x9y13_s2begb[0] }),
.s2mid({ \tile_x9y13_s2beg[7] , \tile_x9y13_s2beg[6] , \tile_x9y13_s2beg[5] , \tile_x9y13_s2beg[4] , \tile_x9y13_s2beg[3] , \tile_x9y13_s2beg[2] , \tile_x9y13_s2beg[1] , \tile_x9y13_s2beg[0] }),
.s4beg({ \tile_x9y14_s4beg[15] , \tile_x9y14_s4beg[14] , \tile_x9y14_s4beg[13] , \tile_x9y14_s4beg[12] , \tile_x9y14_s4beg[11] , \tile_x9y14_s4beg[10] , \tile_x9y14_s4beg[9] , \tile_x9y14_s4beg[8] , \tile_x9y14_s4beg[7] , \tile_x9y14_s4beg[6] , \tile_x9y14_s4beg[5] , \tile_x9y14_s4beg[4] , \tile_x9y14_s4beg[3] , \tile_x9y14_s4beg[2] , \tile_x9y14_s4beg[1] , \tile_x9y14_s4beg[0] }),
.s4end({ \tile_x9y13_s4beg[15] , \tile_x9y13_s4beg[14] , \tile_x9y13_s4beg[13] , \tile_x9y13_s4beg[12] , \tile_x9y13_s4beg[11] , \tile_x9y13_s4beg[10] , \tile_x9y13_s4beg[9] , \tile_x9y13_s4beg[8] , \tile_x9y13_s4beg[7] , \tile_x9y13_s4beg[6] , \tile_x9y13_s4beg[5] , \tile_x9y13_s4beg[4] , \tile_x9y13_s4beg[3] , \tile_x9y13_s4beg[2] , \tile_x9y13_s4beg[1] , \tile_x9y13_s4beg[0] }),
.ss4beg({ \tile_x9y14_ss4beg[15] , \tile_x9y14_ss4beg[14] , \tile_x9y14_ss4beg[13] , \tile_x9y14_ss4beg[12] , \tile_x9y14_ss4beg[11] , \tile_x9y14_ss4beg[10] , \tile_x9y14_ss4beg[9] , \tile_x9y14_ss4beg[8] , \tile_x9y14_ss4beg[7] , \tile_x9y14_ss4beg[6] , \tile_x9y14_ss4beg[5] , \tile_x9y14_ss4beg[4] , \tile_x9y14_ss4beg[3] , \tile_x9y14_ss4beg[2] , \tile_x9y14_ss4beg[1] , \tile_x9y14_ss4beg[0] }),
.ss4end({ \tile_x9y13_ss4beg[15] , \tile_x9y13_ss4beg[14] , \tile_x9y13_ss4beg[13] , \tile_x9y13_ss4beg[12] , \tile_x9y13_ss4beg[11] , \tile_x9y13_ss4beg[10] , \tile_x9y13_ss4beg[9] , \tile_x9y13_ss4beg[8] , \tile_x9y13_ss4beg[7] , \tile_x9y13_ss4beg[6] , \tile_x9y13_ss4beg[5] , \tile_x9y13_ss4beg[4] , \tile_x9y13_ss4beg[3] , \tile_x9y13_ss4beg[2] , \tile_x9y13_ss4beg[1] , \tile_x9y13_ss4beg[0] }),
.userclk(tile_x9y15_userclko),
.userclko(tile_x9y14_userclko),
.w1beg({ \tile_x9y14_w1beg[3] , \tile_x9y14_w1beg[2] , \tile_x9y14_w1beg[1] , \tile_x9y14_w1beg[0] }),
.w1end({ \tile_x10y14_w1beg[3] , \tile_x10y14_w1beg[2] , \tile_x10y14_w1beg[1] , \tile_x10y14_w1beg[0] }),
.w2beg({ \tile_x9y14_w2beg[7] , \tile_x9y14_w2beg[6] , \tile_x9y14_w2beg[5] , \tile_x9y14_w2beg[4] , \tile_x9y14_w2beg[3] , \tile_x9y14_w2beg[2] , \tile_x9y14_w2beg[1] , \tile_x9y14_w2beg[0] }),
.w2begb({ \tile_x9y14_w2begb[7] , \tile_x9y14_w2begb[6] , \tile_x9y14_w2begb[5] , \tile_x9y14_w2begb[4] , \tile_x9y14_w2begb[3] , \tile_x9y14_w2begb[2] , \tile_x9y14_w2begb[1] , \tile_x9y14_w2begb[0] }),
.w2end({ \tile_x10y14_w2begb[7] , \tile_x10y14_w2begb[6] , \tile_x10y14_w2begb[5] , \tile_x10y14_w2begb[4] , \tile_x10y14_w2begb[3] , \tile_x10y14_w2begb[2] , \tile_x10y14_w2begb[1] , \tile_x10y14_w2begb[0] }),
.w2mid({ \tile_x10y14_w2beg[7] , \tile_x10y14_w2beg[6] , \tile_x10y14_w2beg[5] , \tile_x10y14_w2beg[4] , \tile_x10y14_w2beg[3] , \tile_x10y14_w2beg[2] , \tile_x10y14_w2beg[1] , \tile_x10y14_w2beg[0] }),
.w6beg({ \tile_x9y14_w6beg[11] , \tile_x9y14_w6beg[10] , \tile_x9y14_w6beg[9] , \tile_x9y14_w6beg[8] , \tile_x9y14_w6beg[7] , \tile_x9y14_w6beg[6] , \tile_x9y14_w6beg[5] , \tile_x9y14_w6beg[4] , \tile_x9y14_w6beg[3] , \tile_x9y14_w6beg[2] , \tile_x9y14_w6beg[1] , \tile_x9y14_w6beg[0] }),
.w6end({ \tile_x10y14_w6beg[11] , \tile_x10y14_w6beg[10] , \tile_x10y14_w6beg[9] , \tile_x10y14_w6beg[8] , \tile_x10y14_w6beg[7] , \tile_x10y14_w6beg[6] , \tile_x10y14_w6beg[5] , \tile_x10y14_w6beg[4] , \tile_x10y14_w6beg[3] , \tile_x10y14_w6beg[2] , \tile_x10y14_w6beg[1] , \tile_x10y14_w6beg[0] }),
.ww4beg({ \tile_x9y14_ww4beg[15] , \tile_x9y14_ww4beg[14] , \tile_x9y14_ww4beg[13] , \tile_x9y14_ww4beg[12] , \tile_x9y14_ww4beg[11] , \tile_x9y14_ww4beg[10] , \tile_x9y14_ww4beg[9] , \tile_x9y14_ww4beg[8] , \tile_x9y14_ww4beg[7] , \tile_x9y14_ww4beg[6] , \tile_x9y14_ww4beg[5] , \tile_x9y14_ww4beg[4] , \tile_x9y14_ww4beg[3] , \tile_x9y14_ww4beg[2] , \tile_x9y14_ww4beg[1] , \tile_x9y14_ww4beg[0] }),
.ww4end({ \tile_x10y14_ww4beg[15] , \tile_x10y14_ww4beg[14] , \tile_x10y14_ww4beg[13] , \tile_x10y14_ww4beg[12] , \tile_x10y14_ww4beg[11] , \tile_x10y14_ww4beg[10] , \tile_x10y14_ww4beg[9] , \tile_x10y14_ww4beg[8] , \tile_x10y14_ww4beg[7] , \tile_x10y14_ww4beg[6] , \tile_x10y14_ww4beg[5] , \tile_x10y14_ww4beg[4] , \tile_x10y14_ww4beg[3] , \tile_x10y14_ww4beg[2] , \tile_x10y14_ww4beg[1] , \tile_x10y14_ww4beg[0] })
);
s_term_single tile_x9y15_s_term_single (
.co(tile_x9y15_co),
.framestrobe(framestrobe[199:180]),
.framestrobe_o({ \tile_x9y15_framestrobe_o[19] , \tile_x9y15_framestrobe_o[18] , \tile_x9y15_framestrobe_o[17] , \tile_x9y15_framestrobe_o[16] , \tile_x9y15_framestrobe_o[15] , \tile_x9y15_framestrobe_o[14] , \tile_x9y15_framestrobe_o[13] , \tile_x9y15_framestrobe_o[12] , \tile_x9y15_framestrobe_o[11] , \tile_x9y15_framestrobe_o[10] , \tile_x9y15_framestrobe_o[9] , \tile_x9y15_framestrobe_o[8] , \tile_x9y15_framestrobe_o[7] , \tile_x9y15_framestrobe_o[6] , \tile_x9y15_framestrobe_o[5] , \tile_x9y15_framestrobe_o[4] , \tile_x9y15_framestrobe_o[3] , \tile_x9y15_framestrobe_o[2] , \tile_x9y15_framestrobe_o[1] , \tile_x9y15_framestrobe_o[0] }),
.n1beg({ \tile_x9y15_n1beg[3] , \tile_x9y15_n1beg[2] , \tile_x9y15_n1beg[1] , \tile_x9y15_n1beg[0] }),
.n2beg({ \tile_x9y15_n2beg[7] , \tile_x9y15_n2beg[6] , \tile_x9y15_n2beg[5] , \tile_x9y15_n2beg[4] , \tile_x9y15_n2beg[3] , \tile_x9y15_n2beg[2] , \tile_x9y15_n2beg[1] , \tile_x9y15_n2beg[0] }),
.n2begb({ \tile_x9y15_n2begb[7] , \tile_x9y15_n2begb[6] , \tile_x9y15_n2begb[5] , \tile_x9y15_n2begb[4] , \tile_x9y15_n2begb[3] , \tile_x9y15_n2begb[2] , \tile_x9y15_n2begb[1] , \tile_x9y15_n2begb[0] }),
.n4beg({ \tile_x9y15_n4beg[15] , \tile_x9y15_n4beg[14] , \tile_x9y15_n4beg[13] , \tile_x9y15_n4beg[12] , \tile_x9y15_n4beg[11] , \tile_x9y15_n4beg[10] , \tile_x9y15_n4beg[9] , \tile_x9y15_n4beg[8] , \tile_x9y15_n4beg[7] , \tile_x9y15_n4beg[6] , \tile_x9y15_n4beg[5] , \tile_x9y15_n4beg[4] , \tile_x9y15_n4beg[3] , \tile_x9y15_n4beg[2] , \tile_x9y15_n4beg[1] , \tile_x9y15_n4beg[0] }),
.nn4beg({ \tile_x9y15_nn4beg[15] , \tile_x9y15_nn4beg[14] , \tile_x9y15_nn4beg[13] , \tile_x9y15_nn4beg[12] , \tile_x9y15_nn4beg[11] , \tile_x9y15_nn4beg[10] , \tile_x9y15_nn4beg[9] , \tile_x9y15_nn4beg[8] , \tile_x9y15_nn4beg[7] , \tile_x9y15_nn4beg[6] , \tile_x9y15_nn4beg[5] , \tile_x9y15_nn4beg[4] , \tile_x9y15_nn4beg[3] , \tile_x9y15_nn4beg[2] , \tile_x9y15_nn4beg[1] , \tile_x9y15_nn4beg[0] }),
.s1end({ \tile_x9y14_s1beg[3] , \tile_x9y14_s1beg[2] , \tile_x9y14_s1beg[1] , \tile_x9y14_s1beg[0] }),
.s2end({ \tile_x9y14_s2begb[7] , \tile_x9y14_s2begb[6] , \tile_x9y14_s2begb[5] , \tile_x9y14_s2begb[4] , \tile_x9y14_s2begb[3] , \tile_x9y14_s2begb[2] , \tile_x9y14_s2begb[1] , \tile_x9y14_s2begb[0] }),
.s2mid({ \tile_x9y14_s2beg[7] , \tile_x9y14_s2beg[6] , \tile_x9y14_s2beg[5] , \tile_x9y14_s2beg[4] , \tile_x9y14_s2beg[3] , \tile_x9y14_s2beg[2] , \tile_x9y14_s2beg[1] , \tile_x9y14_s2beg[0] }),
.s4end({ \tile_x9y14_s4beg[15] , \tile_x9y14_s4beg[14] , \tile_x9y14_s4beg[13] , \tile_x9y14_s4beg[12] , \tile_x9y14_s4beg[11] , \tile_x9y14_s4beg[10] , \tile_x9y14_s4beg[9] , \tile_x9y14_s4beg[8] , \tile_x9y14_s4beg[7] , \tile_x9y14_s4beg[6] , \tile_x9y14_s4beg[5] , \tile_x9y14_s4beg[4] , \tile_x9y14_s4beg[3] , \tile_x9y14_s4beg[2] , \tile_x9y14_s4beg[1] , \tile_x9y14_s4beg[0] }),
.ss4end({ \tile_x9y14_ss4beg[15] , \tile_x9y14_ss4beg[14] , \tile_x9y14_ss4beg[13] , \tile_x9y14_ss4beg[12] , \tile_x9y14_ss4beg[11] , \tile_x9y14_ss4beg[10] , \tile_x9y14_ss4beg[9] , \tile_x9y14_ss4beg[8] , \tile_x9y14_ss4beg[7] , \tile_x9y14_ss4beg[6] , \tile_x9y14_ss4beg[5] , \tile_x9y14_ss4beg[4] , \tile_x9y14_ss4beg[3] , \tile_x9y14_ss4beg[2] , \tile_x9y14_ss4beg[1] , \tile_x9y14_ss4beg[0] }),
.userclk(userclk),
.userclko(tile_x9y15_userclko)
);
lut4ab tile_x9y1_lut4ab (
.ci(tile_x9y2_co),
.co(tile_x9y1_co),
.e1beg({ \tile_x9y1_e1beg[3] , \tile_x9y1_e1beg[2] , \tile_x9y1_e1beg[1] , \tile_x9y1_e1beg[0] }),
.e1end({ \tile_x8y1_e1beg[3] , \tile_x8y1_e1beg[2] , \tile_x8y1_e1beg[1] , \tile_x8y1_e1beg[0] }),
.e2beg({ \tile_x9y1_e2beg[7] , \tile_x9y1_e2beg[6] , \tile_x9y1_e2beg[5] , \tile_x9y1_e2beg[4] , \tile_x9y1_e2beg[3] , \tile_x9y1_e2beg[2] , \tile_x9y1_e2beg[1] , \tile_x9y1_e2beg[0] }),
.e2begb({ \tile_x9y1_e2begb[7] , \tile_x9y1_e2begb[6] , \tile_x9y1_e2begb[5] , \tile_x9y1_e2begb[4] , \tile_x9y1_e2begb[3] , \tile_x9y1_e2begb[2] , \tile_x9y1_e2begb[1] , \tile_x9y1_e2begb[0] }),
.e2end({ \tile_x8y1_e2begb[7] , \tile_x8y1_e2begb[6] , \tile_x8y1_e2begb[5] , \tile_x8y1_e2begb[4] , \tile_x8y1_e2begb[3] , \tile_x8y1_e2begb[2] , \tile_x8y1_e2begb[1] , \tile_x8y1_e2begb[0] }),
.e2mid({ \tile_x8y1_e2beg[7] , \tile_x8y1_e2beg[6] , \tile_x8y1_e2beg[5] , \tile_x8y1_e2beg[4] , \tile_x8y1_e2beg[3] , \tile_x8y1_e2beg[2] , \tile_x8y1_e2beg[1] , \tile_x8y1_e2beg[0] }),
.e6beg({ \tile_x9y1_e6beg[11] , \tile_x9y1_e6beg[10] , \tile_x9y1_e6beg[9] , \tile_x9y1_e6beg[8] , \tile_x9y1_e6beg[7] , \tile_x9y1_e6beg[6] , \tile_x9y1_e6beg[5] , \tile_x9y1_e6beg[4] , \tile_x9y1_e6beg[3] , \tile_x9y1_e6beg[2] , \tile_x9y1_e6beg[1] , \tile_x9y1_e6beg[0] }),
.e6end({ \tile_x8y1_e6beg[11] , \tile_x8y1_e6beg[10] , \tile_x8y1_e6beg[9] , \tile_x8y1_e6beg[8] , \tile_x8y1_e6beg[7] , \tile_x8y1_e6beg[6] , \tile_x8y1_e6beg[5] , \tile_x8y1_e6beg[4] , \tile_x8y1_e6beg[3] , \tile_x8y1_e6beg[2] , \tile_x8y1_e6beg[1] , \tile_x8y1_e6beg[0] }),
.ee4beg({ \tile_x9y1_ee4beg[15] , \tile_x9y1_ee4beg[14] , \tile_x9y1_ee4beg[13] , \tile_x9y1_ee4beg[12] , \tile_x9y1_ee4beg[11] , \tile_x9y1_ee4beg[10] , \tile_x9y1_ee4beg[9] , \tile_x9y1_ee4beg[8] , \tile_x9y1_ee4beg[7] , \tile_x9y1_ee4beg[6] , \tile_x9y1_ee4beg[5] , \tile_x9y1_ee4beg[4] , \tile_x9y1_ee4beg[3] , \tile_x9y1_ee4beg[2] , \tile_x9y1_ee4beg[1] , \tile_x9y1_ee4beg[0] }),
.ee4end({ \tile_x8y1_ee4beg[15] , \tile_x8y1_ee4beg[14] , \tile_x8y1_ee4beg[13] , \tile_x8y1_ee4beg[12] , \tile_x8y1_ee4beg[11] , \tile_x8y1_ee4beg[10] , \tile_x8y1_ee4beg[9] , \tile_x8y1_ee4beg[8] , \tile_x8y1_ee4beg[7] , \tile_x8y1_ee4beg[6] , \tile_x8y1_ee4beg[5] , \tile_x8y1_ee4beg[4] , \tile_x8y1_ee4beg[3] , \tile_x8y1_ee4beg[2] , \tile_x8y1_ee4beg[1] , \tile_x8y1_ee4beg[0] }),
.framedata({ \tile_x8y1_framedata_o[31] , \tile_x8y1_framedata_o[30] , \tile_x8y1_framedata_o[29] , \tile_x8y1_framedata_o[28] , \tile_x8y1_framedata_o[27] , \tile_x8y1_framedata_o[26] , \tile_x8y1_framedata_o[25] , \tile_x8y1_framedata_o[24] , \tile_x8y1_framedata_o[23] , \tile_x8y1_framedata_o[22] , \tile_x8y1_framedata_o[21] , \tile_x8y1_framedata_o[20] , \tile_x8y1_framedata_o[19] , \tile_x8y1_framedata_o[18] , \tile_x8y1_framedata_o[17] , \tile_x8y1_framedata_o[16] , \tile_x8y1_framedata_o[15] , \tile_x8y1_framedata_o[14] , \tile_x8y1_framedata_o[13] , \tile_x8y1_framedata_o[12] , \tile_x8y1_framedata_o[11] , \tile_x8y1_framedata_o[10] , \tile_x8y1_framedata_o[9] , \tile_x8y1_framedata_o[8] , \tile_x8y1_framedata_o[7] , \tile_x8y1_framedata_o[6] , \tile_x8y1_framedata_o[5] , \tile_x8y1_framedata_o[4] , \tile_x8y1_framedata_o[3] , \tile_x8y1_framedata_o[2] , \tile_x8y1_framedata_o[1] , \tile_x8y1_framedata_o[0] }),
.framedata_o({ \tile_x9y1_framedata_o[31] , \tile_x9y1_framedata_o[30] , \tile_x9y1_framedata_o[29] , \tile_x9y1_framedata_o[28] , \tile_x9y1_framedata_o[27] , \tile_x9y1_framedata_o[26] , \tile_x9y1_framedata_o[25] , \tile_x9y1_framedata_o[24] , \tile_x9y1_framedata_o[23] , \tile_x9y1_framedata_o[22] , \tile_x9y1_framedata_o[21] , \tile_x9y1_framedata_o[20] , \tile_x9y1_framedata_o[19] , \tile_x9y1_framedata_o[18] , \tile_x9y1_framedata_o[17] , \tile_x9y1_framedata_o[16] , \tile_x9y1_framedata_o[15] , \tile_x9y1_framedata_o[14] , \tile_x9y1_framedata_o[13] , \tile_x9y1_framedata_o[12] , \tile_x9y1_framedata_o[11] , \tile_x9y1_framedata_o[10] , \tile_x9y1_framedata_o[9] , \tile_x9y1_framedata_o[8] , \tile_x9y1_framedata_o[7] , \tile_x9y1_framedata_o[6] , \tile_x9y1_framedata_o[5] , \tile_x9y1_framedata_o[4] , \tile_x9y1_framedata_o[3] , \tile_x9y1_framedata_o[2] , \tile_x9y1_framedata_o[1] , \tile_x9y1_framedata_o[0] }),
.framestrobe({ \tile_x9y2_framestrobe_o[19] , \tile_x9y2_framestrobe_o[18] , \tile_x9y2_framestrobe_o[17] , \tile_x9y2_framestrobe_o[16] , \tile_x9y2_framestrobe_o[15] , \tile_x9y2_framestrobe_o[14] , \tile_x9y2_framestrobe_o[13] , \tile_x9y2_framestrobe_o[12] , \tile_x9y2_framestrobe_o[11] , \tile_x9y2_framestrobe_o[10] , \tile_x9y2_framestrobe_o[9] , \tile_x9y2_framestrobe_o[8] , \tile_x9y2_framestrobe_o[7] , \tile_x9y2_framestrobe_o[6] , \tile_x9y2_framestrobe_o[5] , \tile_x9y2_framestrobe_o[4] , \tile_x9y2_framestrobe_o[3] , \tile_x9y2_framestrobe_o[2] , \tile_x9y2_framestrobe_o[1] , \tile_x9y2_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y1_framestrobe_o[19] , \tile_x9y1_framestrobe_o[18] , \tile_x9y1_framestrobe_o[17] , \tile_x9y1_framestrobe_o[16] , \tile_x9y1_framestrobe_o[15] , \tile_x9y1_framestrobe_o[14] , \tile_x9y1_framestrobe_o[13] , \tile_x9y1_framestrobe_o[12] , \tile_x9y1_framestrobe_o[11] , \tile_x9y1_framestrobe_o[10] , \tile_x9y1_framestrobe_o[9] , \tile_x9y1_framestrobe_o[8] , \tile_x9y1_framestrobe_o[7] , \tile_x9y1_framestrobe_o[6] , \tile_x9y1_framestrobe_o[5] , \tile_x9y1_framestrobe_o[4] , \tile_x9y1_framestrobe_o[3] , \tile_x9y1_framestrobe_o[2] , \tile_x9y1_framestrobe_o[1] , \tile_x9y1_framestrobe_o[0] }),
.n1beg({ \tile_x9y1_n1beg[3] , \tile_x9y1_n1beg[2] , \tile_x9y1_n1beg[1] , \tile_x9y1_n1beg[0] }),
.n1end({ \tile_x9y2_n1beg[3] , \tile_x9y2_n1beg[2] , \tile_x9y2_n1beg[1] , \tile_x9y2_n1beg[0] }),
.n2beg({ \tile_x9y1_n2beg[7] , \tile_x9y1_n2beg[6] , \tile_x9y1_n2beg[5] , \tile_x9y1_n2beg[4] , \tile_x9y1_n2beg[3] , \tile_x9y1_n2beg[2] , \tile_x9y1_n2beg[1] , \tile_x9y1_n2beg[0] }),
.n2begb({ \tile_x9y1_n2begb[7] , \tile_x9y1_n2begb[6] , \tile_x9y1_n2begb[5] , \tile_x9y1_n2begb[4] , \tile_x9y1_n2begb[3] , \tile_x9y1_n2begb[2] , \tile_x9y1_n2begb[1] , \tile_x9y1_n2begb[0] }),
.n2end({ \tile_x9y2_n2begb[7] , \tile_x9y2_n2begb[6] , \tile_x9y2_n2begb[5] , \tile_x9y2_n2begb[4] , \tile_x9y2_n2begb[3] , \tile_x9y2_n2begb[2] , \tile_x9y2_n2begb[1] , \tile_x9y2_n2begb[0] }),
.n2mid({ \tile_x9y2_n2beg[7] , \tile_x9y2_n2beg[6] , \tile_x9y2_n2beg[5] , \tile_x9y2_n2beg[4] , \tile_x9y2_n2beg[3] , \tile_x9y2_n2beg[2] , \tile_x9y2_n2beg[1] , \tile_x9y2_n2beg[0] }),
.n4beg({ \tile_x9y1_n4beg[15] , \tile_x9y1_n4beg[14] , \tile_x9y1_n4beg[13] , \tile_x9y1_n4beg[12] , \tile_x9y1_n4beg[11] , \tile_x9y1_n4beg[10] , \tile_x9y1_n4beg[9] , \tile_x9y1_n4beg[8] , \tile_x9y1_n4beg[7] , \tile_x9y1_n4beg[6] , \tile_x9y1_n4beg[5] , \tile_x9y1_n4beg[4] , \tile_x9y1_n4beg[3] , \tile_x9y1_n4beg[2] , \tile_x9y1_n4beg[1] , \tile_x9y1_n4beg[0] }),
.n4end({ \tile_x9y2_n4beg[15] , \tile_x9y2_n4beg[14] , \tile_x9y2_n4beg[13] , \tile_x9y2_n4beg[12] , \tile_x9y2_n4beg[11] , \tile_x9y2_n4beg[10] , \tile_x9y2_n4beg[9] , \tile_x9y2_n4beg[8] , \tile_x9y2_n4beg[7] , \tile_x9y2_n4beg[6] , \tile_x9y2_n4beg[5] , \tile_x9y2_n4beg[4] , \tile_x9y2_n4beg[3] , \tile_x9y2_n4beg[2] , \tile_x9y2_n4beg[1] , \tile_x9y2_n4beg[0] }),
.nn4beg({ \tile_x9y1_nn4beg[15] , \tile_x9y1_nn4beg[14] , \tile_x9y1_nn4beg[13] , \tile_x9y1_nn4beg[12] , \tile_x9y1_nn4beg[11] , \tile_x9y1_nn4beg[10] , \tile_x9y1_nn4beg[9] , \tile_x9y1_nn4beg[8] , \tile_x9y1_nn4beg[7] , \tile_x9y1_nn4beg[6] , \tile_x9y1_nn4beg[5] , \tile_x9y1_nn4beg[4] , \tile_x9y1_nn4beg[3] , \tile_x9y1_nn4beg[2] , \tile_x9y1_nn4beg[1] , \tile_x9y1_nn4beg[0] }),
.nn4end({ \tile_x9y2_nn4beg[15] , \tile_x9y2_nn4beg[14] , \tile_x9y2_nn4beg[13] , \tile_x9y2_nn4beg[12] , \tile_x9y2_nn4beg[11] , \tile_x9y2_nn4beg[10] , \tile_x9y2_nn4beg[9] , \tile_x9y2_nn4beg[8] , \tile_x9y2_nn4beg[7] , \tile_x9y2_nn4beg[6] , \tile_x9y2_nn4beg[5] , \tile_x9y2_nn4beg[4] , \tile_x9y2_nn4beg[3] , \tile_x9y2_nn4beg[2] , \tile_x9y2_nn4beg[1] , \tile_x9y2_nn4beg[0] }),
.s1beg({ \tile_x9y1_s1beg[3] , \tile_x9y1_s1beg[2] , \tile_x9y1_s1beg[1] , \tile_x9y1_s1beg[0] }),
.s1end({ \tile_x9y0_s1beg[3] , \tile_x9y0_s1beg[2] , \tile_x9y0_s1beg[1] , \tile_x9y0_s1beg[0] }),
.s2beg({ \tile_x9y1_s2beg[7] , \tile_x9y1_s2beg[6] , \tile_x9y1_s2beg[5] , \tile_x9y1_s2beg[4] , \tile_x9y1_s2beg[3] , \tile_x9y1_s2beg[2] , \tile_x9y1_s2beg[1] , \tile_x9y1_s2beg[0] }),
.s2begb({ \tile_x9y1_s2begb[7] , \tile_x9y1_s2begb[6] , \tile_x9y1_s2begb[5] , \tile_x9y1_s2begb[4] , \tile_x9y1_s2begb[3] , \tile_x9y1_s2begb[2] , \tile_x9y1_s2begb[1] , \tile_x9y1_s2begb[0] }),
.s2end({ \tile_x9y0_s2begb[7] , \tile_x9y0_s2begb[6] , \tile_x9y0_s2begb[5] , \tile_x9y0_s2begb[4] , \tile_x9y0_s2begb[3] , \tile_x9y0_s2begb[2] , \tile_x9y0_s2begb[1] , \tile_x9y0_s2begb[0] }),
.s2mid({ \tile_x9y0_s2beg[7] , \tile_x9y0_s2beg[6] , \tile_x9y0_s2beg[5] , \tile_x9y0_s2beg[4] , \tile_x9y0_s2beg[3] , \tile_x9y0_s2beg[2] , \tile_x9y0_s2beg[1] , \tile_x9y0_s2beg[0] }),
.s4beg({ \tile_x9y1_s4beg[15] , \tile_x9y1_s4beg[14] , \tile_x9y1_s4beg[13] , \tile_x9y1_s4beg[12] , \tile_x9y1_s4beg[11] , \tile_x9y1_s4beg[10] , \tile_x9y1_s4beg[9] , \tile_x9y1_s4beg[8] , \tile_x9y1_s4beg[7] , \tile_x9y1_s4beg[6] , \tile_x9y1_s4beg[5] , \tile_x9y1_s4beg[4] , \tile_x9y1_s4beg[3] , \tile_x9y1_s4beg[2] , \tile_x9y1_s4beg[1] , \tile_x9y1_s4beg[0] }),
.s4end({ \tile_x9y0_s4beg[15] , \tile_x9y0_s4beg[14] , \tile_x9y0_s4beg[13] , \tile_x9y0_s4beg[12] , \tile_x9y0_s4beg[11] , \tile_x9y0_s4beg[10] , \tile_x9y0_s4beg[9] , \tile_x9y0_s4beg[8] , \tile_x9y0_s4beg[7] , \tile_x9y0_s4beg[6] , \tile_x9y0_s4beg[5] , \tile_x9y0_s4beg[4] , \tile_x9y0_s4beg[3] , \tile_x9y0_s4beg[2] , \tile_x9y0_s4beg[1] , \tile_x9y0_s4beg[0] }),
.ss4beg({ \tile_x9y1_ss4beg[15] , \tile_x9y1_ss4beg[14] , \tile_x9y1_ss4beg[13] , \tile_x9y1_ss4beg[12] , \tile_x9y1_ss4beg[11] , \tile_x9y1_ss4beg[10] , \tile_x9y1_ss4beg[9] , \tile_x9y1_ss4beg[8] , \tile_x9y1_ss4beg[7] , \tile_x9y1_ss4beg[6] , \tile_x9y1_ss4beg[5] , \tile_x9y1_ss4beg[4] , \tile_x9y1_ss4beg[3] , \tile_x9y1_ss4beg[2] , \tile_x9y1_ss4beg[1] , \tile_x9y1_ss4beg[0] }),
.ss4end({ \tile_x9y0_ss4beg[15] , \tile_x9y0_ss4beg[14] , \tile_x9y0_ss4beg[13] , \tile_x9y0_ss4beg[12] , \tile_x9y0_ss4beg[11] , \tile_x9y0_ss4beg[10] , \tile_x9y0_ss4beg[9] , \tile_x9y0_ss4beg[8] , \tile_x9y0_ss4beg[7] , \tile_x9y0_ss4beg[6] , \tile_x9y0_ss4beg[5] , \tile_x9y0_ss4beg[4] , \tile_x9y0_ss4beg[3] , \tile_x9y0_ss4beg[2] , \tile_x9y0_ss4beg[1] , \tile_x9y0_ss4beg[0] }),
.userclk(tile_x9y2_userclko),
.userclko(tile_x9y1_userclko),
.w1beg({ \tile_x9y1_w1beg[3] , \tile_x9y1_w1beg[2] , \tile_x9y1_w1beg[1] , \tile_x9y1_w1beg[0] }),
.w1end({ \tile_x10y1_w1beg[3] , \tile_x10y1_w1beg[2] , \tile_x10y1_w1beg[1] , \tile_x10y1_w1beg[0] }),
.w2beg({ \tile_x9y1_w2beg[7] , \tile_x9y1_w2beg[6] , \tile_x9y1_w2beg[5] , \tile_x9y1_w2beg[4] , \tile_x9y1_w2beg[3] , \tile_x9y1_w2beg[2] , \tile_x9y1_w2beg[1] , \tile_x9y1_w2beg[0] }),
.w2begb({ \tile_x9y1_w2begb[7] , \tile_x9y1_w2begb[6] , \tile_x9y1_w2begb[5] , \tile_x9y1_w2begb[4] , \tile_x9y1_w2begb[3] , \tile_x9y1_w2begb[2] , \tile_x9y1_w2begb[1] , \tile_x9y1_w2begb[0] }),
.w2end({ \tile_x10y1_w2begb[7] , \tile_x10y1_w2begb[6] , \tile_x10y1_w2begb[5] , \tile_x10y1_w2begb[4] , \tile_x10y1_w2begb[3] , \tile_x10y1_w2begb[2] , \tile_x10y1_w2begb[1] , \tile_x10y1_w2begb[0] }),
.w2mid({ \tile_x10y1_w2beg[7] , \tile_x10y1_w2beg[6] , \tile_x10y1_w2beg[5] , \tile_x10y1_w2beg[4] , \tile_x10y1_w2beg[3] , \tile_x10y1_w2beg[2] , \tile_x10y1_w2beg[1] , \tile_x10y1_w2beg[0] }),
.w6beg({ \tile_x9y1_w6beg[11] , \tile_x9y1_w6beg[10] , \tile_x9y1_w6beg[9] , \tile_x9y1_w6beg[8] , \tile_x9y1_w6beg[7] , \tile_x9y1_w6beg[6] , \tile_x9y1_w6beg[5] , \tile_x9y1_w6beg[4] , \tile_x9y1_w6beg[3] , \tile_x9y1_w6beg[2] , \tile_x9y1_w6beg[1] , \tile_x9y1_w6beg[0] }),
.w6end({ \tile_x10y1_w6beg[11] , \tile_x10y1_w6beg[10] , \tile_x10y1_w6beg[9] , \tile_x10y1_w6beg[8] , \tile_x10y1_w6beg[7] , \tile_x10y1_w6beg[6] , \tile_x10y1_w6beg[5] , \tile_x10y1_w6beg[4] , \tile_x10y1_w6beg[3] , \tile_x10y1_w6beg[2] , \tile_x10y1_w6beg[1] , \tile_x10y1_w6beg[0] }),
.ww4beg({ \tile_x9y1_ww4beg[15] , \tile_x9y1_ww4beg[14] , \tile_x9y1_ww4beg[13] , \tile_x9y1_ww4beg[12] , \tile_x9y1_ww4beg[11] , \tile_x9y1_ww4beg[10] , \tile_x9y1_ww4beg[9] , \tile_x9y1_ww4beg[8] , \tile_x9y1_ww4beg[7] , \tile_x9y1_ww4beg[6] , \tile_x9y1_ww4beg[5] , \tile_x9y1_ww4beg[4] , \tile_x9y1_ww4beg[3] , \tile_x9y1_ww4beg[2] , \tile_x9y1_ww4beg[1] , \tile_x9y1_ww4beg[0] }),
.ww4end({ \tile_x10y1_ww4beg[15] , \tile_x10y1_ww4beg[14] , \tile_x10y1_ww4beg[13] , \tile_x10y1_ww4beg[12] , \tile_x10y1_ww4beg[11] , \tile_x10y1_ww4beg[10] , \tile_x10y1_ww4beg[9] , \tile_x10y1_ww4beg[8] , \tile_x10y1_ww4beg[7] , \tile_x10y1_ww4beg[6] , \tile_x10y1_ww4beg[5] , \tile_x10y1_ww4beg[4] , \tile_x10y1_ww4beg[3] , \tile_x10y1_ww4beg[2] , \tile_x10y1_ww4beg[1] , \tile_x10y1_ww4beg[0] })
);
lut4ab tile_x9y2_lut4ab (
.ci(tile_x9y3_co),
.co(tile_x9y2_co),
.e1beg({ \tile_x9y2_e1beg[3] , \tile_x9y2_e1beg[2] , \tile_x9y2_e1beg[1] , \tile_x9y2_e1beg[0] }),
.e1end({ \tile_x8y2_e1beg[3] , \tile_x8y2_e1beg[2] , \tile_x8y2_e1beg[1] , \tile_x8y2_e1beg[0] }),
.e2beg({ \tile_x9y2_e2beg[7] , \tile_x9y2_e2beg[6] , \tile_x9y2_e2beg[5] , \tile_x9y2_e2beg[4] , \tile_x9y2_e2beg[3] , \tile_x9y2_e2beg[2] , \tile_x9y2_e2beg[1] , \tile_x9y2_e2beg[0] }),
.e2begb({ \tile_x9y2_e2begb[7] , \tile_x9y2_e2begb[6] , \tile_x9y2_e2begb[5] , \tile_x9y2_e2begb[4] , \tile_x9y2_e2begb[3] , \tile_x9y2_e2begb[2] , \tile_x9y2_e2begb[1] , \tile_x9y2_e2begb[0] }),
.e2end({ \tile_x8y2_e2begb[7] , \tile_x8y2_e2begb[6] , \tile_x8y2_e2begb[5] , \tile_x8y2_e2begb[4] , \tile_x8y2_e2begb[3] , \tile_x8y2_e2begb[2] , \tile_x8y2_e2begb[1] , \tile_x8y2_e2begb[0] }),
.e2mid({ \tile_x8y2_e2beg[7] , \tile_x8y2_e2beg[6] , \tile_x8y2_e2beg[5] , \tile_x8y2_e2beg[4] , \tile_x8y2_e2beg[3] , \tile_x8y2_e2beg[2] , \tile_x8y2_e2beg[1] , \tile_x8y2_e2beg[0] }),
.e6beg({ \tile_x9y2_e6beg[11] , \tile_x9y2_e6beg[10] , \tile_x9y2_e6beg[9] , \tile_x9y2_e6beg[8] , \tile_x9y2_e6beg[7] , \tile_x9y2_e6beg[6] , \tile_x9y2_e6beg[5] , \tile_x9y2_e6beg[4] , \tile_x9y2_e6beg[3] , \tile_x9y2_e6beg[2] , \tile_x9y2_e6beg[1] , \tile_x9y2_e6beg[0] }),
.e6end({ \tile_x8y2_e6beg[11] , \tile_x8y2_e6beg[10] , \tile_x8y2_e6beg[9] , \tile_x8y2_e6beg[8] , \tile_x8y2_e6beg[7] , \tile_x8y2_e6beg[6] , \tile_x8y2_e6beg[5] , \tile_x8y2_e6beg[4] , \tile_x8y2_e6beg[3] , \tile_x8y2_e6beg[2] , \tile_x8y2_e6beg[1] , \tile_x8y2_e6beg[0] }),
.ee4beg({ \tile_x9y2_ee4beg[15] , \tile_x9y2_ee4beg[14] , \tile_x9y2_ee4beg[13] , \tile_x9y2_ee4beg[12] , \tile_x9y2_ee4beg[11] , \tile_x9y2_ee4beg[10] , \tile_x9y2_ee4beg[9] , \tile_x9y2_ee4beg[8] , \tile_x9y2_ee4beg[7] , \tile_x9y2_ee4beg[6] , \tile_x9y2_ee4beg[5] , \tile_x9y2_ee4beg[4] , \tile_x9y2_ee4beg[3] , \tile_x9y2_ee4beg[2] , \tile_x9y2_ee4beg[1] , \tile_x9y2_ee4beg[0] }),
.ee4end({ \tile_x8y2_ee4beg[15] , \tile_x8y2_ee4beg[14] , \tile_x8y2_ee4beg[13] , \tile_x8y2_ee4beg[12] , \tile_x8y2_ee4beg[11] , \tile_x8y2_ee4beg[10] , \tile_x8y2_ee4beg[9] , \tile_x8y2_ee4beg[8] , \tile_x8y2_ee4beg[7] , \tile_x8y2_ee4beg[6] , \tile_x8y2_ee4beg[5] , \tile_x8y2_ee4beg[4] , \tile_x8y2_ee4beg[3] , \tile_x8y2_ee4beg[2] , \tile_x8y2_ee4beg[1] , \tile_x8y2_ee4beg[0] }),
.framedata({ \tile_x8y2_framedata_o[31] , \tile_x8y2_framedata_o[30] , \tile_x8y2_framedata_o[29] , \tile_x8y2_framedata_o[28] , \tile_x8y2_framedata_o[27] , \tile_x8y2_framedata_o[26] , \tile_x8y2_framedata_o[25] , \tile_x8y2_framedata_o[24] , \tile_x8y2_framedata_o[23] , \tile_x8y2_framedata_o[22] , \tile_x8y2_framedata_o[21] , \tile_x8y2_framedata_o[20] , \tile_x8y2_framedata_o[19] , \tile_x8y2_framedata_o[18] , \tile_x8y2_framedata_o[17] , \tile_x8y2_framedata_o[16] , \tile_x8y2_framedata_o[15] , \tile_x8y2_framedata_o[14] , \tile_x8y2_framedata_o[13] , \tile_x8y2_framedata_o[12] , \tile_x8y2_framedata_o[11] , \tile_x8y2_framedata_o[10] , \tile_x8y2_framedata_o[9] , \tile_x8y2_framedata_o[8] , \tile_x8y2_framedata_o[7] , \tile_x8y2_framedata_o[6] , \tile_x8y2_framedata_o[5] , \tile_x8y2_framedata_o[4] , \tile_x8y2_framedata_o[3] , \tile_x8y2_framedata_o[2] , \tile_x8y2_framedata_o[1] , \tile_x8y2_framedata_o[0] }),
.framedata_o({ \tile_x9y2_framedata_o[31] , \tile_x9y2_framedata_o[30] , \tile_x9y2_framedata_o[29] , \tile_x9y2_framedata_o[28] , \tile_x9y2_framedata_o[27] , \tile_x9y2_framedata_o[26] , \tile_x9y2_framedata_o[25] , \tile_x9y2_framedata_o[24] , \tile_x9y2_framedata_o[23] , \tile_x9y2_framedata_o[22] , \tile_x9y2_framedata_o[21] , \tile_x9y2_framedata_o[20] , \tile_x9y2_framedata_o[19] , \tile_x9y2_framedata_o[18] , \tile_x9y2_framedata_o[17] , \tile_x9y2_framedata_o[16] , \tile_x9y2_framedata_o[15] , \tile_x9y2_framedata_o[14] , \tile_x9y2_framedata_o[13] , \tile_x9y2_framedata_o[12] , \tile_x9y2_framedata_o[11] , \tile_x9y2_framedata_o[10] , \tile_x9y2_framedata_o[9] , \tile_x9y2_framedata_o[8] , \tile_x9y2_framedata_o[7] , \tile_x9y2_framedata_o[6] , \tile_x9y2_framedata_o[5] , \tile_x9y2_framedata_o[4] , \tile_x9y2_framedata_o[3] , \tile_x9y2_framedata_o[2] , \tile_x9y2_framedata_o[1] , \tile_x9y2_framedata_o[0] }),
.framestrobe({ \tile_x9y3_framestrobe_o[19] , \tile_x9y3_framestrobe_o[18] , \tile_x9y3_framestrobe_o[17] , \tile_x9y3_framestrobe_o[16] , \tile_x9y3_framestrobe_o[15] , \tile_x9y3_framestrobe_o[14] , \tile_x9y3_framestrobe_o[13] , \tile_x9y3_framestrobe_o[12] , \tile_x9y3_framestrobe_o[11] , \tile_x9y3_framestrobe_o[10] , \tile_x9y3_framestrobe_o[9] , \tile_x9y3_framestrobe_o[8] , \tile_x9y3_framestrobe_o[7] , \tile_x9y3_framestrobe_o[6] , \tile_x9y3_framestrobe_o[5] , \tile_x9y3_framestrobe_o[4] , \tile_x9y3_framestrobe_o[3] , \tile_x9y3_framestrobe_o[2] , \tile_x9y3_framestrobe_o[1] , \tile_x9y3_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y2_framestrobe_o[19] , \tile_x9y2_framestrobe_o[18] , \tile_x9y2_framestrobe_o[17] , \tile_x9y2_framestrobe_o[16] , \tile_x9y2_framestrobe_o[15] , \tile_x9y2_framestrobe_o[14] , \tile_x9y2_framestrobe_o[13] , \tile_x9y2_framestrobe_o[12] , \tile_x9y2_framestrobe_o[11] , \tile_x9y2_framestrobe_o[10] , \tile_x9y2_framestrobe_o[9] , \tile_x9y2_framestrobe_o[8] , \tile_x9y2_framestrobe_o[7] , \tile_x9y2_framestrobe_o[6] , \tile_x9y2_framestrobe_o[5] , \tile_x9y2_framestrobe_o[4] , \tile_x9y2_framestrobe_o[3] , \tile_x9y2_framestrobe_o[2] , \tile_x9y2_framestrobe_o[1] , \tile_x9y2_framestrobe_o[0] }),
.n1beg({ \tile_x9y2_n1beg[3] , \tile_x9y2_n1beg[2] , \tile_x9y2_n1beg[1] , \tile_x9y2_n1beg[0] }),
.n1end({ \tile_x9y3_n1beg[3] , \tile_x9y3_n1beg[2] , \tile_x9y3_n1beg[1] , \tile_x9y3_n1beg[0] }),
.n2beg({ \tile_x9y2_n2beg[7] , \tile_x9y2_n2beg[6] , \tile_x9y2_n2beg[5] , \tile_x9y2_n2beg[4] , \tile_x9y2_n2beg[3] , \tile_x9y2_n2beg[2] , \tile_x9y2_n2beg[1] , \tile_x9y2_n2beg[0] }),
.n2begb({ \tile_x9y2_n2begb[7] , \tile_x9y2_n2begb[6] , \tile_x9y2_n2begb[5] , \tile_x9y2_n2begb[4] , \tile_x9y2_n2begb[3] , \tile_x9y2_n2begb[2] , \tile_x9y2_n2begb[1] , \tile_x9y2_n2begb[0] }),
.n2end({ \tile_x9y3_n2begb[7] , \tile_x9y3_n2begb[6] , \tile_x9y3_n2begb[5] , \tile_x9y3_n2begb[4] , \tile_x9y3_n2begb[3] , \tile_x9y3_n2begb[2] , \tile_x9y3_n2begb[1] , \tile_x9y3_n2begb[0] }),
.n2mid({ \tile_x9y3_n2beg[7] , \tile_x9y3_n2beg[6] , \tile_x9y3_n2beg[5] , \tile_x9y3_n2beg[4] , \tile_x9y3_n2beg[3] , \tile_x9y3_n2beg[2] , \tile_x9y3_n2beg[1] , \tile_x9y3_n2beg[0] }),
.n4beg({ \tile_x9y2_n4beg[15] , \tile_x9y2_n4beg[14] , \tile_x9y2_n4beg[13] , \tile_x9y2_n4beg[12] , \tile_x9y2_n4beg[11] , \tile_x9y2_n4beg[10] , \tile_x9y2_n4beg[9] , \tile_x9y2_n4beg[8] , \tile_x9y2_n4beg[7] , \tile_x9y2_n4beg[6] , \tile_x9y2_n4beg[5] , \tile_x9y2_n4beg[4] , \tile_x9y2_n4beg[3] , \tile_x9y2_n4beg[2] , \tile_x9y2_n4beg[1] , \tile_x9y2_n4beg[0] }),
.n4end({ \tile_x9y3_n4beg[15] , \tile_x9y3_n4beg[14] , \tile_x9y3_n4beg[13] , \tile_x9y3_n4beg[12] , \tile_x9y3_n4beg[11] , \tile_x9y3_n4beg[10] , \tile_x9y3_n4beg[9] , \tile_x9y3_n4beg[8] , \tile_x9y3_n4beg[7] , \tile_x9y3_n4beg[6] , \tile_x9y3_n4beg[5] , \tile_x9y3_n4beg[4] , \tile_x9y3_n4beg[3] , \tile_x9y3_n4beg[2] , \tile_x9y3_n4beg[1] , \tile_x9y3_n4beg[0] }),
.nn4beg({ \tile_x9y2_nn4beg[15] , \tile_x9y2_nn4beg[14] , \tile_x9y2_nn4beg[13] , \tile_x9y2_nn4beg[12] , \tile_x9y2_nn4beg[11] , \tile_x9y2_nn4beg[10] , \tile_x9y2_nn4beg[9] , \tile_x9y2_nn4beg[8] , \tile_x9y2_nn4beg[7] , \tile_x9y2_nn4beg[6] , \tile_x9y2_nn4beg[5] , \tile_x9y2_nn4beg[4] , \tile_x9y2_nn4beg[3] , \tile_x9y2_nn4beg[2] , \tile_x9y2_nn4beg[1] , \tile_x9y2_nn4beg[0] }),
.nn4end({ \tile_x9y3_nn4beg[15] , \tile_x9y3_nn4beg[14] , \tile_x9y3_nn4beg[13] , \tile_x9y3_nn4beg[12] , \tile_x9y3_nn4beg[11] , \tile_x9y3_nn4beg[10] , \tile_x9y3_nn4beg[9] , \tile_x9y3_nn4beg[8] , \tile_x9y3_nn4beg[7] , \tile_x9y3_nn4beg[6] , \tile_x9y3_nn4beg[5] , \tile_x9y3_nn4beg[4] , \tile_x9y3_nn4beg[3] , \tile_x9y3_nn4beg[2] , \tile_x9y3_nn4beg[1] , \tile_x9y3_nn4beg[0] }),
.s1beg({ \tile_x9y2_s1beg[3] , \tile_x9y2_s1beg[2] , \tile_x9y2_s1beg[1] , \tile_x9y2_s1beg[0] }),
.s1end({ \tile_x9y1_s1beg[3] , \tile_x9y1_s1beg[2] , \tile_x9y1_s1beg[1] , \tile_x9y1_s1beg[0] }),
.s2beg({ \tile_x9y2_s2beg[7] , \tile_x9y2_s2beg[6] , \tile_x9y2_s2beg[5] , \tile_x9y2_s2beg[4] , \tile_x9y2_s2beg[3] , \tile_x9y2_s2beg[2] , \tile_x9y2_s2beg[1] , \tile_x9y2_s2beg[0] }),
.s2begb({ \tile_x9y2_s2begb[7] , \tile_x9y2_s2begb[6] , \tile_x9y2_s2begb[5] , \tile_x9y2_s2begb[4] , \tile_x9y2_s2begb[3] , \tile_x9y2_s2begb[2] , \tile_x9y2_s2begb[1] , \tile_x9y2_s2begb[0] }),
.s2end({ \tile_x9y1_s2begb[7] , \tile_x9y1_s2begb[6] , \tile_x9y1_s2begb[5] , \tile_x9y1_s2begb[4] , \tile_x9y1_s2begb[3] , \tile_x9y1_s2begb[2] , \tile_x9y1_s2begb[1] , \tile_x9y1_s2begb[0] }),
.s2mid({ \tile_x9y1_s2beg[7] , \tile_x9y1_s2beg[6] , \tile_x9y1_s2beg[5] , \tile_x9y1_s2beg[4] , \tile_x9y1_s2beg[3] , \tile_x9y1_s2beg[2] , \tile_x9y1_s2beg[1] , \tile_x9y1_s2beg[0] }),
.s4beg({ \tile_x9y2_s4beg[15] , \tile_x9y2_s4beg[14] , \tile_x9y2_s4beg[13] , \tile_x9y2_s4beg[12] , \tile_x9y2_s4beg[11] , \tile_x9y2_s4beg[10] , \tile_x9y2_s4beg[9] , \tile_x9y2_s4beg[8] , \tile_x9y2_s4beg[7] , \tile_x9y2_s4beg[6] , \tile_x9y2_s4beg[5] , \tile_x9y2_s4beg[4] , \tile_x9y2_s4beg[3] , \tile_x9y2_s4beg[2] , \tile_x9y2_s4beg[1] , \tile_x9y2_s4beg[0] }),
.s4end({ \tile_x9y1_s4beg[15] , \tile_x9y1_s4beg[14] , \tile_x9y1_s4beg[13] , \tile_x9y1_s4beg[12] , \tile_x9y1_s4beg[11] , \tile_x9y1_s4beg[10] , \tile_x9y1_s4beg[9] , \tile_x9y1_s4beg[8] , \tile_x9y1_s4beg[7] , \tile_x9y1_s4beg[6] , \tile_x9y1_s4beg[5] , \tile_x9y1_s4beg[4] , \tile_x9y1_s4beg[3] , \tile_x9y1_s4beg[2] , \tile_x9y1_s4beg[1] , \tile_x9y1_s4beg[0] }),
.ss4beg({ \tile_x9y2_ss4beg[15] , \tile_x9y2_ss4beg[14] , \tile_x9y2_ss4beg[13] , \tile_x9y2_ss4beg[12] , \tile_x9y2_ss4beg[11] , \tile_x9y2_ss4beg[10] , \tile_x9y2_ss4beg[9] , \tile_x9y2_ss4beg[8] , \tile_x9y2_ss4beg[7] , \tile_x9y2_ss4beg[6] , \tile_x9y2_ss4beg[5] , \tile_x9y2_ss4beg[4] , \tile_x9y2_ss4beg[3] , \tile_x9y2_ss4beg[2] , \tile_x9y2_ss4beg[1] , \tile_x9y2_ss4beg[0] }),
.ss4end({ \tile_x9y1_ss4beg[15] , \tile_x9y1_ss4beg[14] , \tile_x9y1_ss4beg[13] , \tile_x9y1_ss4beg[12] , \tile_x9y1_ss4beg[11] , \tile_x9y1_ss4beg[10] , \tile_x9y1_ss4beg[9] , \tile_x9y1_ss4beg[8] , \tile_x9y1_ss4beg[7] , \tile_x9y1_ss4beg[6] , \tile_x9y1_ss4beg[5] , \tile_x9y1_ss4beg[4] , \tile_x9y1_ss4beg[3] , \tile_x9y1_ss4beg[2] , \tile_x9y1_ss4beg[1] , \tile_x9y1_ss4beg[0] }),
.userclk(tile_x9y3_userclko),
.userclko(tile_x9y2_userclko),
.w1beg({ \tile_x9y2_w1beg[3] , \tile_x9y2_w1beg[2] , \tile_x9y2_w1beg[1] , \tile_x9y2_w1beg[0] }),
.w1end({ \tile_x10y2_w1beg[3] , \tile_x10y2_w1beg[2] , \tile_x10y2_w1beg[1] , \tile_x10y2_w1beg[0] }),
.w2beg({ \tile_x9y2_w2beg[7] , \tile_x9y2_w2beg[6] , \tile_x9y2_w2beg[5] , \tile_x9y2_w2beg[4] , \tile_x9y2_w2beg[3] , \tile_x9y2_w2beg[2] , \tile_x9y2_w2beg[1] , \tile_x9y2_w2beg[0] }),
.w2begb({ \tile_x9y2_w2begb[7] , \tile_x9y2_w2begb[6] , \tile_x9y2_w2begb[5] , \tile_x9y2_w2begb[4] , \tile_x9y2_w2begb[3] , \tile_x9y2_w2begb[2] , \tile_x9y2_w2begb[1] , \tile_x9y2_w2begb[0] }),
.w2end({ \tile_x10y2_w2begb[7] , \tile_x10y2_w2begb[6] , \tile_x10y2_w2begb[5] , \tile_x10y2_w2begb[4] , \tile_x10y2_w2begb[3] , \tile_x10y2_w2begb[2] , \tile_x10y2_w2begb[1] , \tile_x10y2_w2begb[0] }),
.w2mid({ \tile_x10y2_w2beg[7] , \tile_x10y2_w2beg[6] , \tile_x10y2_w2beg[5] , \tile_x10y2_w2beg[4] , \tile_x10y2_w2beg[3] , \tile_x10y2_w2beg[2] , \tile_x10y2_w2beg[1] , \tile_x10y2_w2beg[0] }),
.w6beg({ \tile_x9y2_w6beg[11] , \tile_x9y2_w6beg[10] , \tile_x9y2_w6beg[9] , \tile_x9y2_w6beg[8] , \tile_x9y2_w6beg[7] , \tile_x9y2_w6beg[6] , \tile_x9y2_w6beg[5] , \tile_x9y2_w6beg[4] , \tile_x9y2_w6beg[3] , \tile_x9y2_w6beg[2] , \tile_x9y2_w6beg[1] , \tile_x9y2_w6beg[0] }),
.w6end({ \tile_x10y2_w6beg[11] , \tile_x10y2_w6beg[10] , \tile_x10y2_w6beg[9] , \tile_x10y2_w6beg[8] , \tile_x10y2_w6beg[7] , \tile_x10y2_w6beg[6] , \tile_x10y2_w6beg[5] , \tile_x10y2_w6beg[4] , \tile_x10y2_w6beg[3] , \tile_x10y2_w6beg[2] , \tile_x10y2_w6beg[1] , \tile_x10y2_w6beg[0] }),
.ww4beg({ \tile_x9y2_ww4beg[15] , \tile_x9y2_ww4beg[14] , \tile_x9y2_ww4beg[13] , \tile_x9y2_ww4beg[12] , \tile_x9y2_ww4beg[11] , \tile_x9y2_ww4beg[10] , \tile_x9y2_ww4beg[9] , \tile_x9y2_ww4beg[8] , \tile_x9y2_ww4beg[7] , \tile_x9y2_ww4beg[6] , \tile_x9y2_ww4beg[5] , \tile_x9y2_ww4beg[4] , \tile_x9y2_ww4beg[3] , \tile_x9y2_ww4beg[2] , \tile_x9y2_ww4beg[1] , \tile_x9y2_ww4beg[0] }),
.ww4end({ \tile_x10y2_ww4beg[15] , \tile_x10y2_ww4beg[14] , \tile_x10y2_ww4beg[13] , \tile_x10y2_ww4beg[12] , \tile_x10y2_ww4beg[11] , \tile_x10y2_ww4beg[10] , \tile_x10y2_ww4beg[9] , \tile_x10y2_ww4beg[8] , \tile_x10y2_ww4beg[7] , \tile_x10y2_ww4beg[6] , \tile_x10y2_ww4beg[5] , \tile_x10y2_ww4beg[4] , \tile_x10y2_ww4beg[3] , \tile_x10y2_ww4beg[2] , \tile_x10y2_ww4beg[1] , \tile_x10y2_ww4beg[0] })
);
lut4ab tile_x9y3_lut4ab (
.ci(tile_x9y4_co),
.co(tile_x9y3_co),
.e1beg({ \tile_x9y3_e1beg[3] , \tile_x9y3_e1beg[2] , \tile_x9y3_e1beg[1] , \tile_x9y3_e1beg[0] }),
.e1end({ \tile_x8y3_e1beg[3] , \tile_x8y3_e1beg[2] , \tile_x8y3_e1beg[1] , \tile_x8y3_e1beg[0] }),
.e2beg({ \tile_x9y3_e2beg[7] , \tile_x9y3_e2beg[6] , \tile_x9y3_e2beg[5] , \tile_x9y3_e2beg[4] , \tile_x9y3_e2beg[3] , \tile_x9y3_e2beg[2] , \tile_x9y3_e2beg[1] , \tile_x9y3_e2beg[0] }),
.e2begb({ \tile_x9y3_e2begb[7] , \tile_x9y3_e2begb[6] , \tile_x9y3_e2begb[5] , \tile_x9y3_e2begb[4] , \tile_x9y3_e2begb[3] , \tile_x9y3_e2begb[2] , \tile_x9y3_e2begb[1] , \tile_x9y3_e2begb[0] }),
.e2end({ \tile_x8y3_e2begb[7] , \tile_x8y3_e2begb[6] , \tile_x8y3_e2begb[5] , \tile_x8y3_e2begb[4] , \tile_x8y3_e2begb[3] , \tile_x8y3_e2begb[2] , \tile_x8y3_e2begb[1] , \tile_x8y3_e2begb[0] }),
.e2mid({ \tile_x8y3_e2beg[7] , \tile_x8y3_e2beg[6] , \tile_x8y3_e2beg[5] , \tile_x8y3_e2beg[4] , \tile_x8y3_e2beg[3] , \tile_x8y3_e2beg[2] , \tile_x8y3_e2beg[1] , \tile_x8y3_e2beg[0] }),
.e6beg({ \tile_x9y3_e6beg[11] , \tile_x9y3_e6beg[10] , \tile_x9y3_e6beg[9] , \tile_x9y3_e6beg[8] , \tile_x9y3_e6beg[7] , \tile_x9y3_e6beg[6] , \tile_x9y3_e6beg[5] , \tile_x9y3_e6beg[4] , \tile_x9y3_e6beg[3] , \tile_x9y3_e6beg[2] , \tile_x9y3_e6beg[1] , \tile_x9y3_e6beg[0] }),
.e6end({ \tile_x8y3_e6beg[11] , \tile_x8y3_e6beg[10] , \tile_x8y3_e6beg[9] , \tile_x8y3_e6beg[8] , \tile_x8y3_e6beg[7] , \tile_x8y3_e6beg[6] , \tile_x8y3_e6beg[5] , \tile_x8y3_e6beg[4] , \tile_x8y3_e6beg[3] , \tile_x8y3_e6beg[2] , \tile_x8y3_e6beg[1] , \tile_x8y3_e6beg[0] }),
.ee4beg({ \tile_x9y3_ee4beg[15] , \tile_x9y3_ee4beg[14] , \tile_x9y3_ee4beg[13] , \tile_x9y3_ee4beg[12] , \tile_x9y3_ee4beg[11] , \tile_x9y3_ee4beg[10] , \tile_x9y3_ee4beg[9] , \tile_x9y3_ee4beg[8] , \tile_x9y3_ee4beg[7] , \tile_x9y3_ee4beg[6] , \tile_x9y3_ee4beg[5] , \tile_x9y3_ee4beg[4] , \tile_x9y3_ee4beg[3] , \tile_x9y3_ee4beg[2] , \tile_x9y3_ee4beg[1] , \tile_x9y3_ee4beg[0] }),
.ee4end({ \tile_x8y3_ee4beg[15] , \tile_x8y3_ee4beg[14] , \tile_x8y3_ee4beg[13] , \tile_x8y3_ee4beg[12] , \tile_x8y3_ee4beg[11] , \tile_x8y3_ee4beg[10] , \tile_x8y3_ee4beg[9] , \tile_x8y3_ee4beg[8] , \tile_x8y3_ee4beg[7] , \tile_x8y3_ee4beg[6] , \tile_x8y3_ee4beg[5] , \tile_x8y3_ee4beg[4] , \tile_x8y3_ee4beg[3] , \tile_x8y3_ee4beg[2] , \tile_x8y3_ee4beg[1] , \tile_x8y3_ee4beg[0] }),
.framedata({ \tile_x8y3_framedata_o[31] , \tile_x8y3_framedata_o[30] , \tile_x8y3_framedata_o[29] , \tile_x8y3_framedata_o[28] , \tile_x8y3_framedata_o[27] , \tile_x8y3_framedata_o[26] , \tile_x8y3_framedata_o[25] , \tile_x8y3_framedata_o[24] , \tile_x8y3_framedata_o[23] , \tile_x8y3_framedata_o[22] , \tile_x8y3_framedata_o[21] , \tile_x8y3_framedata_o[20] , \tile_x8y3_framedata_o[19] , \tile_x8y3_framedata_o[18] , \tile_x8y3_framedata_o[17] , \tile_x8y3_framedata_o[16] , \tile_x8y3_framedata_o[15] , \tile_x8y3_framedata_o[14] , \tile_x8y3_framedata_o[13] , \tile_x8y3_framedata_o[12] , \tile_x8y3_framedata_o[11] , \tile_x8y3_framedata_o[10] , \tile_x8y3_framedata_o[9] , \tile_x8y3_framedata_o[8] , \tile_x8y3_framedata_o[7] , \tile_x8y3_framedata_o[6] , \tile_x8y3_framedata_o[5] , \tile_x8y3_framedata_o[4] , \tile_x8y3_framedata_o[3] , \tile_x8y3_framedata_o[2] , \tile_x8y3_framedata_o[1] , \tile_x8y3_framedata_o[0] }),
.framedata_o({ \tile_x9y3_framedata_o[31] , \tile_x9y3_framedata_o[30] , \tile_x9y3_framedata_o[29] , \tile_x9y3_framedata_o[28] , \tile_x9y3_framedata_o[27] , \tile_x9y3_framedata_o[26] , \tile_x9y3_framedata_o[25] , \tile_x9y3_framedata_o[24] , \tile_x9y3_framedata_o[23] , \tile_x9y3_framedata_o[22] , \tile_x9y3_framedata_o[21] , \tile_x9y3_framedata_o[20] , \tile_x9y3_framedata_o[19] , \tile_x9y3_framedata_o[18] , \tile_x9y3_framedata_o[17] , \tile_x9y3_framedata_o[16] , \tile_x9y3_framedata_o[15] , \tile_x9y3_framedata_o[14] , \tile_x9y3_framedata_o[13] , \tile_x9y3_framedata_o[12] , \tile_x9y3_framedata_o[11] , \tile_x9y3_framedata_o[10] , \tile_x9y3_framedata_o[9] , \tile_x9y3_framedata_o[8] , \tile_x9y3_framedata_o[7] , \tile_x9y3_framedata_o[6] , \tile_x9y3_framedata_o[5] , \tile_x9y3_framedata_o[4] , \tile_x9y3_framedata_o[3] , \tile_x9y3_framedata_o[2] , \tile_x9y3_framedata_o[1] , \tile_x9y3_framedata_o[0] }),
.framestrobe({ \tile_x9y4_framestrobe_o[19] , \tile_x9y4_framestrobe_o[18] , \tile_x9y4_framestrobe_o[17] , \tile_x9y4_framestrobe_o[16] , \tile_x9y4_framestrobe_o[15] , \tile_x9y4_framestrobe_o[14] , \tile_x9y4_framestrobe_o[13] , \tile_x9y4_framestrobe_o[12] , \tile_x9y4_framestrobe_o[11] , \tile_x9y4_framestrobe_o[10] , \tile_x9y4_framestrobe_o[9] , \tile_x9y4_framestrobe_o[8] , \tile_x9y4_framestrobe_o[7] , \tile_x9y4_framestrobe_o[6] , \tile_x9y4_framestrobe_o[5] , \tile_x9y4_framestrobe_o[4] , \tile_x9y4_framestrobe_o[3] , \tile_x9y4_framestrobe_o[2] , \tile_x9y4_framestrobe_o[1] , \tile_x9y4_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y3_framestrobe_o[19] , \tile_x9y3_framestrobe_o[18] , \tile_x9y3_framestrobe_o[17] , \tile_x9y3_framestrobe_o[16] , \tile_x9y3_framestrobe_o[15] , \tile_x9y3_framestrobe_o[14] , \tile_x9y3_framestrobe_o[13] , \tile_x9y3_framestrobe_o[12] , \tile_x9y3_framestrobe_o[11] , \tile_x9y3_framestrobe_o[10] , \tile_x9y3_framestrobe_o[9] , \tile_x9y3_framestrobe_o[8] , \tile_x9y3_framestrobe_o[7] , \tile_x9y3_framestrobe_o[6] , \tile_x9y3_framestrobe_o[5] , \tile_x9y3_framestrobe_o[4] , \tile_x9y3_framestrobe_o[3] , \tile_x9y3_framestrobe_o[2] , \tile_x9y3_framestrobe_o[1] , \tile_x9y3_framestrobe_o[0] }),
.n1beg({ \tile_x9y3_n1beg[3] , \tile_x9y3_n1beg[2] , \tile_x9y3_n1beg[1] , \tile_x9y3_n1beg[0] }),
.n1end({ \tile_x9y4_n1beg[3] , \tile_x9y4_n1beg[2] , \tile_x9y4_n1beg[1] , \tile_x9y4_n1beg[0] }),
.n2beg({ \tile_x9y3_n2beg[7] , \tile_x9y3_n2beg[6] , \tile_x9y3_n2beg[5] , \tile_x9y3_n2beg[4] , \tile_x9y3_n2beg[3] , \tile_x9y3_n2beg[2] , \tile_x9y3_n2beg[1] , \tile_x9y3_n2beg[0] }),
.n2begb({ \tile_x9y3_n2begb[7] , \tile_x9y3_n2begb[6] , \tile_x9y3_n2begb[5] , \tile_x9y3_n2begb[4] , \tile_x9y3_n2begb[3] , \tile_x9y3_n2begb[2] , \tile_x9y3_n2begb[1] , \tile_x9y3_n2begb[0] }),
.n2end({ \tile_x9y4_n2begb[7] , \tile_x9y4_n2begb[6] , \tile_x9y4_n2begb[5] , \tile_x9y4_n2begb[4] , \tile_x9y4_n2begb[3] , \tile_x9y4_n2begb[2] , \tile_x9y4_n2begb[1] , \tile_x9y4_n2begb[0] }),
.n2mid({ \tile_x9y4_n2beg[7] , \tile_x9y4_n2beg[6] , \tile_x9y4_n2beg[5] , \tile_x9y4_n2beg[4] , \tile_x9y4_n2beg[3] , \tile_x9y4_n2beg[2] , \tile_x9y4_n2beg[1] , \tile_x9y4_n2beg[0] }),
.n4beg({ \tile_x9y3_n4beg[15] , \tile_x9y3_n4beg[14] , \tile_x9y3_n4beg[13] , \tile_x9y3_n4beg[12] , \tile_x9y3_n4beg[11] , \tile_x9y3_n4beg[10] , \tile_x9y3_n4beg[9] , \tile_x9y3_n4beg[8] , \tile_x9y3_n4beg[7] , \tile_x9y3_n4beg[6] , \tile_x9y3_n4beg[5] , \tile_x9y3_n4beg[4] , \tile_x9y3_n4beg[3] , \tile_x9y3_n4beg[2] , \tile_x9y3_n4beg[1] , \tile_x9y3_n4beg[0] }),
.n4end({ \tile_x9y4_n4beg[15] , \tile_x9y4_n4beg[14] , \tile_x9y4_n4beg[13] , \tile_x9y4_n4beg[12] , \tile_x9y4_n4beg[11] , \tile_x9y4_n4beg[10] , \tile_x9y4_n4beg[9] , \tile_x9y4_n4beg[8] , \tile_x9y4_n4beg[7] , \tile_x9y4_n4beg[6] , \tile_x9y4_n4beg[5] , \tile_x9y4_n4beg[4] , \tile_x9y4_n4beg[3] , \tile_x9y4_n4beg[2] , \tile_x9y4_n4beg[1] , \tile_x9y4_n4beg[0] }),
.nn4beg({ \tile_x9y3_nn4beg[15] , \tile_x9y3_nn4beg[14] , \tile_x9y3_nn4beg[13] , \tile_x9y3_nn4beg[12] , \tile_x9y3_nn4beg[11] , \tile_x9y3_nn4beg[10] , \tile_x9y3_nn4beg[9] , \tile_x9y3_nn4beg[8] , \tile_x9y3_nn4beg[7] , \tile_x9y3_nn4beg[6] , \tile_x9y3_nn4beg[5] , \tile_x9y3_nn4beg[4] , \tile_x9y3_nn4beg[3] , \tile_x9y3_nn4beg[2] , \tile_x9y3_nn4beg[1] , \tile_x9y3_nn4beg[0] }),
.nn4end({ \tile_x9y4_nn4beg[15] , \tile_x9y4_nn4beg[14] , \tile_x9y4_nn4beg[13] , \tile_x9y4_nn4beg[12] , \tile_x9y4_nn4beg[11] , \tile_x9y4_nn4beg[10] , \tile_x9y4_nn4beg[9] , \tile_x9y4_nn4beg[8] , \tile_x9y4_nn4beg[7] , \tile_x9y4_nn4beg[6] , \tile_x9y4_nn4beg[5] , \tile_x9y4_nn4beg[4] , \tile_x9y4_nn4beg[3] , \tile_x9y4_nn4beg[2] , \tile_x9y4_nn4beg[1] , \tile_x9y4_nn4beg[0] }),
.s1beg({ \tile_x9y3_s1beg[3] , \tile_x9y3_s1beg[2] , \tile_x9y3_s1beg[1] , \tile_x9y3_s1beg[0] }),
.s1end({ \tile_x9y2_s1beg[3] , \tile_x9y2_s1beg[2] , \tile_x9y2_s1beg[1] , \tile_x9y2_s1beg[0] }),
.s2beg({ \tile_x9y3_s2beg[7] , \tile_x9y3_s2beg[6] , \tile_x9y3_s2beg[5] , \tile_x9y3_s2beg[4] , \tile_x9y3_s2beg[3] , \tile_x9y3_s2beg[2] , \tile_x9y3_s2beg[1] , \tile_x9y3_s2beg[0] }),
.s2begb({ \tile_x9y3_s2begb[7] , \tile_x9y3_s2begb[6] , \tile_x9y3_s2begb[5] , \tile_x9y3_s2begb[4] , \tile_x9y3_s2begb[3] , \tile_x9y3_s2begb[2] , \tile_x9y3_s2begb[1] , \tile_x9y3_s2begb[0] }),
.s2end({ \tile_x9y2_s2begb[7] , \tile_x9y2_s2begb[6] , \tile_x9y2_s2begb[5] , \tile_x9y2_s2begb[4] , \tile_x9y2_s2begb[3] , \tile_x9y2_s2begb[2] , \tile_x9y2_s2begb[1] , \tile_x9y2_s2begb[0] }),
.s2mid({ \tile_x9y2_s2beg[7] , \tile_x9y2_s2beg[6] , \tile_x9y2_s2beg[5] , \tile_x9y2_s2beg[4] , \tile_x9y2_s2beg[3] , \tile_x9y2_s2beg[2] , \tile_x9y2_s2beg[1] , \tile_x9y2_s2beg[0] }),
.s4beg({ \tile_x9y3_s4beg[15] , \tile_x9y3_s4beg[14] , \tile_x9y3_s4beg[13] , \tile_x9y3_s4beg[12] , \tile_x9y3_s4beg[11] , \tile_x9y3_s4beg[10] , \tile_x9y3_s4beg[9] , \tile_x9y3_s4beg[8] , \tile_x9y3_s4beg[7] , \tile_x9y3_s4beg[6] , \tile_x9y3_s4beg[5] , \tile_x9y3_s4beg[4] , \tile_x9y3_s4beg[3] , \tile_x9y3_s4beg[2] , \tile_x9y3_s4beg[1] , \tile_x9y3_s4beg[0] }),
.s4end({ \tile_x9y2_s4beg[15] , \tile_x9y2_s4beg[14] , \tile_x9y2_s4beg[13] , \tile_x9y2_s4beg[12] , \tile_x9y2_s4beg[11] , \tile_x9y2_s4beg[10] , \tile_x9y2_s4beg[9] , \tile_x9y2_s4beg[8] , \tile_x9y2_s4beg[7] , \tile_x9y2_s4beg[6] , \tile_x9y2_s4beg[5] , \tile_x9y2_s4beg[4] , \tile_x9y2_s4beg[3] , \tile_x9y2_s4beg[2] , \tile_x9y2_s4beg[1] , \tile_x9y2_s4beg[0] }),
.ss4beg({ \tile_x9y3_ss4beg[15] , \tile_x9y3_ss4beg[14] , \tile_x9y3_ss4beg[13] , \tile_x9y3_ss4beg[12] , \tile_x9y3_ss4beg[11] , \tile_x9y3_ss4beg[10] , \tile_x9y3_ss4beg[9] , \tile_x9y3_ss4beg[8] , \tile_x9y3_ss4beg[7] , \tile_x9y3_ss4beg[6] , \tile_x9y3_ss4beg[5] , \tile_x9y3_ss4beg[4] , \tile_x9y3_ss4beg[3] , \tile_x9y3_ss4beg[2] , \tile_x9y3_ss4beg[1] , \tile_x9y3_ss4beg[0] }),
.ss4end({ \tile_x9y2_ss4beg[15] , \tile_x9y2_ss4beg[14] , \tile_x9y2_ss4beg[13] , \tile_x9y2_ss4beg[12] , \tile_x9y2_ss4beg[11] , \tile_x9y2_ss4beg[10] , \tile_x9y2_ss4beg[9] , \tile_x9y2_ss4beg[8] , \tile_x9y2_ss4beg[7] , \tile_x9y2_ss4beg[6] , \tile_x9y2_ss4beg[5] , \tile_x9y2_ss4beg[4] , \tile_x9y2_ss4beg[3] , \tile_x9y2_ss4beg[2] , \tile_x9y2_ss4beg[1] , \tile_x9y2_ss4beg[0] }),
.userclk(tile_x9y4_userclko),
.userclko(tile_x9y3_userclko),
.w1beg({ \tile_x9y3_w1beg[3] , \tile_x9y3_w1beg[2] , \tile_x9y3_w1beg[1] , \tile_x9y3_w1beg[0] }),
.w1end({ \tile_x10y3_w1beg[3] , \tile_x10y3_w1beg[2] , \tile_x10y3_w1beg[1] , \tile_x10y3_w1beg[0] }),
.w2beg({ \tile_x9y3_w2beg[7] , \tile_x9y3_w2beg[6] , \tile_x9y3_w2beg[5] , \tile_x9y3_w2beg[4] , \tile_x9y3_w2beg[3] , \tile_x9y3_w2beg[2] , \tile_x9y3_w2beg[1] , \tile_x9y3_w2beg[0] }),
.w2begb({ \tile_x9y3_w2begb[7] , \tile_x9y3_w2begb[6] , \tile_x9y3_w2begb[5] , \tile_x9y3_w2begb[4] , \tile_x9y3_w2begb[3] , \tile_x9y3_w2begb[2] , \tile_x9y3_w2begb[1] , \tile_x9y3_w2begb[0] }),
.w2end({ \tile_x10y3_w2begb[7] , \tile_x10y3_w2begb[6] , \tile_x10y3_w2begb[5] , \tile_x10y3_w2begb[4] , \tile_x10y3_w2begb[3] , \tile_x10y3_w2begb[2] , \tile_x10y3_w2begb[1] , \tile_x10y3_w2begb[0] }),
.w2mid({ \tile_x10y3_w2beg[7] , \tile_x10y3_w2beg[6] , \tile_x10y3_w2beg[5] , \tile_x10y3_w2beg[4] , \tile_x10y3_w2beg[3] , \tile_x10y3_w2beg[2] , \tile_x10y3_w2beg[1] , \tile_x10y3_w2beg[0] }),
.w6beg({ \tile_x9y3_w6beg[11] , \tile_x9y3_w6beg[10] , \tile_x9y3_w6beg[9] , \tile_x9y3_w6beg[8] , \tile_x9y3_w6beg[7] , \tile_x9y3_w6beg[6] , \tile_x9y3_w6beg[5] , \tile_x9y3_w6beg[4] , \tile_x9y3_w6beg[3] , \tile_x9y3_w6beg[2] , \tile_x9y3_w6beg[1] , \tile_x9y3_w6beg[0] }),
.w6end({ \tile_x10y3_w6beg[11] , \tile_x10y3_w6beg[10] , \tile_x10y3_w6beg[9] , \tile_x10y3_w6beg[8] , \tile_x10y3_w6beg[7] , \tile_x10y3_w6beg[6] , \tile_x10y3_w6beg[5] , \tile_x10y3_w6beg[4] , \tile_x10y3_w6beg[3] , \tile_x10y3_w6beg[2] , \tile_x10y3_w6beg[1] , \tile_x10y3_w6beg[0] }),
.ww4beg({ \tile_x9y3_ww4beg[15] , \tile_x9y3_ww4beg[14] , \tile_x9y3_ww4beg[13] , \tile_x9y3_ww4beg[12] , \tile_x9y3_ww4beg[11] , \tile_x9y3_ww4beg[10] , \tile_x9y3_ww4beg[9] , \tile_x9y3_ww4beg[8] , \tile_x9y3_ww4beg[7] , \tile_x9y3_ww4beg[6] , \tile_x9y3_ww4beg[5] , \tile_x9y3_ww4beg[4] , \tile_x9y3_ww4beg[3] , \tile_x9y3_ww4beg[2] , \tile_x9y3_ww4beg[1] , \tile_x9y3_ww4beg[0] }),
.ww4end({ \tile_x10y3_ww4beg[15] , \tile_x10y3_ww4beg[14] , \tile_x10y3_ww4beg[13] , \tile_x10y3_ww4beg[12] , \tile_x10y3_ww4beg[11] , \tile_x10y3_ww4beg[10] , \tile_x10y3_ww4beg[9] , \tile_x10y3_ww4beg[8] , \tile_x10y3_ww4beg[7] , \tile_x10y3_ww4beg[6] , \tile_x10y3_ww4beg[5] , \tile_x10y3_ww4beg[4] , \tile_x10y3_ww4beg[3] , \tile_x10y3_ww4beg[2] , \tile_x10y3_ww4beg[1] , \tile_x10y3_ww4beg[0] })
);
lut4ab tile_x9y4_lut4ab (
.ci(tile_x9y5_co),
.co(tile_x9y4_co),
.e1beg({ \tile_x9y4_e1beg[3] , \tile_x9y4_e1beg[2] , \tile_x9y4_e1beg[1] , \tile_x9y4_e1beg[0] }),
.e1end({ \tile_x8y4_e1beg[3] , \tile_x8y4_e1beg[2] , \tile_x8y4_e1beg[1] , \tile_x8y4_e1beg[0] }),
.e2beg({ \tile_x9y4_e2beg[7] , \tile_x9y4_e2beg[6] , \tile_x9y4_e2beg[5] , \tile_x9y4_e2beg[4] , \tile_x9y4_e2beg[3] , \tile_x9y4_e2beg[2] , \tile_x9y4_e2beg[1] , \tile_x9y4_e2beg[0] }),
.e2begb({ \tile_x9y4_e2begb[7] , \tile_x9y4_e2begb[6] , \tile_x9y4_e2begb[5] , \tile_x9y4_e2begb[4] , \tile_x9y4_e2begb[3] , \tile_x9y4_e2begb[2] , \tile_x9y4_e2begb[1] , \tile_x9y4_e2begb[0] }),
.e2end({ \tile_x8y4_e2begb[7] , \tile_x8y4_e2begb[6] , \tile_x8y4_e2begb[5] , \tile_x8y4_e2begb[4] , \tile_x8y4_e2begb[3] , \tile_x8y4_e2begb[2] , \tile_x8y4_e2begb[1] , \tile_x8y4_e2begb[0] }),
.e2mid({ \tile_x8y4_e2beg[7] , \tile_x8y4_e2beg[6] , \tile_x8y4_e2beg[5] , \tile_x8y4_e2beg[4] , \tile_x8y4_e2beg[3] , \tile_x8y4_e2beg[2] , \tile_x8y4_e2beg[1] , \tile_x8y4_e2beg[0] }),
.e6beg({ \tile_x9y4_e6beg[11] , \tile_x9y4_e6beg[10] , \tile_x9y4_e6beg[9] , \tile_x9y4_e6beg[8] , \tile_x9y4_e6beg[7] , \tile_x9y4_e6beg[6] , \tile_x9y4_e6beg[5] , \tile_x9y4_e6beg[4] , \tile_x9y4_e6beg[3] , \tile_x9y4_e6beg[2] , \tile_x9y4_e6beg[1] , \tile_x9y4_e6beg[0] }),
.e6end({ \tile_x8y4_e6beg[11] , \tile_x8y4_e6beg[10] , \tile_x8y4_e6beg[9] , \tile_x8y4_e6beg[8] , \tile_x8y4_e6beg[7] , \tile_x8y4_e6beg[6] , \tile_x8y4_e6beg[5] , \tile_x8y4_e6beg[4] , \tile_x8y4_e6beg[3] , \tile_x8y4_e6beg[2] , \tile_x8y4_e6beg[1] , \tile_x8y4_e6beg[0] }),
.ee4beg({ \tile_x9y4_ee4beg[15] , \tile_x9y4_ee4beg[14] , \tile_x9y4_ee4beg[13] , \tile_x9y4_ee4beg[12] , \tile_x9y4_ee4beg[11] , \tile_x9y4_ee4beg[10] , \tile_x9y4_ee4beg[9] , \tile_x9y4_ee4beg[8] , \tile_x9y4_ee4beg[7] , \tile_x9y4_ee4beg[6] , \tile_x9y4_ee4beg[5] , \tile_x9y4_ee4beg[4] , \tile_x9y4_ee4beg[3] , \tile_x9y4_ee4beg[2] , \tile_x9y4_ee4beg[1] , \tile_x9y4_ee4beg[0] }),
.ee4end({ \tile_x8y4_ee4beg[15] , \tile_x8y4_ee4beg[14] , \tile_x8y4_ee4beg[13] , \tile_x8y4_ee4beg[12] , \tile_x8y4_ee4beg[11] , \tile_x8y4_ee4beg[10] , \tile_x8y4_ee4beg[9] , \tile_x8y4_ee4beg[8] , \tile_x8y4_ee4beg[7] , \tile_x8y4_ee4beg[6] , \tile_x8y4_ee4beg[5] , \tile_x8y4_ee4beg[4] , \tile_x8y4_ee4beg[3] , \tile_x8y4_ee4beg[2] , \tile_x8y4_ee4beg[1] , \tile_x8y4_ee4beg[0] }),
.framedata({ \tile_x8y4_framedata_o[31] , \tile_x8y4_framedata_o[30] , \tile_x8y4_framedata_o[29] , \tile_x8y4_framedata_o[28] , \tile_x8y4_framedata_o[27] , \tile_x8y4_framedata_o[26] , \tile_x8y4_framedata_o[25] , \tile_x8y4_framedata_o[24] , \tile_x8y4_framedata_o[23] , \tile_x8y4_framedata_o[22] , \tile_x8y4_framedata_o[21] , \tile_x8y4_framedata_o[20] , \tile_x8y4_framedata_o[19] , \tile_x8y4_framedata_o[18] , \tile_x8y4_framedata_o[17] , \tile_x8y4_framedata_o[16] , \tile_x8y4_framedata_o[15] , \tile_x8y4_framedata_o[14] , \tile_x8y4_framedata_o[13] , \tile_x8y4_framedata_o[12] , \tile_x8y4_framedata_o[11] , \tile_x8y4_framedata_o[10] , \tile_x8y4_framedata_o[9] , \tile_x8y4_framedata_o[8] , \tile_x8y4_framedata_o[7] , \tile_x8y4_framedata_o[6] , \tile_x8y4_framedata_o[5] , \tile_x8y4_framedata_o[4] , \tile_x8y4_framedata_o[3] , \tile_x8y4_framedata_o[2] , \tile_x8y4_framedata_o[1] , \tile_x8y4_framedata_o[0] }),
.framedata_o({ \tile_x9y4_framedata_o[31] , \tile_x9y4_framedata_o[30] , \tile_x9y4_framedata_o[29] , \tile_x9y4_framedata_o[28] , \tile_x9y4_framedata_o[27] , \tile_x9y4_framedata_o[26] , \tile_x9y4_framedata_o[25] , \tile_x9y4_framedata_o[24] , \tile_x9y4_framedata_o[23] , \tile_x9y4_framedata_o[22] , \tile_x9y4_framedata_o[21] , \tile_x9y4_framedata_o[20] , \tile_x9y4_framedata_o[19] , \tile_x9y4_framedata_o[18] , \tile_x9y4_framedata_o[17] , \tile_x9y4_framedata_o[16] , \tile_x9y4_framedata_o[15] , \tile_x9y4_framedata_o[14] , \tile_x9y4_framedata_o[13] , \tile_x9y4_framedata_o[12] , \tile_x9y4_framedata_o[11] , \tile_x9y4_framedata_o[10] , \tile_x9y4_framedata_o[9] , \tile_x9y4_framedata_o[8] , \tile_x9y4_framedata_o[7] , \tile_x9y4_framedata_o[6] , \tile_x9y4_framedata_o[5] , \tile_x9y4_framedata_o[4] , \tile_x9y4_framedata_o[3] , \tile_x9y4_framedata_o[2] , \tile_x9y4_framedata_o[1] , \tile_x9y4_framedata_o[0] }),
.framestrobe({ \tile_x9y5_framestrobe_o[19] , \tile_x9y5_framestrobe_o[18] , \tile_x9y5_framestrobe_o[17] , \tile_x9y5_framestrobe_o[16] , \tile_x9y5_framestrobe_o[15] , \tile_x9y5_framestrobe_o[14] , \tile_x9y5_framestrobe_o[13] , \tile_x9y5_framestrobe_o[12] , \tile_x9y5_framestrobe_o[11] , \tile_x9y5_framestrobe_o[10] , \tile_x9y5_framestrobe_o[9] , \tile_x9y5_framestrobe_o[8] , \tile_x9y5_framestrobe_o[7] , \tile_x9y5_framestrobe_o[6] , \tile_x9y5_framestrobe_o[5] , \tile_x9y5_framestrobe_o[4] , \tile_x9y5_framestrobe_o[3] , \tile_x9y5_framestrobe_o[2] , \tile_x9y5_framestrobe_o[1] , \tile_x9y5_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y4_framestrobe_o[19] , \tile_x9y4_framestrobe_o[18] , \tile_x9y4_framestrobe_o[17] , \tile_x9y4_framestrobe_o[16] , \tile_x9y4_framestrobe_o[15] , \tile_x9y4_framestrobe_o[14] , \tile_x9y4_framestrobe_o[13] , \tile_x9y4_framestrobe_o[12] , \tile_x9y4_framestrobe_o[11] , \tile_x9y4_framestrobe_o[10] , \tile_x9y4_framestrobe_o[9] , \tile_x9y4_framestrobe_o[8] , \tile_x9y4_framestrobe_o[7] , \tile_x9y4_framestrobe_o[6] , \tile_x9y4_framestrobe_o[5] , \tile_x9y4_framestrobe_o[4] , \tile_x9y4_framestrobe_o[3] , \tile_x9y4_framestrobe_o[2] , \tile_x9y4_framestrobe_o[1] , \tile_x9y4_framestrobe_o[0] }),
.n1beg({ \tile_x9y4_n1beg[3] , \tile_x9y4_n1beg[2] , \tile_x9y4_n1beg[1] , \tile_x9y4_n1beg[0] }),
.n1end({ \tile_x9y5_n1beg[3] , \tile_x9y5_n1beg[2] , \tile_x9y5_n1beg[1] , \tile_x9y5_n1beg[0] }),
.n2beg({ \tile_x9y4_n2beg[7] , \tile_x9y4_n2beg[6] , \tile_x9y4_n2beg[5] , \tile_x9y4_n2beg[4] , \tile_x9y4_n2beg[3] , \tile_x9y4_n2beg[2] , \tile_x9y4_n2beg[1] , \tile_x9y4_n2beg[0] }),
.n2begb({ \tile_x9y4_n2begb[7] , \tile_x9y4_n2begb[6] , \tile_x9y4_n2begb[5] , \tile_x9y4_n2begb[4] , \tile_x9y4_n2begb[3] , \tile_x9y4_n2begb[2] , \tile_x9y4_n2begb[1] , \tile_x9y4_n2begb[0] }),
.n2end({ \tile_x9y5_n2begb[7] , \tile_x9y5_n2begb[6] , \tile_x9y5_n2begb[5] , \tile_x9y5_n2begb[4] , \tile_x9y5_n2begb[3] , \tile_x9y5_n2begb[2] , \tile_x9y5_n2begb[1] , \tile_x9y5_n2begb[0] }),
.n2mid({ \tile_x9y5_n2beg[7] , \tile_x9y5_n2beg[6] , \tile_x9y5_n2beg[5] , \tile_x9y5_n2beg[4] , \tile_x9y5_n2beg[3] , \tile_x9y5_n2beg[2] , \tile_x9y5_n2beg[1] , \tile_x9y5_n2beg[0] }),
.n4beg({ \tile_x9y4_n4beg[15] , \tile_x9y4_n4beg[14] , \tile_x9y4_n4beg[13] , \tile_x9y4_n4beg[12] , \tile_x9y4_n4beg[11] , \tile_x9y4_n4beg[10] , \tile_x9y4_n4beg[9] , \tile_x9y4_n4beg[8] , \tile_x9y4_n4beg[7] , \tile_x9y4_n4beg[6] , \tile_x9y4_n4beg[5] , \tile_x9y4_n4beg[4] , \tile_x9y4_n4beg[3] , \tile_x9y4_n4beg[2] , \tile_x9y4_n4beg[1] , \tile_x9y4_n4beg[0] }),
.n4end({ \tile_x9y5_n4beg[15] , \tile_x9y5_n4beg[14] , \tile_x9y5_n4beg[13] , \tile_x9y5_n4beg[12] , \tile_x9y5_n4beg[11] , \tile_x9y5_n4beg[10] , \tile_x9y5_n4beg[9] , \tile_x9y5_n4beg[8] , \tile_x9y5_n4beg[7] , \tile_x9y5_n4beg[6] , \tile_x9y5_n4beg[5] , \tile_x9y5_n4beg[4] , \tile_x9y5_n4beg[3] , \tile_x9y5_n4beg[2] , \tile_x9y5_n4beg[1] , \tile_x9y5_n4beg[0] }),
.nn4beg({ \tile_x9y4_nn4beg[15] , \tile_x9y4_nn4beg[14] , \tile_x9y4_nn4beg[13] , \tile_x9y4_nn4beg[12] , \tile_x9y4_nn4beg[11] , \tile_x9y4_nn4beg[10] , \tile_x9y4_nn4beg[9] , \tile_x9y4_nn4beg[8] , \tile_x9y4_nn4beg[7] , \tile_x9y4_nn4beg[6] , \tile_x9y4_nn4beg[5] , \tile_x9y4_nn4beg[4] , \tile_x9y4_nn4beg[3] , \tile_x9y4_nn4beg[2] , \tile_x9y4_nn4beg[1] , \tile_x9y4_nn4beg[0] }),
.nn4end({ \tile_x9y5_nn4beg[15] , \tile_x9y5_nn4beg[14] , \tile_x9y5_nn4beg[13] , \tile_x9y5_nn4beg[12] , \tile_x9y5_nn4beg[11] , \tile_x9y5_nn4beg[10] , \tile_x9y5_nn4beg[9] , \tile_x9y5_nn4beg[8] , \tile_x9y5_nn4beg[7] , \tile_x9y5_nn4beg[6] , \tile_x9y5_nn4beg[5] , \tile_x9y5_nn4beg[4] , \tile_x9y5_nn4beg[3] , \tile_x9y5_nn4beg[2] , \tile_x9y5_nn4beg[1] , \tile_x9y5_nn4beg[0] }),
.s1beg({ \tile_x9y4_s1beg[3] , \tile_x9y4_s1beg[2] , \tile_x9y4_s1beg[1] , \tile_x9y4_s1beg[0] }),
.s1end({ \tile_x9y3_s1beg[3] , \tile_x9y3_s1beg[2] , \tile_x9y3_s1beg[1] , \tile_x9y3_s1beg[0] }),
.s2beg({ \tile_x9y4_s2beg[7] , \tile_x9y4_s2beg[6] , \tile_x9y4_s2beg[5] , \tile_x9y4_s2beg[4] , \tile_x9y4_s2beg[3] , \tile_x9y4_s2beg[2] , \tile_x9y4_s2beg[1] , \tile_x9y4_s2beg[0] }),
.s2begb({ \tile_x9y4_s2begb[7] , \tile_x9y4_s2begb[6] , \tile_x9y4_s2begb[5] , \tile_x9y4_s2begb[4] , \tile_x9y4_s2begb[3] , \tile_x9y4_s2begb[2] , \tile_x9y4_s2begb[1] , \tile_x9y4_s2begb[0] }),
.s2end({ \tile_x9y3_s2begb[7] , \tile_x9y3_s2begb[6] , \tile_x9y3_s2begb[5] , \tile_x9y3_s2begb[4] , \tile_x9y3_s2begb[3] , \tile_x9y3_s2begb[2] , \tile_x9y3_s2begb[1] , \tile_x9y3_s2begb[0] }),
.s2mid({ \tile_x9y3_s2beg[7] , \tile_x9y3_s2beg[6] , \tile_x9y3_s2beg[5] , \tile_x9y3_s2beg[4] , \tile_x9y3_s2beg[3] , \tile_x9y3_s2beg[2] , \tile_x9y3_s2beg[1] , \tile_x9y3_s2beg[0] }),
.s4beg({ \tile_x9y4_s4beg[15] , \tile_x9y4_s4beg[14] , \tile_x9y4_s4beg[13] , \tile_x9y4_s4beg[12] , \tile_x9y4_s4beg[11] , \tile_x9y4_s4beg[10] , \tile_x9y4_s4beg[9] , \tile_x9y4_s4beg[8] , \tile_x9y4_s4beg[7] , \tile_x9y4_s4beg[6] , \tile_x9y4_s4beg[5] , \tile_x9y4_s4beg[4] , \tile_x9y4_s4beg[3] , \tile_x9y4_s4beg[2] , \tile_x9y4_s4beg[1] , \tile_x9y4_s4beg[0] }),
.s4end({ \tile_x9y3_s4beg[15] , \tile_x9y3_s4beg[14] , \tile_x9y3_s4beg[13] , \tile_x9y3_s4beg[12] , \tile_x9y3_s4beg[11] , \tile_x9y3_s4beg[10] , \tile_x9y3_s4beg[9] , \tile_x9y3_s4beg[8] , \tile_x9y3_s4beg[7] , \tile_x9y3_s4beg[6] , \tile_x9y3_s4beg[5] , \tile_x9y3_s4beg[4] , \tile_x9y3_s4beg[3] , \tile_x9y3_s4beg[2] , \tile_x9y3_s4beg[1] , \tile_x9y3_s4beg[0] }),
.ss4beg({ \tile_x9y4_ss4beg[15] , \tile_x9y4_ss4beg[14] , \tile_x9y4_ss4beg[13] , \tile_x9y4_ss4beg[12] , \tile_x9y4_ss4beg[11] , \tile_x9y4_ss4beg[10] , \tile_x9y4_ss4beg[9] , \tile_x9y4_ss4beg[8] , \tile_x9y4_ss4beg[7] , \tile_x9y4_ss4beg[6] , \tile_x9y4_ss4beg[5] , \tile_x9y4_ss4beg[4] , \tile_x9y4_ss4beg[3] , \tile_x9y4_ss4beg[2] , \tile_x9y4_ss4beg[1] , \tile_x9y4_ss4beg[0] }),
.ss4end({ \tile_x9y3_ss4beg[15] , \tile_x9y3_ss4beg[14] , \tile_x9y3_ss4beg[13] , \tile_x9y3_ss4beg[12] , \tile_x9y3_ss4beg[11] , \tile_x9y3_ss4beg[10] , \tile_x9y3_ss4beg[9] , \tile_x9y3_ss4beg[8] , \tile_x9y3_ss4beg[7] , \tile_x9y3_ss4beg[6] , \tile_x9y3_ss4beg[5] , \tile_x9y3_ss4beg[4] , \tile_x9y3_ss4beg[3] , \tile_x9y3_ss4beg[2] , \tile_x9y3_ss4beg[1] , \tile_x9y3_ss4beg[0] }),
.userclk(tile_x9y5_userclko),
.userclko(tile_x9y4_userclko),
.w1beg({ \tile_x9y4_w1beg[3] , \tile_x9y4_w1beg[2] , \tile_x9y4_w1beg[1] , \tile_x9y4_w1beg[0] }),
.w1end({ \tile_x10y4_w1beg[3] , \tile_x10y4_w1beg[2] , \tile_x10y4_w1beg[1] , \tile_x10y4_w1beg[0] }),
.w2beg({ \tile_x9y4_w2beg[7] , \tile_x9y4_w2beg[6] , \tile_x9y4_w2beg[5] , \tile_x9y4_w2beg[4] , \tile_x9y4_w2beg[3] , \tile_x9y4_w2beg[2] , \tile_x9y4_w2beg[1] , \tile_x9y4_w2beg[0] }),
.w2begb({ \tile_x9y4_w2begb[7] , \tile_x9y4_w2begb[6] , \tile_x9y4_w2begb[5] , \tile_x9y4_w2begb[4] , \tile_x9y4_w2begb[3] , \tile_x9y4_w2begb[2] , \tile_x9y4_w2begb[1] , \tile_x9y4_w2begb[0] }),
.w2end({ \tile_x10y4_w2begb[7] , \tile_x10y4_w2begb[6] , \tile_x10y4_w2begb[5] , \tile_x10y4_w2begb[4] , \tile_x10y4_w2begb[3] , \tile_x10y4_w2begb[2] , \tile_x10y4_w2begb[1] , \tile_x10y4_w2begb[0] }),
.w2mid({ \tile_x10y4_w2beg[7] , \tile_x10y4_w2beg[6] , \tile_x10y4_w2beg[5] , \tile_x10y4_w2beg[4] , \tile_x10y4_w2beg[3] , \tile_x10y4_w2beg[2] , \tile_x10y4_w2beg[1] , \tile_x10y4_w2beg[0] }),
.w6beg({ \tile_x9y4_w6beg[11] , \tile_x9y4_w6beg[10] , \tile_x9y4_w6beg[9] , \tile_x9y4_w6beg[8] , \tile_x9y4_w6beg[7] , \tile_x9y4_w6beg[6] , \tile_x9y4_w6beg[5] , \tile_x9y4_w6beg[4] , \tile_x9y4_w6beg[3] , \tile_x9y4_w6beg[2] , \tile_x9y4_w6beg[1] , \tile_x9y4_w6beg[0] }),
.w6end({ \tile_x10y4_w6beg[11] , \tile_x10y4_w6beg[10] , \tile_x10y4_w6beg[9] , \tile_x10y4_w6beg[8] , \tile_x10y4_w6beg[7] , \tile_x10y4_w6beg[6] , \tile_x10y4_w6beg[5] , \tile_x10y4_w6beg[4] , \tile_x10y4_w6beg[3] , \tile_x10y4_w6beg[2] , \tile_x10y4_w6beg[1] , \tile_x10y4_w6beg[0] }),
.ww4beg({ \tile_x9y4_ww4beg[15] , \tile_x9y4_ww4beg[14] , \tile_x9y4_ww4beg[13] , \tile_x9y4_ww4beg[12] , \tile_x9y4_ww4beg[11] , \tile_x9y4_ww4beg[10] , \tile_x9y4_ww4beg[9] , \tile_x9y4_ww4beg[8] , \tile_x9y4_ww4beg[7] , \tile_x9y4_ww4beg[6] , \tile_x9y4_ww4beg[5] , \tile_x9y4_ww4beg[4] , \tile_x9y4_ww4beg[3] , \tile_x9y4_ww4beg[2] , \tile_x9y4_ww4beg[1] , \tile_x9y4_ww4beg[0] }),
.ww4end({ \tile_x10y4_ww4beg[15] , \tile_x10y4_ww4beg[14] , \tile_x10y4_ww4beg[13] , \tile_x10y4_ww4beg[12] , \tile_x10y4_ww4beg[11] , \tile_x10y4_ww4beg[10] , \tile_x10y4_ww4beg[9] , \tile_x10y4_ww4beg[8] , \tile_x10y4_ww4beg[7] , \tile_x10y4_ww4beg[6] , \tile_x10y4_ww4beg[5] , \tile_x10y4_ww4beg[4] , \tile_x10y4_ww4beg[3] , \tile_x10y4_ww4beg[2] , \tile_x10y4_ww4beg[1] , \tile_x10y4_ww4beg[0] })
);
lut4ab tile_x9y5_lut4ab (
.ci(tile_x9y6_co),
.co(tile_x9y5_co),
.e1beg({ \tile_x9y5_e1beg[3] , \tile_x9y5_e1beg[2] , \tile_x9y5_e1beg[1] , \tile_x9y5_e1beg[0] }),
.e1end({ \tile_x8y5_e1beg[3] , \tile_x8y5_e1beg[2] , \tile_x8y5_e1beg[1] , \tile_x8y5_e1beg[0] }),
.e2beg({ \tile_x9y5_e2beg[7] , \tile_x9y5_e2beg[6] , \tile_x9y5_e2beg[5] , \tile_x9y5_e2beg[4] , \tile_x9y5_e2beg[3] , \tile_x9y5_e2beg[2] , \tile_x9y5_e2beg[1] , \tile_x9y5_e2beg[0] }),
.e2begb({ \tile_x9y5_e2begb[7] , \tile_x9y5_e2begb[6] , \tile_x9y5_e2begb[5] , \tile_x9y5_e2begb[4] , \tile_x9y5_e2begb[3] , \tile_x9y5_e2begb[2] , \tile_x9y5_e2begb[1] , \tile_x9y5_e2begb[0] }),
.e2end({ \tile_x8y5_e2begb[7] , \tile_x8y5_e2begb[6] , \tile_x8y5_e2begb[5] , \tile_x8y5_e2begb[4] , \tile_x8y5_e2begb[3] , \tile_x8y5_e2begb[2] , \tile_x8y5_e2begb[1] , \tile_x8y5_e2begb[0] }),
.e2mid({ \tile_x8y5_e2beg[7] , \tile_x8y5_e2beg[6] , \tile_x8y5_e2beg[5] , \tile_x8y5_e2beg[4] , \tile_x8y5_e2beg[3] , \tile_x8y5_e2beg[2] , \tile_x8y5_e2beg[1] , \tile_x8y5_e2beg[0] }),
.e6beg({ \tile_x9y5_e6beg[11] , \tile_x9y5_e6beg[10] , \tile_x9y5_e6beg[9] , \tile_x9y5_e6beg[8] , \tile_x9y5_e6beg[7] , \tile_x9y5_e6beg[6] , \tile_x9y5_e6beg[5] , \tile_x9y5_e6beg[4] , \tile_x9y5_e6beg[3] , \tile_x9y5_e6beg[2] , \tile_x9y5_e6beg[1] , \tile_x9y5_e6beg[0] }),
.e6end({ \tile_x8y5_e6beg[11] , \tile_x8y5_e6beg[10] , \tile_x8y5_e6beg[9] , \tile_x8y5_e6beg[8] , \tile_x8y5_e6beg[7] , \tile_x8y5_e6beg[6] , \tile_x8y5_e6beg[5] , \tile_x8y5_e6beg[4] , \tile_x8y5_e6beg[3] , \tile_x8y5_e6beg[2] , \tile_x8y5_e6beg[1] , \tile_x8y5_e6beg[0] }),
.ee4beg({ \tile_x9y5_ee4beg[15] , \tile_x9y5_ee4beg[14] , \tile_x9y5_ee4beg[13] , \tile_x9y5_ee4beg[12] , \tile_x9y5_ee4beg[11] , \tile_x9y5_ee4beg[10] , \tile_x9y5_ee4beg[9] , \tile_x9y5_ee4beg[8] , \tile_x9y5_ee4beg[7] , \tile_x9y5_ee4beg[6] , \tile_x9y5_ee4beg[5] , \tile_x9y5_ee4beg[4] , \tile_x9y5_ee4beg[3] , \tile_x9y5_ee4beg[2] , \tile_x9y5_ee4beg[1] , \tile_x9y5_ee4beg[0] }),
.ee4end({ \tile_x8y5_ee4beg[15] , \tile_x8y5_ee4beg[14] , \tile_x8y5_ee4beg[13] , \tile_x8y5_ee4beg[12] , \tile_x8y5_ee4beg[11] , \tile_x8y5_ee4beg[10] , \tile_x8y5_ee4beg[9] , \tile_x8y5_ee4beg[8] , \tile_x8y5_ee4beg[7] , \tile_x8y5_ee4beg[6] , \tile_x8y5_ee4beg[5] , \tile_x8y5_ee4beg[4] , \tile_x8y5_ee4beg[3] , \tile_x8y5_ee4beg[2] , \tile_x8y5_ee4beg[1] , \tile_x8y5_ee4beg[0] }),
.framedata({ \tile_x8y5_framedata_o[31] , \tile_x8y5_framedata_o[30] , \tile_x8y5_framedata_o[29] , \tile_x8y5_framedata_o[28] , \tile_x8y5_framedata_o[27] , \tile_x8y5_framedata_o[26] , \tile_x8y5_framedata_o[25] , \tile_x8y5_framedata_o[24] , \tile_x8y5_framedata_o[23] , \tile_x8y5_framedata_o[22] , \tile_x8y5_framedata_o[21] , \tile_x8y5_framedata_o[20] , \tile_x8y5_framedata_o[19] , \tile_x8y5_framedata_o[18] , \tile_x8y5_framedata_o[17] , \tile_x8y5_framedata_o[16] , \tile_x8y5_framedata_o[15] , \tile_x8y5_framedata_o[14] , \tile_x8y5_framedata_o[13] , \tile_x8y5_framedata_o[12] , \tile_x8y5_framedata_o[11] , \tile_x8y5_framedata_o[10] , \tile_x8y5_framedata_o[9] , \tile_x8y5_framedata_o[8] , \tile_x8y5_framedata_o[7] , \tile_x8y5_framedata_o[6] , \tile_x8y5_framedata_o[5] , \tile_x8y5_framedata_o[4] , \tile_x8y5_framedata_o[3] , \tile_x8y5_framedata_o[2] , \tile_x8y5_framedata_o[1] , \tile_x8y5_framedata_o[0] }),
.framedata_o({ \tile_x9y5_framedata_o[31] , \tile_x9y5_framedata_o[30] , \tile_x9y5_framedata_o[29] , \tile_x9y5_framedata_o[28] , \tile_x9y5_framedata_o[27] , \tile_x9y5_framedata_o[26] , \tile_x9y5_framedata_o[25] , \tile_x9y5_framedata_o[24] , \tile_x9y5_framedata_o[23] , \tile_x9y5_framedata_o[22] , \tile_x9y5_framedata_o[21] , \tile_x9y5_framedata_o[20] , \tile_x9y5_framedata_o[19] , \tile_x9y5_framedata_o[18] , \tile_x9y5_framedata_o[17] , \tile_x9y5_framedata_o[16] , \tile_x9y5_framedata_o[15] , \tile_x9y5_framedata_o[14] , \tile_x9y5_framedata_o[13] , \tile_x9y5_framedata_o[12] , \tile_x9y5_framedata_o[11] , \tile_x9y5_framedata_o[10] , \tile_x9y5_framedata_o[9] , \tile_x9y5_framedata_o[8] , \tile_x9y5_framedata_o[7] , \tile_x9y5_framedata_o[6] , \tile_x9y5_framedata_o[5] , \tile_x9y5_framedata_o[4] , \tile_x9y5_framedata_o[3] , \tile_x9y5_framedata_o[2] , \tile_x9y5_framedata_o[1] , \tile_x9y5_framedata_o[0] }),
.framestrobe({ \tile_x9y6_framestrobe_o[19] , \tile_x9y6_framestrobe_o[18] , \tile_x9y6_framestrobe_o[17] , \tile_x9y6_framestrobe_o[16] , \tile_x9y6_framestrobe_o[15] , \tile_x9y6_framestrobe_o[14] , \tile_x9y6_framestrobe_o[13] , \tile_x9y6_framestrobe_o[12] , \tile_x9y6_framestrobe_o[11] , \tile_x9y6_framestrobe_o[10] , \tile_x9y6_framestrobe_o[9] , \tile_x9y6_framestrobe_o[8] , \tile_x9y6_framestrobe_o[7] , \tile_x9y6_framestrobe_o[6] , \tile_x9y6_framestrobe_o[5] , \tile_x9y6_framestrobe_o[4] , \tile_x9y6_framestrobe_o[3] , \tile_x9y6_framestrobe_o[2] , \tile_x9y6_framestrobe_o[1] , \tile_x9y6_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y5_framestrobe_o[19] , \tile_x9y5_framestrobe_o[18] , \tile_x9y5_framestrobe_o[17] , \tile_x9y5_framestrobe_o[16] , \tile_x9y5_framestrobe_o[15] , \tile_x9y5_framestrobe_o[14] , \tile_x9y5_framestrobe_o[13] , \tile_x9y5_framestrobe_o[12] , \tile_x9y5_framestrobe_o[11] , \tile_x9y5_framestrobe_o[10] , \tile_x9y5_framestrobe_o[9] , \tile_x9y5_framestrobe_o[8] , \tile_x9y5_framestrobe_o[7] , \tile_x9y5_framestrobe_o[6] , \tile_x9y5_framestrobe_o[5] , \tile_x9y5_framestrobe_o[4] , \tile_x9y5_framestrobe_o[3] , \tile_x9y5_framestrobe_o[2] , \tile_x9y5_framestrobe_o[1] , \tile_x9y5_framestrobe_o[0] }),
.n1beg({ \tile_x9y5_n1beg[3] , \tile_x9y5_n1beg[2] , \tile_x9y5_n1beg[1] , \tile_x9y5_n1beg[0] }),
.n1end({ \tile_x9y6_n1beg[3] , \tile_x9y6_n1beg[2] , \tile_x9y6_n1beg[1] , \tile_x9y6_n1beg[0] }),
.n2beg({ \tile_x9y5_n2beg[7] , \tile_x9y5_n2beg[6] , \tile_x9y5_n2beg[5] , \tile_x9y5_n2beg[4] , \tile_x9y5_n2beg[3] , \tile_x9y5_n2beg[2] , \tile_x9y5_n2beg[1] , \tile_x9y5_n2beg[0] }),
.n2begb({ \tile_x9y5_n2begb[7] , \tile_x9y5_n2begb[6] , \tile_x9y5_n2begb[5] , \tile_x9y5_n2begb[4] , \tile_x9y5_n2begb[3] , \tile_x9y5_n2begb[2] , \tile_x9y5_n2begb[1] , \tile_x9y5_n2begb[0] }),
.n2end({ \tile_x9y6_n2begb[7] , \tile_x9y6_n2begb[6] , \tile_x9y6_n2begb[5] , \tile_x9y6_n2begb[4] , \tile_x9y6_n2begb[3] , \tile_x9y6_n2begb[2] , \tile_x9y6_n2begb[1] , \tile_x9y6_n2begb[0] }),
.n2mid({ \tile_x9y6_n2beg[7] , \tile_x9y6_n2beg[6] , \tile_x9y6_n2beg[5] , \tile_x9y6_n2beg[4] , \tile_x9y6_n2beg[3] , \tile_x9y6_n2beg[2] , \tile_x9y6_n2beg[1] , \tile_x9y6_n2beg[0] }),
.n4beg({ \tile_x9y5_n4beg[15] , \tile_x9y5_n4beg[14] , \tile_x9y5_n4beg[13] , \tile_x9y5_n4beg[12] , \tile_x9y5_n4beg[11] , \tile_x9y5_n4beg[10] , \tile_x9y5_n4beg[9] , \tile_x9y5_n4beg[8] , \tile_x9y5_n4beg[7] , \tile_x9y5_n4beg[6] , \tile_x9y5_n4beg[5] , \tile_x9y5_n4beg[4] , \tile_x9y5_n4beg[3] , \tile_x9y5_n4beg[2] , \tile_x9y5_n4beg[1] , \tile_x9y5_n4beg[0] }),
.n4end({ \tile_x9y6_n4beg[15] , \tile_x9y6_n4beg[14] , \tile_x9y6_n4beg[13] , \tile_x9y6_n4beg[12] , \tile_x9y6_n4beg[11] , \tile_x9y6_n4beg[10] , \tile_x9y6_n4beg[9] , \tile_x9y6_n4beg[8] , \tile_x9y6_n4beg[7] , \tile_x9y6_n4beg[6] , \tile_x9y6_n4beg[5] , \tile_x9y6_n4beg[4] , \tile_x9y6_n4beg[3] , \tile_x9y6_n4beg[2] , \tile_x9y6_n4beg[1] , \tile_x9y6_n4beg[0] }),
.nn4beg({ \tile_x9y5_nn4beg[15] , \tile_x9y5_nn4beg[14] , \tile_x9y5_nn4beg[13] , \tile_x9y5_nn4beg[12] , \tile_x9y5_nn4beg[11] , \tile_x9y5_nn4beg[10] , \tile_x9y5_nn4beg[9] , \tile_x9y5_nn4beg[8] , \tile_x9y5_nn4beg[7] , \tile_x9y5_nn4beg[6] , \tile_x9y5_nn4beg[5] , \tile_x9y5_nn4beg[4] , \tile_x9y5_nn4beg[3] , \tile_x9y5_nn4beg[2] , \tile_x9y5_nn4beg[1] , \tile_x9y5_nn4beg[0] }),
.nn4end({ \tile_x9y6_nn4beg[15] , \tile_x9y6_nn4beg[14] , \tile_x9y6_nn4beg[13] , \tile_x9y6_nn4beg[12] , \tile_x9y6_nn4beg[11] , \tile_x9y6_nn4beg[10] , \tile_x9y6_nn4beg[9] , \tile_x9y6_nn4beg[8] , \tile_x9y6_nn4beg[7] , \tile_x9y6_nn4beg[6] , \tile_x9y6_nn4beg[5] , \tile_x9y6_nn4beg[4] , \tile_x9y6_nn4beg[3] , \tile_x9y6_nn4beg[2] , \tile_x9y6_nn4beg[1] , \tile_x9y6_nn4beg[0] }),
.s1beg({ \tile_x9y5_s1beg[3] , \tile_x9y5_s1beg[2] , \tile_x9y5_s1beg[1] , \tile_x9y5_s1beg[0] }),
.s1end({ \tile_x9y4_s1beg[3] , \tile_x9y4_s1beg[2] , \tile_x9y4_s1beg[1] , \tile_x9y4_s1beg[0] }),
.s2beg({ \tile_x9y5_s2beg[7] , \tile_x9y5_s2beg[6] , \tile_x9y5_s2beg[5] , \tile_x9y5_s2beg[4] , \tile_x9y5_s2beg[3] , \tile_x9y5_s2beg[2] , \tile_x9y5_s2beg[1] , \tile_x9y5_s2beg[0] }),
.s2begb({ \tile_x9y5_s2begb[7] , \tile_x9y5_s2begb[6] , \tile_x9y5_s2begb[5] , \tile_x9y5_s2begb[4] , \tile_x9y5_s2begb[3] , \tile_x9y5_s2begb[2] , \tile_x9y5_s2begb[1] , \tile_x9y5_s2begb[0] }),
.s2end({ \tile_x9y4_s2begb[7] , \tile_x9y4_s2begb[6] , \tile_x9y4_s2begb[5] , \tile_x9y4_s2begb[4] , \tile_x9y4_s2begb[3] , \tile_x9y4_s2begb[2] , \tile_x9y4_s2begb[1] , \tile_x9y4_s2begb[0] }),
.s2mid({ \tile_x9y4_s2beg[7] , \tile_x9y4_s2beg[6] , \tile_x9y4_s2beg[5] , \tile_x9y4_s2beg[4] , \tile_x9y4_s2beg[3] , \tile_x9y4_s2beg[2] , \tile_x9y4_s2beg[1] , \tile_x9y4_s2beg[0] }),
.s4beg({ \tile_x9y5_s4beg[15] , \tile_x9y5_s4beg[14] , \tile_x9y5_s4beg[13] , \tile_x9y5_s4beg[12] , \tile_x9y5_s4beg[11] , \tile_x9y5_s4beg[10] , \tile_x9y5_s4beg[9] , \tile_x9y5_s4beg[8] , \tile_x9y5_s4beg[7] , \tile_x9y5_s4beg[6] , \tile_x9y5_s4beg[5] , \tile_x9y5_s4beg[4] , \tile_x9y5_s4beg[3] , \tile_x9y5_s4beg[2] , \tile_x9y5_s4beg[1] , \tile_x9y5_s4beg[0] }),
.s4end({ \tile_x9y4_s4beg[15] , \tile_x9y4_s4beg[14] , \tile_x9y4_s4beg[13] , \tile_x9y4_s4beg[12] , \tile_x9y4_s4beg[11] , \tile_x9y4_s4beg[10] , \tile_x9y4_s4beg[9] , \tile_x9y4_s4beg[8] , \tile_x9y4_s4beg[7] , \tile_x9y4_s4beg[6] , \tile_x9y4_s4beg[5] , \tile_x9y4_s4beg[4] , \tile_x9y4_s4beg[3] , \tile_x9y4_s4beg[2] , \tile_x9y4_s4beg[1] , \tile_x9y4_s4beg[0] }),
.ss4beg({ \tile_x9y5_ss4beg[15] , \tile_x9y5_ss4beg[14] , \tile_x9y5_ss4beg[13] , \tile_x9y5_ss4beg[12] , \tile_x9y5_ss4beg[11] , \tile_x9y5_ss4beg[10] , \tile_x9y5_ss4beg[9] , \tile_x9y5_ss4beg[8] , \tile_x9y5_ss4beg[7] , \tile_x9y5_ss4beg[6] , \tile_x9y5_ss4beg[5] , \tile_x9y5_ss4beg[4] , \tile_x9y5_ss4beg[3] , \tile_x9y5_ss4beg[2] , \tile_x9y5_ss4beg[1] , \tile_x9y5_ss4beg[0] }),
.ss4end({ \tile_x9y4_ss4beg[15] , \tile_x9y4_ss4beg[14] , \tile_x9y4_ss4beg[13] , \tile_x9y4_ss4beg[12] , \tile_x9y4_ss4beg[11] , \tile_x9y4_ss4beg[10] , \tile_x9y4_ss4beg[9] , \tile_x9y4_ss4beg[8] , \tile_x9y4_ss4beg[7] , \tile_x9y4_ss4beg[6] , \tile_x9y4_ss4beg[5] , \tile_x9y4_ss4beg[4] , \tile_x9y4_ss4beg[3] , \tile_x9y4_ss4beg[2] , \tile_x9y4_ss4beg[1] , \tile_x9y4_ss4beg[0] }),
.userclk(tile_x9y6_userclko),
.userclko(tile_x9y5_userclko),
.w1beg({ \tile_x9y5_w1beg[3] , \tile_x9y5_w1beg[2] , \tile_x9y5_w1beg[1] , \tile_x9y5_w1beg[0] }),
.w1end({ \tile_x10y5_w1beg[3] , \tile_x10y5_w1beg[2] , \tile_x10y5_w1beg[1] , \tile_x10y5_w1beg[0] }),
.w2beg({ \tile_x9y5_w2beg[7] , \tile_x9y5_w2beg[6] , \tile_x9y5_w2beg[5] , \tile_x9y5_w2beg[4] , \tile_x9y5_w2beg[3] , \tile_x9y5_w2beg[2] , \tile_x9y5_w2beg[1] , \tile_x9y5_w2beg[0] }),
.w2begb({ \tile_x9y5_w2begb[7] , \tile_x9y5_w2begb[6] , \tile_x9y5_w2begb[5] , \tile_x9y5_w2begb[4] , \tile_x9y5_w2begb[3] , \tile_x9y5_w2begb[2] , \tile_x9y5_w2begb[1] , \tile_x9y5_w2begb[0] }),
.w2end({ \tile_x10y5_w2begb[7] , \tile_x10y5_w2begb[6] , \tile_x10y5_w2begb[5] , \tile_x10y5_w2begb[4] , \tile_x10y5_w2begb[3] , \tile_x10y5_w2begb[2] , \tile_x10y5_w2begb[1] , \tile_x10y5_w2begb[0] }),
.w2mid({ \tile_x10y5_w2beg[7] , \tile_x10y5_w2beg[6] , \tile_x10y5_w2beg[5] , \tile_x10y5_w2beg[4] , \tile_x10y5_w2beg[3] , \tile_x10y5_w2beg[2] , \tile_x10y5_w2beg[1] , \tile_x10y5_w2beg[0] }),
.w6beg({ \tile_x9y5_w6beg[11] , \tile_x9y5_w6beg[10] , \tile_x9y5_w6beg[9] , \tile_x9y5_w6beg[8] , \tile_x9y5_w6beg[7] , \tile_x9y5_w6beg[6] , \tile_x9y5_w6beg[5] , \tile_x9y5_w6beg[4] , \tile_x9y5_w6beg[3] , \tile_x9y5_w6beg[2] , \tile_x9y5_w6beg[1] , \tile_x9y5_w6beg[0] }),
.w6end({ \tile_x10y5_w6beg[11] , \tile_x10y5_w6beg[10] , \tile_x10y5_w6beg[9] , \tile_x10y5_w6beg[8] , \tile_x10y5_w6beg[7] , \tile_x10y5_w6beg[6] , \tile_x10y5_w6beg[5] , \tile_x10y5_w6beg[4] , \tile_x10y5_w6beg[3] , \tile_x10y5_w6beg[2] , \tile_x10y5_w6beg[1] , \tile_x10y5_w6beg[0] }),
.ww4beg({ \tile_x9y5_ww4beg[15] , \tile_x9y5_ww4beg[14] , \tile_x9y5_ww4beg[13] , \tile_x9y5_ww4beg[12] , \tile_x9y5_ww4beg[11] , \tile_x9y5_ww4beg[10] , \tile_x9y5_ww4beg[9] , \tile_x9y5_ww4beg[8] , \tile_x9y5_ww4beg[7] , \tile_x9y5_ww4beg[6] , \tile_x9y5_ww4beg[5] , \tile_x9y5_ww4beg[4] , \tile_x9y5_ww4beg[3] , \tile_x9y5_ww4beg[2] , \tile_x9y5_ww4beg[1] , \tile_x9y5_ww4beg[0] }),
.ww4end({ \tile_x10y5_ww4beg[15] , \tile_x10y5_ww4beg[14] , \tile_x10y5_ww4beg[13] , \tile_x10y5_ww4beg[12] , \tile_x10y5_ww4beg[11] , \tile_x10y5_ww4beg[10] , \tile_x10y5_ww4beg[9] , \tile_x10y5_ww4beg[8] , \tile_x10y5_ww4beg[7] , \tile_x10y5_ww4beg[6] , \tile_x10y5_ww4beg[5] , \tile_x10y5_ww4beg[4] , \tile_x10y5_ww4beg[3] , \tile_x10y5_ww4beg[2] , \tile_x10y5_ww4beg[1] , \tile_x10y5_ww4beg[0] })
);
lut4ab tile_x9y6_lut4ab (
.ci(tile_x9y7_co),
.co(tile_x9y6_co),
.e1beg({ \tile_x9y6_e1beg[3] , \tile_x9y6_e1beg[2] , \tile_x9y6_e1beg[1] , \tile_x9y6_e1beg[0] }),
.e1end({ \tile_x8y6_e1beg[3] , \tile_x8y6_e1beg[2] , \tile_x8y6_e1beg[1] , \tile_x8y6_e1beg[0] }),
.e2beg({ \tile_x9y6_e2beg[7] , \tile_x9y6_e2beg[6] , \tile_x9y6_e2beg[5] , \tile_x9y6_e2beg[4] , \tile_x9y6_e2beg[3] , \tile_x9y6_e2beg[2] , \tile_x9y6_e2beg[1] , \tile_x9y6_e2beg[0] }),
.e2begb({ \tile_x9y6_e2begb[7] , \tile_x9y6_e2begb[6] , \tile_x9y6_e2begb[5] , \tile_x9y6_e2begb[4] , \tile_x9y6_e2begb[3] , \tile_x9y6_e2begb[2] , \tile_x9y6_e2begb[1] , \tile_x9y6_e2begb[0] }),
.e2end({ \tile_x8y6_e2begb[7] , \tile_x8y6_e2begb[6] , \tile_x8y6_e2begb[5] , \tile_x8y6_e2begb[4] , \tile_x8y6_e2begb[3] , \tile_x8y6_e2begb[2] , \tile_x8y6_e2begb[1] , \tile_x8y6_e2begb[0] }),
.e2mid({ \tile_x8y6_e2beg[7] , \tile_x8y6_e2beg[6] , \tile_x8y6_e2beg[5] , \tile_x8y6_e2beg[4] , \tile_x8y6_e2beg[3] , \tile_x8y6_e2beg[2] , \tile_x8y6_e2beg[1] , \tile_x8y6_e2beg[0] }),
.e6beg({ \tile_x9y6_e6beg[11] , \tile_x9y6_e6beg[10] , \tile_x9y6_e6beg[9] , \tile_x9y6_e6beg[8] , \tile_x9y6_e6beg[7] , \tile_x9y6_e6beg[6] , \tile_x9y6_e6beg[5] , \tile_x9y6_e6beg[4] , \tile_x9y6_e6beg[3] , \tile_x9y6_e6beg[2] , \tile_x9y6_e6beg[1] , \tile_x9y6_e6beg[0] }),
.e6end({ \tile_x8y6_e6beg[11] , \tile_x8y6_e6beg[10] , \tile_x8y6_e6beg[9] , \tile_x8y6_e6beg[8] , \tile_x8y6_e6beg[7] , \tile_x8y6_e6beg[6] , \tile_x8y6_e6beg[5] , \tile_x8y6_e6beg[4] , \tile_x8y6_e6beg[3] , \tile_x8y6_e6beg[2] , \tile_x8y6_e6beg[1] , \tile_x8y6_e6beg[0] }),
.ee4beg({ \tile_x9y6_ee4beg[15] , \tile_x9y6_ee4beg[14] , \tile_x9y6_ee4beg[13] , \tile_x9y6_ee4beg[12] , \tile_x9y6_ee4beg[11] , \tile_x9y6_ee4beg[10] , \tile_x9y6_ee4beg[9] , \tile_x9y6_ee4beg[8] , \tile_x9y6_ee4beg[7] , \tile_x9y6_ee4beg[6] , \tile_x9y6_ee4beg[5] , \tile_x9y6_ee4beg[4] , \tile_x9y6_ee4beg[3] , \tile_x9y6_ee4beg[2] , \tile_x9y6_ee4beg[1] , \tile_x9y6_ee4beg[0] }),
.ee4end({ \tile_x8y6_ee4beg[15] , \tile_x8y6_ee4beg[14] , \tile_x8y6_ee4beg[13] , \tile_x8y6_ee4beg[12] , \tile_x8y6_ee4beg[11] , \tile_x8y6_ee4beg[10] , \tile_x8y6_ee4beg[9] , \tile_x8y6_ee4beg[8] , \tile_x8y6_ee4beg[7] , \tile_x8y6_ee4beg[6] , \tile_x8y6_ee4beg[5] , \tile_x8y6_ee4beg[4] , \tile_x8y6_ee4beg[3] , \tile_x8y6_ee4beg[2] , \tile_x8y6_ee4beg[1] , \tile_x8y6_ee4beg[0] }),
.framedata({ \tile_x8y6_framedata_o[31] , \tile_x8y6_framedata_o[30] , \tile_x8y6_framedata_o[29] , \tile_x8y6_framedata_o[28] , \tile_x8y6_framedata_o[27] , \tile_x8y6_framedata_o[26] , \tile_x8y6_framedata_o[25] , \tile_x8y6_framedata_o[24] , \tile_x8y6_framedata_o[23] , \tile_x8y6_framedata_o[22] , \tile_x8y6_framedata_o[21] , \tile_x8y6_framedata_o[20] , \tile_x8y6_framedata_o[19] , \tile_x8y6_framedata_o[18] , \tile_x8y6_framedata_o[17] , \tile_x8y6_framedata_o[16] , \tile_x8y6_framedata_o[15] , \tile_x8y6_framedata_o[14] , \tile_x8y6_framedata_o[13] , \tile_x8y6_framedata_o[12] , \tile_x8y6_framedata_o[11] , \tile_x8y6_framedata_o[10] , \tile_x8y6_framedata_o[9] , \tile_x8y6_framedata_o[8] , \tile_x8y6_framedata_o[7] , \tile_x8y6_framedata_o[6] , \tile_x8y6_framedata_o[5] , \tile_x8y6_framedata_o[4] , \tile_x8y6_framedata_o[3] , \tile_x8y6_framedata_o[2] , \tile_x8y6_framedata_o[1] , \tile_x8y6_framedata_o[0] }),
.framedata_o({ \tile_x9y6_framedata_o[31] , \tile_x9y6_framedata_o[30] , \tile_x9y6_framedata_o[29] , \tile_x9y6_framedata_o[28] , \tile_x9y6_framedata_o[27] , \tile_x9y6_framedata_o[26] , \tile_x9y6_framedata_o[25] , \tile_x9y6_framedata_o[24] , \tile_x9y6_framedata_o[23] , \tile_x9y6_framedata_o[22] , \tile_x9y6_framedata_o[21] , \tile_x9y6_framedata_o[20] , \tile_x9y6_framedata_o[19] , \tile_x9y6_framedata_o[18] , \tile_x9y6_framedata_o[17] , \tile_x9y6_framedata_o[16] , \tile_x9y6_framedata_o[15] , \tile_x9y6_framedata_o[14] , \tile_x9y6_framedata_o[13] , \tile_x9y6_framedata_o[12] , \tile_x9y6_framedata_o[11] , \tile_x9y6_framedata_o[10] , \tile_x9y6_framedata_o[9] , \tile_x9y6_framedata_o[8] , \tile_x9y6_framedata_o[7] , \tile_x9y6_framedata_o[6] , \tile_x9y6_framedata_o[5] , \tile_x9y6_framedata_o[4] , \tile_x9y6_framedata_o[3] , \tile_x9y6_framedata_o[2] , \tile_x9y6_framedata_o[1] , \tile_x9y6_framedata_o[0] }),
.framestrobe({ \tile_x9y7_framestrobe_o[19] , \tile_x9y7_framestrobe_o[18] , \tile_x9y7_framestrobe_o[17] , \tile_x9y7_framestrobe_o[16] , \tile_x9y7_framestrobe_o[15] , \tile_x9y7_framestrobe_o[14] , \tile_x9y7_framestrobe_o[13] , \tile_x9y7_framestrobe_o[12] , \tile_x9y7_framestrobe_o[11] , \tile_x9y7_framestrobe_o[10] , \tile_x9y7_framestrobe_o[9] , \tile_x9y7_framestrobe_o[8] , \tile_x9y7_framestrobe_o[7] , \tile_x9y7_framestrobe_o[6] , \tile_x9y7_framestrobe_o[5] , \tile_x9y7_framestrobe_o[4] , \tile_x9y7_framestrobe_o[3] , \tile_x9y7_framestrobe_o[2] , \tile_x9y7_framestrobe_o[1] , \tile_x9y7_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y6_framestrobe_o[19] , \tile_x9y6_framestrobe_o[18] , \tile_x9y6_framestrobe_o[17] , \tile_x9y6_framestrobe_o[16] , \tile_x9y6_framestrobe_o[15] , \tile_x9y6_framestrobe_o[14] , \tile_x9y6_framestrobe_o[13] , \tile_x9y6_framestrobe_o[12] , \tile_x9y6_framestrobe_o[11] , \tile_x9y6_framestrobe_o[10] , \tile_x9y6_framestrobe_o[9] , \tile_x9y6_framestrobe_o[8] , \tile_x9y6_framestrobe_o[7] , \tile_x9y6_framestrobe_o[6] , \tile_x9y6_framestrobe_o[5] , \tile_x9y6_framestrobe_o[4] , \tile_x9y6_framestrobe_o[3] , \tile_x9y6_framestrobe_o[2] , \tile_x9y6_framestrobe_o[1] , \tile_x9y6_framestrobe_o[0] }),
.n1beg({ \tile_x9y6_n1beg[3] , \tile_x9y6_n1beg[2] , \tile_x9y6_n1beg[1] , \tile_x9y6_n1beg[0] }),
.n1end({ \tile_x9y7_n1beg[3] , \tile_x9y7_n1beg[2] , \tile_x9y7_n1beg[1] , \tile_x9y7_n1beg[0] }),
.n2beg({ \tile_x9y6_n2beg[7] , \tile_x9y6_n2beg[6] , \tile_x9y6_n2beg[5] , \tile_x9y6_n2beg[4] , \tile_x9y6_n2beg[3] , \tile_x9y6_n2beg[2] , \tile_x9y6_n2beg[1] , \tile_x9y6_n2beg[0] }),
.n2begb({ \tile_x9y6_n2begb[7] , \tile_x9y6_n2begb[6] , \tile_x9y6_n2begb[5] , \tile_x9y6_n2begb[4] , \tile_x9y6_n2begb[3] , \tile_x9y6_n2begb[2] , \tile_x9y6_n2begb[1] , \tile_x9y6_n2begb[0] }),
.n2end({ \tile_x9y7_n2begb[7] , \tile_x9y7_n2begb[6] , \tile_x9y7_n2begb[5] , \tile_x9y7_n2begb[4] , \tile_x9y7_n2begb[3] , \tile_x9y7_n2begb[2] , \tile_x9y7_n2begb[1] , \tile_x9y7_n2begb[0] }),
.n2mid({ \tile_x9y7_n2beg[7] , \tile_x9y7_n2beg[6] , \tile_x9y7_n2beg[5] , \tile_x9y7_n2beg[4] , \tile_x9y7_n2beg[3] , \tile_x9y7_n2beg[2] , \tile_x9y7_n2beg[1] , \tile_x9y7_n2beg[0] }),
.n4beg({ \tile_x9y6_n4beg[15] , \tile_x9y6_n4beg[14] , \tile_x9y6_n4beg[13] , \tile_x9y6_n4beg[12] , \tile_x9y6_n4beg[11] , \tile_x9y6_n4beg[10] , \tile_x9y6_n4beg[9] , \tile_x9y6_n4beg[8] , \tile_x9y6_n4beg[7] , \tile_x9y6_n4beg[6] , \tile_x9y6_n4beg[5] , \tile_x9y6_n4beg[4] , \tile_x9y6_n4beg[3] , \tile_x9y6_n4beg[2] , \tile_x9y6_n4beg[1] , \tile_x9y6_n4beg[0] }),
.n4end({ \tile_x9y7_n4beg[15] , \tile_x9y7_n4beg[14] , \tile_x9y7_n4beg[13] , \tile_x9y7_n4beg[12] , \tile_x9y7_n4beg[11] , \tile_x9y7_n4beg[10] , \tile_x9y7_n4beg[9] , \tile_x9y7_n4beg[8] , \tile_x9y7_n4beg[7] , \tile_x9y7_n4beg[6] , \tile_x9y7_n4beg[5] , \tile_x9y7_n4beg[4] , \tile_x9y7_n4beg[3] , \tile_x9y7_n4beg[2] , \tile_x9y7_n4beg[1] , \tile_x9y7_n4beg[0] }),
.nn4beg({ \tile_x9y6_nn4beg[15] , \tile_x9y6_nn4beg[14] , \tile_x9y6_nn4beg[13] , \tile_x9y6_nn4beg[12] , \tile_x9y6_nn4beg[11] , \tile_x9y6_nn4beg[10] , \tile_x9y6_nn4beg[9] , \tile_x9y6_nn4beg[8] , \tile_x9y6_nn4beg[7] , \tile_x9y6_nn4beg[6] , \tile_x9y6_nn4beg[5] , \tile_x9y6_nn4beg[4] , \tile_x9y6_nn4beg[3] , \tile_x9y6_nn4beg[2] , \tile_x9y6_nn4beg[1] , \tile_x9y6_nn4beg[0] }),
.nn4end({ \tile_x9y7_nn4beg[15] , \tile_x9y7_nn4beg[14] , \tile_x9y7_nn4beg[13] , \tile_x9y7_nn4beg[12] , \tile_x9y7_nn4beg[11] , \tile_x9y7_nn4beg[10] , \tile_x9y7_nn4beg[9] , \tile_x9y7_nn4beg[8] , \tile_x9y7_nn4beg[7] , \tile_x9y7_nn4beg[6] , \tile_x9y7_nn4beg[5] , \tile_x9y7_nn4beg[4] , \tile_x9y7_nn4beg[3] , \tile_x9y7_nn4beg[2] , \tile_x9y7_nn4beg[1] , \tile_x9y7_nn4beg[0] }),
.s1beg({ \tile_x9y6_s1beg[3] , \tile_x9y6_s1beg[2] , \tile_x9y6_s1beg[1] , \tile_x9y6_s1beg[0] }),
.s1end({ \tile_x9y5_s1beg[3] , \tile_x9y5_s1beg[2] , \tile_x9y5_s1beg[1] , \tile_x9y5_s1beg[0] }),
.s2beg({ \tile_x9y6_s2beg[7] , \tile_x9y6_s2beg[6] , \tile_x9y6_s2beg[5] , \tile_x9y6_s2beg[4] , \tile_x9y6_s2beg[3] , \tile_x9y6_s2beg[2] , \tile_x9y6_s2beg[1] , \tile_x9y6_s2beg[0] }),
.s2begb({ \tile_x9y6_s2begb[7] , \tile_x9y6_s2begb[6] , \tile_x9y6_s2begb[5] , \tile_x9y6_s2begb[4] , \tile_x9y6_s2begb[3] , \tile_x9y6_s2begb[2] , \tile_x9y6_s2begb[1] , \tile_x9y6_s2begb[0] }),
.s2end({ \tile_x9y5_s2begb[7] , \tile_x9y5_s2begb[6] , \tile_x9y5_s2begb[5] , \tile_x9y5_s2begb[4] , \tile_x9y5_s2begb[3] , \tile_x9y5_s2begb[2] , \tile_x9y5_s2begb[1] , \tile_x9y5_s2begb[0] }),
.s2mid({ \tile_x9y5_s2beg[7] , \tile_x9y5_s2beg[6] , \tile_x9y5_s2beg[5] , \tile_x9y5_s2beg[4] , \tile_x9y5_s2beg[3] , \tile_x9y5_s2beg[2] , \tile_x9y5_s2beg[1] , \tile_x9y5_s2beg[0] }),
.s4beg({ \tile_x9y6_s4beg[15] , \tile_x9y6_s4beg[14] , \tile_x9y6_s4beg[13] , \tile_x9y6_s4beg[12] , \tile_x9y6_s4beg[11] , \tile_x9y6_s4beg[10] , \tile_x9y6_s4beg[9] , \tile_x9y6_s4beg[8] , \tile_x9y6_s4beg[7] , \tile_x9y6_s4beg[6] , \tile_x9y6_s4beg[5] , \tile_x9y6_s4beg[4] , \tile_x9y6_s4beg[3] , \tile_x9y6_s4beg[2] , \tile_x9y6_s4beg[1] , \tile_x9y6_s4beg[0] }),
.s4end({ \tile_x9y5_s4beg[15] , \tile_x9y5_s4beg[14] , \tile_x9y5_s4beg[13] , \tile_x9y5_s4beg[12] , \tile_x9y5_s4beg[11] , \tile_x9y5_s4beg[10] , \tile_x9y5_s4beg[9] , \tile_x9y5_s4beg[8] , \tile_x9y5_s4beg[7] , \tile_x9y5_s4beg[6] , \tile_x9y5_s4beg[5] , \tile_x9y5_s4beg[4] , \tile_x9y5_s4beg[3] , \tile_x9y5_s4beg[2] , \tile_x9y5_s4beg[1] , \tile_x9y5_s4beg[0] }),
.ss4beg({ \tile_x9y6_ss4beg[15] , \tile_x9y6_ss4beg[14] , \tile_x9y6_ss4beg[13] , \tile_x9y6_ss4beg[12] , \tile_x9y6_ss4beg[11] , \tile_x9y6_ss4beg[10] , \tile_x9y6_ss4beg[9] , \tile_x9y6_ss4beg[8] , \tile_x9y6_ss4beg[7] , \tile_x9y6_ss4beg[6] , \tile_x9y6_ss4beg[5] , \tile_x9y6_ss4beg[4] , \tile_x9y6_ss4beg[3] , \tile_x9y6_ss4beg[2] , \tile_x9y6_ss4beg[1] , \tile_x9y6_ss4beg[0] }),
.ss4end({ \tile_x9y5_ss4beg[15] , \tile_x9y5_ss4beg[14] , \tile_x9y5_ss4beg[13] , \tile_x9y5_ss4beg[12] , \tile_x9y5_ss4beg[11] , \tile_x9y5_ss4beg[10] , \tile_x9y5_ss4beg[9] , \tile_x9y5_ss4beg[8] , \tile_x9y5_ss4beg[7] , \tile_x9y5_ss4beg[6] , \tile_x9y5_ss4beg[5] , \tile_x9y5_ss4beg[4] , \tile_x9y5_ss4beg[3] , \tile_x9y5_ss4beg[2] , \tile_x9y5_ss4beg[1] , \tile_x9y5_ss4beg[0] }),
.userclk(tile_x9y7_userclko),
.userclko(tile_x9y6_userclko),
.w1beg({ \tile_x9y6_w1beg[3] , \tile_x9y6_w1beg[2] , \tile_x9y6_w1beg[1] , \tile_x9y6_w1beg[0] }),
.w1end({ \tile_x10y6_w1beg[3] , \tile_x10y6_w1beg[2] , \tile_x10y6_w1beg[1] , \tile_x10y6_w1beg[0] }),
.w2beg({ \tile_x9y6_w2beg[7] , \tile_x9y6_w2beg[6] , \tile_x9y6_w2beg[5] , \tile_x9y6_w2beg[4] , \tile_x9y6_w2beg[3] , \tile_x9y6_w2beg[2] , \tile_x9y6_w2beg[1] , \tile_x9y6_w2beg[0] }),
.w2begb({ \tile_x9y6_w2begb[7] , \tile_x9y6_w2begb[6] , \tile_x9y6_w2begb[5] , \tile_x9y6_w2begb[4] , \tile_x9y6_w2begb[3] , \tile_x9y6_w2begb[2] , \tile_x9y6_w2begb[1] , \tile_x9y6_w2begb[0] }),
.w2end({ \tile_x10y6_w2begb[7] , \tile_x10y6_w2begb[6] , \tile_x10y6_w2begb[5] , \tile_x10y6_w2begb[4] , \tile_x10y6_w2begb[3] , \tile_x10y6_w2begb[2] , \tile_x10y6_w2begb[1] , \tile_x10y6_w2begb[0] }),
.w2mid({ \tile_x10y6_w2beg[7] , \tile_x10y6_w2beg[6] , \tile_x10y6_w2beg[5] , \tile_x10y6_w2beg[4] , \tile_x10y6_w2beg[3] , \tile_x10y6_w2beg[2] , \tile_x10y6_w2beg[1] , \tile_x10y6_w2beg[0] }),
.w6beg({ \tile_x9y6_w6beg[11] , \tile_x9y6_w6beg[10] , \tile_x9y6_w6beg[9] , \tile_x9y6_w6beg[8] , \tile_x9y6_w6beg[7] , \tile_x9y6_w6beg[6] , \tile_x9y6_w6beg[5] , \tile_x9y6_w6beg[4] , \tile_x9y6_w6beg[3] , \tile_x9y6_w6beg[2] , \tile_x9y6_w6beg[1] , \tile_x9y6_w6beg[0] }),
.w6end({ \tile_x10y6_w6beg[11] , \tile_x10y6_w6beg[10] , \tile_x10y6_w6beg[9] , \tile_x10y6_w6beg[8] , \tile_x10y6_w6beg[7] , \tile_x10y6_w6beg[6] , \tile_x10y6_w6beg[5] , \tile_x10y6_w6beg[4] , \tile_x10y6_w6beg[3] , \tile_x10y6_w6beg[2] , \tile_x10y6_w6beg[1] , \tile_x10y6_w6beg[0] }),
.ww4beg({ \tile_x9y6_ww4beg[15] , \tile_x9y6_ww4beg[14] , \tile_x9y6_ww4beg[13] , \tile_x9y6_ww4beg[12] , \tile_x9y6_ww4beg[11] , \tile_x9y6_ww4beg[10] , \tile_x9y6_ww4beg[9] , \tile_x9y6_ww4beg[8] , \tile_x9y6_ww4beg[7] , \tile_x9y6_ww4beg[6] , \tile_x9y6_ww4beg[5] , \tile_x9y6_ww4beg[4] , \tile_x9y6_ww4beg[3] , \tile_x9y6_ww4beg[2] , \tile_x9y6_ww4beg[1] , \tile_x9y6_ww4beg[0] }),
.ww4end({ \tile_x10y6_ww4beg[15] , \tile_x10y6_ww4beg[14] , \tile_x10y6_ww4beg[13] , \tile_x10y6_ww4beg[12] , \tile_x10y6_ww4beg[11] , \tile_x10y6_ww4beg[10] , \tile_x10y6_ww4beg[9] , \tile_x10y6_ww4beg[8] , \tile_x10y6_ww4beg[7] , \tile_x10y6_ww4beg[6] , \tile_x10y6_ww4beg[5] , \tile_x10y6_ww4beg[4] , \tile_x10y6_ww4beg[3] , \tile_x10y6_ww4beg[2] , \tile_x10y6_ww4beg[1] , \tile_x10y6_ww4beg[0] })
);
lut4ab tile_x9y7_lut4ab (
.ci(tile_x9y8_co),
.co(tile_x9y7_co),
.e1beg({ \tile_x9y7_e1beg[3] , \tile_x9y7_e1beg[2] , \tile_x9y7_e1beg[1] , \tile_x9y7_e1beg[0] }),
.e1end({ \tile_x8y7_e1beg[3] , \tile_x8y7_e1beg[2] , \tile_x8y7_e1beg[1] , \tile_x8y7_e1beg[0] }),
.e2beg({ \tile_x9y7_e2beg[7] , \tile_x9y7_e2beg[6] , \tile_x9y7_e2beg[5] , \tile_x9y7_e2beg[4] , \tile_x9y7_e2beg[3] , \tile_x9y7_e2beg[2] , \tile_x9y7_e2beg[1] , \tile_x9y7_e2beg[0] }),
.e2begb({ \tile_x9y7_e2begb[7] , \tile_x9y7_e2begb[6] , \tile_x9y7_e2begb[5] , \tile_x9y7_e2begb[4] , \tile_x9y7_e2begb[3] , \tile_x9y7_e2begb[2] , \tile_x9y7_e2begb[1] , \tile_x9y7_e2begb[0] }),
.e2end({ \tile_x8y7_e2begb[7] , \tile_x8y7_e2begb[6] , \tile_x8y7_e2begb[5] , \tile_x8y7_e2begb[4] , \tile_x8y7_e2begb[3] , \tile_x8y7_e2begb[2] , \tile_x8y7_e2begb[1] , \tile_x8y7_e2begb[0] }),
.e2mid({ \tile_x8y7_e2beg[7] , \tile_x8y7_e2beg[6] , \tile_x8y7_e2beg[5] , \tile_x8y7_e2beg[4] , \tile_x8y7_e2beg[3] , \tile_x8y7_e2beg[2] , \tile_x8y7_e2beg[1] , \tile_x8y7_e2beg[0] }),
.e6beg({ \tile_x9y7_e6beg[11] , \tile_x9y7_e6beg[10] , \tile_x9y7_e6beg[9] , \tile_x9y7_e6beg[8] , \tile_x9y7_e6beg[7] , \tile_x9y7_e6beg[6] , \tile_x9y7_e6beg[5] , \tile_x9y7_e6beg[4] , \tile_x9y7_e6beg[3] , \tile_x9y7_e6beg[2] , \tile_x9y7_e6beg[1] , \tile_x9y7_e6beg[0] }),
.e6end({ \tile_x8y7_e6beg[11] , \tile_x8y7_e6beg[10] , \tile_x8y7_e6beg[9] , \tile_x8y7_e6beg[8] , \tile_x8y7_e6beg[7] , \tile_x8y7_e6beg[6] , \tile_x8y7_e6beg[5] , \tile_x8y7_e6beg[4] , \tile_x8y7_e6beg[3] , \tile_x8y7_e6beg[2] , \tile_x8y7_e6beg[1] , \tile_x8y7_e6beg[0] }),
.ee4beg({ \tile_x9y7_ee4beg[15] , \tile_x9y7_ee4beg[14] , \tile_x9y7_ee4beg[13] , \tile_x9y7_ee4beg[12] , \tile_x9y7_ee4beg[11] , \tile_x9y7_ee4beg[10] , \tile_x9y7_ee4beg[9] , \tile_x9y7_ee4beg[8] , \tile_x9y7_ee4beg[7] , \tile_x9y7_ee4beg[6] , \tile_x9y7_ee4beg[5] , \tile_x9y7_ee4beg[4] , \tile_x9y7_ee4beg[3] , \tile_x9y7_ee4beg[2] , \tile_x9y7_ee4beg[1] , \tile_x9y7_ee4beg[0] }),
.ee4end({ \tile_x8y7_ee4beg[15] , \tile_x8y7_ee4beg[14] , \tile_x8y7_ee4beg[13] , \tile_x8y7_ee4beg[12] , \tile_x8y7_ee4beg[11] , \tile_x8y7_ee4beg[10] , \tile_x8y7_ee4beg[9] , \tile_x8y7_ee4beg[8] , \tile_x8y7_ee4beg[7] , \tile_x8y7_ee4beg[6] , \tile_x8y7_ee4beg[5] , \tile_x8y7_ee4beg[4] , \tile_x8y7_ee4beg[3] , \tile_x8y7_ee4beg[2] , \tile_x8y7_ee4beg[1] , \tile_x8y7_ee4beg[0] }),
.framedata({ \tile_x8y7_framedata_o[31] , \tile_x8y7_framedata_o[30] , \tile_x8y7_framedata_o[29] , \tile_x8y7_framedata_o[28] , \tile_x8y7_framedata_o[27] , \tile_x8y7_framedata_o[26] , \tile_x8y7_framedata_o[25] , \tile_x8y7_framedata_o[24] , \tile_x8y7_framedata_o[23] , \tile_x8y7_framedata_o[22] , \tile_x8y7_framedata_o[21] , \tile_x8y7_framedata_o[20] , \tile_x8y7_framedata_o[19] , \tile_x8y7_framedata_o[18] , \tile_x8y7_framedata_o[17] , \tile_x8y7_framedata_o[16] , \tile_x8y7_framedata_o[15] , \tile_x8y7_framedata_o[14] , \tile_x8y7_framedata_o[13] , \tile_x8y7_framedata_o[12] , \tile_x8y7_framedata_o[11] , \tile_x8y7_framedata_o[10] , \tile_x8y7_framedata_o[9] , \tile_x8y7_framedata_o[8] , \tile_x8y7_framedata_o[7] , \tile_x8y7_framedata_o[6] , \tile_x8y7_framedata_o[5] , \tile_x8y7_framedata_o[4] , \tile_x8y7_framedata_o[3] , \tile_x8y7_framedata_o[2] , \tile_x8y7_framedata_o[1] , \tile_x8y7_framedata_o[0] }),
.framedata_o({ \tile_x9y7_framedata_o[31] , \tile_x9y7_framedata_o[30] , \tile_x9y7_framedata_o[29] , \tile_x9y7_framedata_o[28] , \tile_x9y7_framedata_o[27] , \tile_x9y7_framedata_o[26] , \tile_x9y7_framedata_o[25] , \tile_x9y7_framedata_o[24] , \tile_x9y7_framedata_o[23] , \tile_x9y7_framedata_o[22] , \tile_x9y7_framedata_o[21] , \tile_x9y7_framedata_o[20] , \tile_x9y7_framedata_o[19] , \tile_x9y7_framedata_o[18] , \tile_x9y7_framedata_o[17] , \tile_x9y7_framedata_o[16] , \tile_x9y7_framedata_o[15] , \tile_x9y7_framedata_o[14] , \tile_x9y7_framedata_o[13] , \tile_x9y7_framedata_o[12] , \tile_x9y7_framedata_o[11] , \tile_x9y7_framedata_o[10] , \tile_x9y7_framedata_o[9] , \tile_x9y7_framedata_o[8] , \tile_x9y7_framedata_o[7] , \tile_x9y7_framedata_o[6] , \tile_x9y7_framedata_o[5] , \tile_x9y7_framedata_o[4] , \tile_x9y7_framedata_o[3] , \tile_x9y7_framedata_o[2] , \tile_x9y7_framedata_o[1] , \tile_x9y7_framedata_o[0] }),
.framestrobe({ \tile_x9y8_framestrobe_o[19] , \tile_x9y8_framestrobe_o[18] , \tile_x9y8_framestrobe_o[17] , \tile_x9y8_framestrobe_o[16] , \tile_x9y8_framestrobe_o[15] , \tile_x9y8_framestrobe_o[14] , \tile_x9y8_framestrobe_o[13] , \tile_x9y8_framestrobe_o[12] , \tile_x9y8_framestrobe_o[11] , \tile_x9y8_framestrobe_o[10] , \tile_x9y8_framestrobe_o[9] , \tile_x9y8_framestrobe_o[8] , \tile_x9y8_framestrobe_o[7] , \tile_x9y8_framestrobe_o[6] , \tile_x9y8_framestrobe_o[5] , \tile_x9y8_framestrobe_o[4] , \tile_x9y8_framestrobe_o[3] , \tile_x9y8_framestrobe_o[2] , \tile_x9y8_framestrobe_o[1] , \tile_x9y8_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y7_framestrobe_o[19] , \tile_x9y7_framestrobe_o[18] , \tile_x9y7_framestrobe_o[17] , \tile_x9y7_framestrobe_o[16] , \tile_x9y7_framestrobe_o[15] , \tile_x9y7_framestrobe_o[14] , \tile_x9y7_framestrobe_o[13] , \tile_x9y7_framestrobe_o[12] , \tile_x9y7_framestrobe_o[11] , \tile_x9y7_framestrobe_o[10] , \tile_x9y7_framestrobe_o[9] , \tile_x9y7_framestrobe_o[8] , \tile_x9y7_framestrobe_o[7] , \tile_x9y7_framestrobe_o[6] , \tile_x9y7_framestrobe_o[5] , \tile_x9y7_framestrobe_o[4] , \tile_x9y7_framestrobe_o[3] , \tile_x9y7_framestrobe_o[2] , \tile_x9y7_framestrobe_o[1] , \tile_x9y7_framestrobe_o[0] }),
.n1beg({ \tile_x9y7_n1beg[3] , \tile_x9y7_n1beg[2] , \tile_x9y7_n1beg[1] , \tile_x9y7_n1beg[0] }),
.n1end({ \tile_x9y8_n1beg[3] , \tile_x9y8_n1beg[2] , \tile_x9y8_n1beg[1] , \tile_x9y8_n1beg[0] }),
.n2beg({ \tile_x9y7_n2beg[7] , \tile_x9y7_n2beg[6] , \tile_x9y7_n2beg[5] , \tile_x9y7_n2beg[4] , \tile_x9y7_n2beg[3] , \tile_x9y7_n2beg[2] , \tile_x9y7_n2beg[1] , \tile_x9y7_n2beg[0] }),
.n2begb({ \tile_x9y7_n2begb[7] , \tile_x9y7_n2begb[6] , \tile_x9y7_n2begb[5] , \tile_x9y7_n2begb[4] , \tile_x9y7_n2begb[3] , \tile_x9y7_n2begb[2] , \tile_x9y7_n2begb[1] , \tile_x9y7_n2begb[0] }),
.n2end({ \tile_x9y8_n2begb[7] , \tile_x9y8_n2begb[6] , \tile_x9y8_n2begb[5] , \tile_x9y8_n2begb[4] , \tile_x9y8_n2begb[3] , \tile_x9y8_n2begb[2] , \tile_x9y8_n2begb[1] , \tile_x9y8_n2begb[0] }),
.n2mid({ \tile_x9y8_n2beg[7] , \tile_x9y8_n2beg[6] , \tile_x9y8_n2beg[5] , \tile_x9y8_n2beg[4] , \tile_x9y8_n2beg[3] , \tile_x9y8_n2beg[2] , \tile_x9y8_n2beg[1] , \tile_x9y8_n2beg[0] }),
.n4beg({ \tile_x9y7_n4beg[15] , \tile_x9y7_n4beg[14] , \tile_x9y7_n4beg[13] , \tile_x9y7_n4beg[12] , \tile_x9y7_n4beg[11] , \tile_x9y7_n4beg[10] , \tile_x9y7_n4beg[9] , \tile_x9y7_n4beg[8] , \tile_x9y7_n4beg[7] , \tile_x9y7_n4beg[6] , \tile_x9y7_n4beg[5] , \tile_x9y7_n4beg[4] , \tile_x9y7_n4beg[3] , \tile_x9y7_n4beg[2] , \tile_x9y7_n4beg[1] , \tile_x9y7_n4beg[0] }),
.n4end({ \tile_x9y8_n4beg[15] , \tile_x9y8_n4beg[14] , \tile_x9y8_n4beg[13] , \tile_x9y8_n4beg[12] , \tile_x9y8_n4beg[11] , \tile_x9y8_n4beg[10] , \tile_x9y8_n4beg[9] , \tile_x9y8_n4beg[8] , \tile_x9y8_n4beg[7] , \tile_x9y8_n4beg[6] , \tile_x9y8_n4beg[5] , \tile_x9y8_n4beg[4] , \tile_x9y8_n4beg[3] , \tile_x9y8_n4beg[2] , \tile_x9y8_n4beg[1] , \tile_x9y8_n4beg[0] }),
.nn4beg({ \tile_x9y7_nn4beg[15] , \tile_x9y7_nn4beg[14] , \tile_x9y7_nn4beg[13] , \tile_x9y7_nn4beg[12] , \tile_x9y7_nn4beg[11] , \tile_x9y7_nn4beg[10] , \tile_x9y7_nn4beg[9] , \tile_x9y7_nn4beg[8] , \tile_x9y7_nn4beg[7] , \tile_x9y7_nn4beg[6] , \tile_x9y7_nn4beg[5] , \tile_x9y7_nn4beg[4] , \tile_x9y7_nn4beg[3] , \tile_x9y7_nn4beg[2] , \tile_x9y7_nn4beg[1] , \tile_x9y7_nn4beg[0] }),
.nn4end({ \tile_x9y8_nn4beg[15] , \tile_x9y8_nn4beg[14] , \tile_x9y8_nn4beg[13] , \tile_x9y8_nn4beg[12] , \tile_x9y8_nn4beg[11] , \tile_x9y8_nn4beg[10] , \tile_x9y8_nn4beg[9] , \tile_x9y8_nn4beg[8] , \tile_x9y8_nn4beg[7] , \tile_x9y8_nn4beg[6] , \tile_x9y8_nn4beg[5] , \tile_x9y8_nn4beg[4] , \tile_x9y8_nn4beg[3] , \tile_x9y8_nn4beg[2] , \tile_x9y8_nn4beg[1] , \tile_x9y8_nn4beg[0] }),
.s1beg({ \tile_x9y7_s1beg[3] , \tile_x9y7_s1beg[2] , \tile_x9y7_s1beg[1] , \tile_x9y7_s1beg[0] }),
.s1end({ \tile_x9y6_s1beg[3] , \tile_x9y6_s1beg[2] , \tile_x9y6_s1beg[1] , \tile_x9y6_s1beg[0] }),
.s2beg({ \tile_x9y7_s2beg[7] , \tile_x9y7_s2beg[6] , \tile_x9y7_s2beg[5] , \tile_x9y7_s2beg[4] , \tile_x9y7_s2beg[3] , \tile_x9y7_s2beg[2] , \tile_x9y7_s2beg[1] , \tile_x9y7_s2beg[0] }),
.s2begb({ \tile_x9y7_s2begb[7] , \tile_x9y7_s2begb[6] , \tile_x9y7_s2begb[5] , \tile_x9y7_s2begb[4] , \tile_x9y7_s2begb[3] , \tile_x9y7_s2begb[2] , \tile_x9y7_s2begb[1] , \tile_x9y7_s2begb[0] }),
.s2end({ \tile_x9y6_s2begb[7] , \tile_x9y6_s2begb[6] , \tile_x9y6_s2begb[5] , \tile_x9y6_s2begb[4] , \tile_x9y6_s2begb[3] , \tile_x9y6_s2begb[2] , \tile_x9y6_s2begb[1] , \tile_x9y6_s2begb[0] }),
.s2mid({ \tile_x9y6_s2beg[7] , \tile_x9y6_s2beg[6] , \tile_x9y6_s2beg[5] , \tile_x9y6_s2beg[4] , \tile_x9y6_s2beg[3] , \tile_x9y6_s2beg[2] , \tile_x9y6_s2beg[1] , \tile_x9y6_s2beg[0] }),
.s4beg({ \tile_x9y7_s4beg[15] , \tile_x9y7_s4beg[14] , \tile_x9y7_s4beg[13] , \tile_x9y7_s4beg[12] , \tile_x9y7_s4beg[11] , \tile_x9y7_s4beg[10] , \tile_x9y7_s4beg[9] , \tile_x9y7_s4beg[8] , \tile_x9y7_s4beg[7] , \tile_x9y7_s4beg[6] , \tile_x9y7_s4beg[5] , \tile_x9y7_s4beg[4] , \tile_x9y7_s4beg[3] , \tile_x9y7_s4beg[2] , \tile_x9y7_s4beg[1] , \tile_x9y7_s4beg[0] }),
.s4end({ \tile_x9y6_s4beg[15] , \tile_x9y6_s4beg[14] , \tile_x9y6_s4beg[13] , \tile_x9y6_s4beg[12] , \tile_x9y6_s4beg[11] , \tile_x9y6_s4beg[10] , \tile_x9y6_s4beg[9] , \tile_x9y6_s4beg[8] , \tile_x9y6_s4beg[7] , \tile_x9y6_s4beg[6] , \tile_x9y6_s4beg[5] , \tile_x9y6_s4beg[4] , \tile_x9y6_s4beg[3] , \tile_x9y6_s4beg[2] , \tile_x9y6_s4beg[1] , \tile_x9y6_s4beg[0] }),
.ss4beg({ \tile_x9y7_ss4beg[15] , \tile_x9y7_ss4beg[14] , \tile_x9y7_ss4beg[13] , \tile_x9y7_ss4beg[12] , \tile_x9y7_ss4beg[11] , \tile_x9y7_ss4beg[10] , \tile_x9y7_ss4beg[9] , \tile_x9y7_ss4beg[8] , \tile_x9y7_ss4beg[7] , \tile_x9y7_ss4beg[6] , \tile_x9y7_ss4beg[5] , \tile_x9y7_ss4beg[4] , \tile_x9y7_ss4beg[3] , \tile_x9y7_ss4beg[2] , \tile_x9y7_ss4beg[1] , \tile_x9y7_ss4beg[0] }),
.ss4end({ \tile_x9y6_ss4beg[15] , \tile_x9y6_ss4beg[14] , \tile_x9y6_ss4beg[13] , \tile_x9y6_ss4beg[12] , \tile_x9y6_ss4beg[11] , \tile_x9y6_ss4beg[10] , \tile_x9y6_ss4beg[9] , \tile_x9y6_ss4beg[8] , \tile_x9y6_ss4beg[7] , \tile_x9y6_ss4beg[6] , \tile_x9y6_ss4beg[5] , \tile_x9y6_ss4beg[4] , \tile_x9y6_ss4beg[3] , \tile_x9y6_ss4beg[2] , \tile_x9y6_ss4beg[1] , \tile_x9y6_ss4beg[0] }),
.userclk(tile_x9y8_userclko),
.userclko(tile_x9y7_userclko),
.w1beg({ \tile_x9y7_w1beg[3] , \tile_x9y7_w1beg[2] , \tile_x9y7_w1beg[1] , \tile_x9y7_w1beg[0] }),
.w1end({ \tile_x10y7_w1beg[3] , \tile_x10y7_w1beg[2] , \tile_x10y7_w1beg[1] , \tile_x10y7_w1beg[0] }),
.w2beg({ \tile_x9y7_w2beg[7] , \tile_x9y7_w2beg[6] , \tile_x9y7_w2beg[5] , \tile_x9y7_w2beg[4] , \tile_x9y7_w2beg[3] , \tile_x9y7_w2beg[2] , \tile_x9y7_w2beg[1] , \tile_x9y7_w2beg[0] }),
.w2begb({ \tile_x9y7_w2begb[7] , \tile_x9y7_w2begb[6] , \tile_x9y7_w2begb[5] , \tile_x9y7_w2begb[4] , \tile_x9y7_w2begb[3] , \tile_x9y7_w2begb[2] , \tile_x9y7_w2begb[1] , \tile_x9y7_w2begb[0] }),
.w2end({ \tile_x10y7_w2begb[7] , \tile_x10y7_w2begb[6] , \tile_x10y7_w2begb[5] , \tile_x10y7_w2begb[4] , \tile_x10y7_w2begb[3] , \tile_x10y7_w2begb[2] , \tile_x10y7_w2begb[1] , \tile_x10y7_w2begb[0] }),
.w2mid({ \tile_x10y7_w2beg[7] , \tile_x10y7_w2beg[6] , \tile_x10y7_w2beg[5] , \tile_x10y7_w2beg[4] , \tile_x10y7_w2beg[3] , \tile_x10y7_w2beg[2] , \tile_x10y7_w2beg[1] , \tile_x10y7_w2beg[0] }),
.w6beg({ \tile_x9y7_w6beg[11] , \tile_x9y7_w6beg[10] , \tile_x9y7_w6beg[9] , \tile_x9y7_w6beg[8] , \tile_x9y7_w6beg[7] , \tile_x9y7_w6beg[6] , \tile_x9y7_w6beg[5] , \tile_x9y7_w6beg[4] , \tile_x9y7_w6beg[3] , \tile_x9y7_w6beg[2] , \tile_x9y7_w6beg[1] , \tile_x9y7_w6beg[0] }),
.w6end({ \tile_x10y7_w6beg[11] , \tile_x10y7_w6beg[10] , \tile_x10y7_w6beg[9] , \tile_x10y7_w6beg[8] , \tile_x10y7_w6beg[7] , \tile_x10y7_w6beg[6] , \tile_x10y7_w6beg[5] , \tile_x10y7_w6beg[4] , \tile_x10y7_w6beg[3] , \tile_x10y7_w6beg[2] , \tile_x10y7_w6beg[1] , \tile_x10y7_w6beg[0] }),
.ww4beg({ \tile_x9y7_ww4beg[15] , \tile_x9y7_ww4beg[14] , \tile_x9y7_ww4beg[13] , \tile_x9y7_ww4beg[12] , \tile_x9y7_ww4beg[11] , \tile_x9y7_ww4beg[10] , \tile_x9y7_ww4beg[9] , \tile_x9y7_ww4beg[8] , \tile_x9y7_ww4beg[7] , \tile_x9y7_ww4beg[6] , \tile_x9y7_ww4beg[5] , \tile_x9y7_ww4beg[4] , \tile_x9y7_ww4beg[3] , \tile_x9y7_ww4beg[2] , \tile_x9y7_ww4beg[1] , \tile_x9y7_ww4beg[0] }),
.ww4end({ \tile_x10y7_ww4beg[15] , \tile_x10y7_ww4beg[14] , \tile_x10y7_ww4beg[13] , \tile_x10y7_ww4beg[12] , \tile_x10y7_ww4beg[11] , \tile_x10y7_ww4beg[10] , \tile_x10y7_ww4beg[9] , \tile_x10y7_ww4beg[8] , \tile_x10y7_ww4beg[7] , \tile_x10y7_ww4beg[6] , \tile_x10y7_ww4beg[5] , \tile_x10y7_ww4beg[4] , \tile_x10y7_ww4beg[3] , \tile_x10y7_ww4beg[2] , \tile_x10y7_ww4beg[1] , \tile_x10y7_ww4beg[0] })
);
lut4ab tile_x9y8_lut4ab (
.ci(tile_x9y9_co),
.co(tile_x9y8_co),
.e1beg({ \tile_x9y8_e1beg[3] , \tile_x9y8_e1beg[2] , \tile_x9y8_e1beg[1] , \tile_x9y8_e1beg[0] }),
.e1end({ \tile_x8y8_e1beg[3] , \tile_x8y8_e1beg[2] , \tile_x8y8_e1beg[1] , \tile_x8y8_e1beg[0] }),
.e2beg({ \tile_x9y8_e2beg[7] , \tile_x9y8_e2beg[6] , \tile_x9y8_e2beg[5] , \tile_x9y8_e2beg[4] , \tile_x9y8_e2beg[3] , \tile_x9y8_e2beg[2] , \tile_x9y8_e2beg[1] , \tile_x9y8_e2beg[0] }),
.e2begb({ \tile_x9y8_e2begb[7] , \tile_x9y8_e2begb[6] , \tile_x9y8_e2begb[5] , \tile_x9y8_e2begb[4] , \tile_x9y8_e2begb[3] , \tile_x9y8_e2begb[2] , \tile_x9y8_e2begb[1] , \tile_x9y8_e2begb[0] }),
.e2end({ \tile_x8y8_e2begb[7] , \tile_x8y8_e2begb[6] , \tile_x8y8_e2begb[5] , \tile_x8y8_e2begb[4] , \tile_x8y8_e2begb[3] , \tile_x8y8_e2begb[2] , \tile_x8y8_e2begb[1] , \tile_x8y8_e2begb[0] }),
.e2mid({ \tile_x8y8_e2beg[7] , \tile_x8y8_e2beg[6] , \tile_x8y8_e2beg[5] , \tile_x8y8_e2beg[4] , \tile_x8y8_e2beg[3] , \tile_x8y8_e2beg[2] , \tile_x8y8_e2beg[1] , \tile_x8y8_e2beg[0] }),
.e6beg({ \tile_x9y8_e6beg[11] , \tile_x9y8_e6beg[10] , \tile_x9y8_e6beg[9] , \tile_x9y8_e6beg[8] , \tile_x9y8_e6beg[7] , \tile_x9y8_e6beg[6] , \tile_x9y8_e6beg[5] , \tile_x9y8_e6beg[4] , \tile_x9y8_e6beg[3] , \tile_x9y8_e6beg[2] , \tile_x9y8_e6beg[1] , \tile_x9y8_e6beg[0] }),
.e6end({ \tile_x8y8_e6beg[11] , \tile_x8y8_e6beg[10] , \tile_x8y8_e6beg[9] , \tile_x8y8_e6beg[8] , \tile_x8y8_e6beg[7] , \tile_x8y8_e6beg[6] , \tile_x8y8_e6beg[5] , \tile_x8y8_e6beg[4] , \tile_x8y8_e6beg[3] , \tile_x8y8_e6beg[2] , \tile_x8y8_e6beg[1] , \tile_x8y8_e6beg[0] }),
.ee4beg({ \tile_x9y8_ee4beg[15] , \tile_x9y8_ee4beg[14] , \tile_x9y8_ee4beg[13] , \tile_x9y8_ee4beg[12] , \tile_x9y8_ee4beg[11] , \tile_x9y8_ee4beg[10] , \tile_x9y8_ee4beg[9] , \tile_x9y8_ee4beg[8] , \tile_x9y8_ee4beg[7] , \tile_x9y8_ee4beg[6] , \tile_x9y8_ee4beg[5] , \tile_x9y8_ee4beg[4] , \tile_x9y8_ee4beg[3] , \tile_x9y8_ee4beg[2] , \tile_x9y8_ee4beg[1] , \tile_x9y8_ee4beg[0] }),
.ee4end({ \tile_x8y8_ee4beg[15] , \tile_x8y8_ee4beg[14] , \tile_x8y8_ee4beg[13] , \tile_x8y8_ee4beg[12] , \tile_x8y8_ee4beg[11] , \tile_x8y8_ee4beg[10] , \tile_x8y8_ee4beg[9] , \tile_x8y8_ee4beg[8] , \tile_x8y8_ee4beg[7] , \tile_x8y8_ee4beg[6] , \tile_x8y8_ee4beg[5] , \tile_x8y8_ee4beg[4] , \tile_x8y8_ee4beg[3] , \tile_x8y8_ee4beg[2] , \tile_x8y8_ee4beg[1] , \tile_x8y8_ee4beg[0] }),
.framedata({ \tile_x8y8_framedata_o[31] , \tile_x8y8_framedata_o[30] , \tile_x8y8_framedata_o[29] , \tile_x8y8_framedata_o[28] , \tile_x8y8_framedata_o[27] , \tile_x8y8_framedata_o[26] , \tile_x8y8_framedata_o[25] , \tile_x8y8_framedata_o[24] , \tile_x8y8_framedata_o[23] , \tile_x8y8_framedata_o[22] , \tile_x8y8_framedata_o[21] , \tile_x8y8_framedata_o[20] , \tile_x8y8_framedata_o[19] , \tile_x8y8_framedata_o[18] , \tile_x8y8_framedata_o[17] , \tile_x8y8_framedata_o[16] , \tile_x8y8_framedata_o[15] , \tile_x8y8_framedata_o[14] , \tile_x8y8_framedata_o[13] , \tile_x8y8_framedata_o[12] , \tile_x8y8_framedata_o[11] , \tile_x8y8_framedata_o[10] , \tile_x8y8_framedata_o[9] , \tile_x8y8_framedata_o[8] , \tile_x8y8_framedata_o[7] , \tile_x8y8_framedata_o[6] , \tile_x8y8_framedata_o[5] , \tile_x8y8_framedata_o[4] , \tile_x8y8_framedata_o[3] , \tile_x8y8_framedata_o[2] , \tile_x8y8_framedata_o[1] , \tile_x8y8_framedata_o[0] }),
.framedata_o({ \tile_x9y8_framedata_o[31] , \tile_x9y8_framedata_o[30] , \tile_x9y8_framedata_o[29] , \tile_x9y8_framedata_o[28] , \tile_x9y8_framedata_o[27] , \tile_x9y8_framedata_o[26] , \tile_x9y8_framedata_o[25] , \tile_x9y8_framedata_o[24] , \tile_x9y8_framedata_o[23] , \tile_x9y8_framedata_o[22] , \tile_x9y8_framedata_o[21] , \tile_x9y8_framedata_o[20] , \tile_x9y8_framedata_o[19] , \tile_x9y8_framedata_o[18] , \tile_x9y8_framedata_o[17] , \tile_x9y8_framedata_o[16] , \tile_x9y8_framedata_o[15] , \tile_x9y8_framedata_o[14] , \tile_x9y8_framedata_o[13] , \tile_x9y8_framedata_o[12] , \tile_x9y8_framedata_o[11] , \tile_x9y8_framedata_o[10] , \tile_x9y8_framedata_o[9] , \tile_x9y8_framedata_o[8] , \tile_x9y8_framedata_o[7] , \tile_x9y8_framedata_o[6] , \tile_x9y8_framedata_o[5] , \tile_x9y8_framedata_o[4] , \tile_x9y8_framedata_o[3] , \tile_x9y8_framedata_o[2] , \tile_x9y8_framedata_o[1] , \tile_x9y8_framedata_o[0] }),
.framestrobe({ \tile_x9y9_framestrobe_o[19] , \tile_x9y9_framestrobe_o[18] , \tile_x9y9_framestrobe_o[17] , \tile_x9y9_framestrobe_o[16] , \tile_x9y9_framestrobe_o[15] , \tile_x9y9_framestrobe_o[14] , \tile_x9y9_framestrobe_o[13] , \tile_x9y9_framestrobe_o[12] , \tile_x9y9_framestrobe_o[11] , \tile_x9y9_framestrobe_o[10] , \tile_x9y9_framestrobe_o[9] , \tile_x9y9_framestrobe_o[8] , \tile_x9y9_framestrobe_o[7] , \tile_x9y9_framestrobe_o[6] , \tile_x9y9_framestrobe_o[5] , \tile_x9y9_framestrobe_o[4] , \tile_x9y9_framestrobe_o[3] , \tile_x9y9_framestrobe_o[2] , \tile_x9y9_framestrobe_o[1] , \tile_x9y9_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y8_framestrobe_o[19] , \tile_x9y8_framestrobe_o[18] , \tile_x9y8_framestrobe_o[17] , \tile_x9y8_framestrobe_o[16] , \tile_x9y8_framestrobe_o[15] , \tile_x9y8_framestrobe_o[14] , \tile_x9y8_framestrobe_o[13] , \tile_x9y8_framestrobe_o[12] , \tile_x9y8_framestrobe_o[11] , \tile_x9y8_framestrobe_o[10] , \tile_x9y8_framestrobe_o[9] , \tile_x9y8_framestrobe_o[8] , \tile_x9y8_framestrobe_o[7] , \tile_x9y8_framestrobe_o[6] , \tile_x9y8_framestrobe_o[5] , \tile_x9y8_framestrobe_o[4] , \tile_x9y8_framestrobe_o[3] , \tile_x9y8_framestrobe_o[2] , \tile_x9y8_framestrobe_o[1] , \tile_x9y8_framestrobe_o[0] }),
.n1beg({ \tile_x9y8_n1beg[3] , \tile_x9y8_n1beg[2] , \tile_x9y8_n1beg[1] , \tile_x9y8_n1beg[0] }),
.n1end({ \tile_x9y9_n1beg[3] , \tile_x9y9_n1beg[2] , \tile_x9y9_n1beg[1] , \tile_x9y9_n1beg[0] }),
.n2beg({ \tile_x9y8_n2beg[7] , \tile_x9y8_n2beg[6] , \tile_x9y8_n2beg[5] , \tile_x9y8_n2beg[4] , \tile_x9y8_n2beg[3] , \tile_x9y8_n2beg[2] , \tile_x9y8_n2beg[1] , \tile_x9y8_n2beg[0] }),
.n2begb({ \tile_x9y8_n2begb[7] , \tile_x9y8_n2begb[6] , \tile_x9y8_n2begb[5] , \tile_x9y8_n2begb[4] , \tile_x9y8_n2begb[3] , \tile_x9y8_n2begb[2] , \tile_x9y8_n2begb[1] , \tile_x9y8_n2begb[0] }),
.n2end({ \tile_x9y9_n2begb[7] , \tile_x9y9_n2begb[6] , \tile_x9y9_n2begb[5] , \tile_x9y9_n2begb[4] , \tile_x9y9_n2begb[3] , \tile_x9y9_n2begb[2] , \tile_x9y9_n2begb[1] , \tile_x9y9_n2begb[0] }),
.n2mid({ \tile_x9y9_n2beg[7] , \tile_x9y9_n2beg[6] , \tile_x9y9_n2beg[5] , \tile_x9y9_n2beg[4] , \tile_x9y9_n2beg[3] , \tile_x9y9_n2beg[2] , \tile_x9y9_n2beg[1] , \tile_x9y9_n2beg[0] }),
.n4beg({ \tile_x9y8_n4beg[15] , \tile_x9y8_n4beg[14] , \tile_x9y8_n4beg[13] , \tile_x9y8_n4beg[12] , \tile_x9y8_n4beg[11] , \tile_x9y8_n4beg[10] , \tile_x9y8_n4beg[9] , \tile_x9y8_n4beg[8] , \tile_x9y8_n4beg[7] , \tile_x9y8_n4beg[6] , \tile_x9y8_n4beg[5] , \tile_x9y8_n4beg[4] , \tile_x9y8_n4beg[3] , \tile_x9y8_n4beg[2] , \tile_x9y8_n4beg[1] , \tile_x9y8_n4beg[0] }),
.n4end({ \tile_x9y9_n4beg[15] , \tile_x9y9_n4beg[14] , \tile_x9y9_n4beg[13] , \tile_x9y9_n4beg[12] , \tile_x9y9_n4beg[11] , \tile_x9y9_n4beg[10] , \tile_x9y9_n4beg[9] , \tile_x9y9_n4beg[8] , \tile_x9y9_n4beg[7] , \tile_x9y9_n4beg[6] , \tile_x9y9_n4beg[5] , \tile_x9y9_n4beg[4] , \tile_x9y9_n4beg[3] , \tile_x9y9_n4beg[2] , \tile_x9y9_n4beg[1] , \tile_x9y9_n4beg[0] }),
.nn4beg({ \tile_x9y8_nn4beg[15] , \tile_x9y8_nn4beg[14] , \tile_x9y8_nn4beg[13] , \tile_x9y8_nn4beg[12] , \tile_x9y8_nn4beg[11] , \tile_x9y8_nn4beg[10] , \tile_x9y8_nn4beg[9] , \tile_x9y8_nn4beg[8] , \tile_x9y8_nn4beg[7] , \tile_x9y8_nn4beg[6] , \tile_x9y8_nn4beg[5] , \tile_x9y8_nn4beg[4] , \tile_x9y8_nn4beg[3] , \tile_x9y8_nn4beg[2] , \tile_x9y8_nn4beg[1] , \tile_x9y8_nn4beg[0] }),
.nn4end({ \tile_x9y9_nn4beg[15] , \tile_x9y9_nn4beg[14] , \tile_x9y9_nn4beg[13] , \tile_x9y9_nn4beg[12] , \tile_x9y9_nn4beg[11] , \tile_x9y9_nn4beg[10] , \tile_x9y9_nn4beg[9] , \tile_x9y9_nn4beg[8] , \tile_x9y9_nn4beg[7] , \tile_x9y9_nn4beg[6] , \tile_x9y9_nn4beg[5] , \tile_x9y9_nn4beg[4] , \tile_x9y9_nn4beg[3] , \tile_x9y9_nn4beg[2] , \tile_x9y9_nn4beg[1] , \tile_x9y9_nn4beg[0] }),
.s1beg({ \tile_x9y8_s1beg[3] , \tile_x9y8_s1beg[2] , \tile_x9y8_s1beg[1] , \tile_x9y8_s1beg[0] }),
.s1end({ \tile_x9y7_s1beg[3] , \tile_x9y7_s1beg[2] , \tile_x9y7_s1beg[1] , \tile_x9y7_s1beg[0] }),
.s2beg({ \tile_x9y8_s2beg[7] , \tile_x9y8_s2beg[6] , \tile_x9y8_s2beg[5] , \tile_x9y8_s2beg[4] , \tile_x9y8_s2beg[3] , \tile_x9y8_s2beg[2] , \tile_x9y8_s2beg[1] , \tile_x9y8_s2beg[0] }),
.s2begb({ \tile_x9y8_s2begb[7] , \tile_x9y8_s2begb[6] , \tile_x9y8_s2begb[5] , \tile_x9y8_s2begb[4] , \tile_x9y8_s2begb[3] , \tile_x9y8_s2begb[2] , \tile_x9y8_s2begb[1] , \tile_x9y8_s2begb[0] }),
.s2end({ \tile_x9y7_s2begb[7] , \tile_x9y7_s2begb[6] , \tile_x9y7_s2begb[5] , \tile_x9y7_s2begb[4] , \tile_x9y7_s2begb[3] , \tile_x9y7_s2begb[2] , \tile_x9y7_s2begb[1] , \tile_x9y7_s2begb[0] }),
.s2mid({ \tile_x9y7_s2beg[7] , \tile_x9y7_s2beg[6] , \tile_x9y7_s2beg[5] , \tile_x9y7_s2beg[4] , \tile_x9y7_s2beg[3] , \tile_x9y7_s2beg[2] , \tile_x9y7_s2beg[1] , \tile_x9y7_s2beg[0] }),
.s4beg({ \tile_x9y8_s4beg[15] , \tile_x9y8_s4beg[14] , \tile_x9y8_s4beg[13] , \tile_x9y8_s4beg[12] , \tile_x9y8_s4beg[11] , \tile_x9y8_s4beg[10] , \tile_x9y8_s4beg[9] , \tile_x9y8_s4beg[8] , \tile_x9y8_s4beg[7] , \tile_x9y8_s4beg[6] , \tile_x9y8_s4beg[5] , \tile_x9y8_s4beg[4] , \tile_x9y8_s4beg[3] , \tile_x9y8_s4beg[2] , \tile_x9y8_s4beg[1] , \tile_x9y8_s4beg[0] }),
.s4end({ \tile_x9y7_s4beg[15] , \tile_x9y7_s4beg[14] , \tile_x9y7_s4beg[13] , \tile_x9y7_s4beg[12] , \tile_x9y7_s4beg[11] , \tile_x9y7_s4beg[10] , \tile_x9y7_s4beg[9] , \tile_x9y7_s4beg[8] , \tile_x9y7_s4beg[7] , \tile_x9y7_s4beg[6] , \tile_x9y7_s4beg[5] , \tile_x9y7_s4beg[4] , \tile_x9y7_s4beg[3] , \tile_x9y7_s4beg[2] , \tile_x9y7_s4beg[1] , \tile_x9y7_s4beg[0] }),
.ss4beg({ \tile_x9y8_ss4beg[15] , \tile_x9y8_ss4beg[14] , \tile_x9y8_ss4beg[13] , \tile_x9y8_ss4beg[12] , \tile_x9y8_ss4beg[11] , \tile_x9y8_ss4beg[10] , \tile_x9y8_ss4beg[9] , \tile_x9y8_ss4beg[8] , \tile_x9y8_ss4beg[7] , \tile_x9y8_ss4beg[6] , \tile_x9y8_ss4beg[5] , \tile_x9y8_ss4beg[4] , \tile_x9y8_ss4beg[3] , \tile_x9y8_ss4beg[2] , \tile_x9y8_ss4beg[1] , \tile_x9y8_ss4beg[0] }),
.ss4end({ \tile_x9y7_ss4beg[15] , \tile_x9y7_ss4beg[14] , \tile_x9y7_ss4beg[13] , \tile_x9y7_ss4beg[12] , \tile_x9y7_ss4beg[11] , \tile_x9y7_ss4beg[10] , \tile_x9y7_ss4beg[9] , \tile_x9y7_ss4beg[8] , \tile_x9y7_ss4beg[7] , \tile_x9y7_ss4beg[6] , \tile_x9y7_ss4beg[5] , \tile_x9y7_ss4beg[4] , \tile_x9y7_ss4beg[3] , \tile_x9y7_ss4beg[2] , \tile_x9y7_ss4beg[1] , \tile_x9y7_ss4beg[0] }),
.userclk(tile_x9y9_userclko),
.userclko(tile_x9y8_userclko),
.w1beg({ \tile_x9y8_w1beg[3] , \tile_x9y8_w1beg[2] , \tile_x9y8_w1beg[1] , \tile_x9y8_w1beg[0] }),
.w1end({ \tile_x10y8_w1beg[3] , \tile_x10y8_w1beg[2] , \tile_x10y8_w1beg[1] , \tile_x10y8_w1beg[0] }),
.w2beg({ \tile_x9y8_w2beg[7] , \tile_x9y8_w2beg[6] , \tile_x9y8_w2beg[5] , \tile_x9y8_w2beg[4] , \tile_x9y8_w2beg[3] , \tile_x9y8_w2beg[2] , \tile_x9y8_w2beg[1] , \tile_x9y8_w2beg[0] }),
.w2begb({ \tile_x9y8_w2begb[7] , \tile_x9y8_w2begb[6] , \tile_x9y8_w2begb[5] , \tile_x9y8_w2begb[4] , \tile_x9y8_w2begb[3] , \tile_x9y8_w2begb[2] , \tile_x9y8_w2begb[1] , \tile_x9y8_w2begb[0] }),
.w2end({ \tile_x10y8_w2begb[7] , \tile_x10y8_w2begb[6] , \tile_x10y8_w2begb[5] , \tile_x10y8_w2begb[4] , \tile_x10y8_w2begb[3] , \tile_x10y8_w2begb[2] , \tile_x10y8_w2begb[1] , \tile_x10y8_w2begb[0] }),
.w2mid({ \tile_x10y8_w2beg[7] , \tile_x10y8_w2beg[6] , \tile_x10y8_w2beg[5] , \tile_x10y8_w2beg[4] , \tile_x10y8_w2beg[3] , \tile_x10y8_w2beg[2] , \tile_x10y8_w2beg[1] , \tile_x10y8_w2beg[0] }),
.w6beg({ \tile_x9y8_w6beg[11] , \tile_x9y8_w6beg[10] , \tile_x9y8_w6beg[9] , \tile_x9y8_w6beg[8] , \tile_x9y8_w6beg[7] , \tile_x9y8_w6beg[6] , \tile_x9y8_w6beg[5] , \tile_x9y8_w6beg[4] , \tile_x9y8_w6beg[3] , \tile_x9y8_w6beg[2] , \tile_x9y8_w6beg[1] , \tile_x9y8_w6beg[0] }),
.w6end({ \tile_x10y8_w6beg[11] , \tile_x10y8_w6beg[10] , \tile_x10y8_w6beg[9] , \tile_x10y8_w6beg[8] , \tile_x10y8_w6beg[7] , \tile_x10y8_w6beg[6] , \tile_x10y8_w6beg[5] , \tile_x10y8_w6beg[4] , \tile_x10y8_w6beg[3] , \tile_x10y8_w6beg[2] , \tile_x10y8_w6beg[1] , \tile_x10y8_w6beg[0] }),
.ww4beg({ \tile_x9y8_ww4beg[15] , \tile_x9y8_ww4beg[14] , \tile_x9y8_ww4beg[13] , \tile_x9y8_ww4beg[12] , \tile_x9y8_ww4beg[11] , \tile_x9y8_ww4beg[10] , \tile_x9y8_ww4beg[9] , \tile_x9y8_ww4beg[8] , \tile_x9y8_ww4beg[7] , \tile_x9y8_ww4beg[6] , \tile_x9y8_ww4beg[5] , \tile_x9y8_ww4beg[4] , \tile_x9y8_ww4beg[3] , \tile_x9y8_ww4beg[2] , \tile_x9y8_ww4beg[1] , \tile_x9y8_ww4beg[0] }),
.ww4end({ \tile_x10y8_ww4beg[15] , \tile_x10y8_ww4beg[14] , \tile_x10y8_ww4beg[13] , \tile_x10y8_ww4beg[12] , \tile_x10y8_ww4beg[11] , \tile_x10y8_ww4beg[10] , \tile_x10y8_ww4beg[9] , \tile_x10y8_ww4beg[8] , \tile_x10y8_ww4beg[7] , \tile_x10y8_ww4beg[6] , \tile_x10y8_ww4beg[5] , \tile_x10y8_ww4beg[4] , \tile_x10y8_ww4beg[3] , \tile_x10y8_ww4beg[2] , \tile_x10y8_ww4beg[1] , \tile_x10y8_ww4beg[0] })
);
lut4ab tile_x9y9_lut4ab (
.ci(tile_x9y10_co),
.co(tile_x9y9_co),
.e1beg({ \tile_x9y9_e1beg[3] , \tile_x9y9_e1beg[2] , \tile_x9y9_e1beg[1] , \tile_x9y9_e1beg[0] }),
.e1end({ \tile_x8y9_e1beg[3] , \tile_x8y9_e1beg[2] , \tile_x8y9_e1beg[1] , \tile_x8y9_e1beg[0] }),
.e2beg({ \tile_x9y9_e2beg[7] , \tile_x9y9_e2beg[6] , \tile_x9y9_e2beg[5] , \tile_x9y9_e2beg[4] , \tile_x9y9_e2beg[3] , \tile_x9y9_e2beg[2] , \tile_x9y9_e2beg[1] , \tile_x9y9_e2beg[0] }),
.e2begb({ \tile_x9y9_e2begb[7] , \tile_x9y9_e2begb[6] , \tile_x9y9_e2begb[5] , \tile_x9y9_e2begb[4] , \tile_x9y9_e2begb[3] , \tile_x9y9_e2begb[2] , \tile_x9y9_e2begb[1] , \tile_x9y9_e2begb[0] }),
.e2end({ \tile_x8y9_e2begb[7] , \tile_x8y9_e2begb[6] , \tile_x8y9_e2begb[5] , \tile_x8y9_e2begb[4] , \tile_x8y9_e2begb[3] , \tile_x8y9_e2begb[2] , \tile_x8y9_e2begb[1] , \tile_x8y9_e2begb[0] }),
.e2mid({ \tile_x8y9_e2beg[7] , \tile_x8y9_e2beg[6] , \tile_x8y9_e2beg[5] , \tile_x8y9_e2beg[4] , \tile_x8y9_e2beg[3] , \tile_x8y9_e2beg[2] , \tile_x8y9_e2beg[1] , \tile_x8y9_e2beg[0] }),
.e6beg({ \tile_x9y9_e6beg[11] , \tile_x9y9_e6beg[10] , \tile_x9y9_e6beg[9] , \tile_x9y9_e6beg[8] , \tile_x9y9_e6beg[7] , \tile_x9y9_e6beg[6] , \tile_x9y9_e6beg[5] , \tile_x9y9_e6beg[4] , \tile_x9y9_e6beg[3] , \tile_x9y9_e6beg[2] , \tile_x9y9_e6beg[1] , \tile_x9y9_e6beg[0] }),
.e6end({ \tile_x8y9_e6beg[11] , \tile_x8y9_e6beg[10] , \tile_x8y9_e6beg[9] , \tile_x8y9_e6beg[8] , \tile_x8y9_e6beg[7] , \tile_x8y9_e6beg[6] , \tile_x8y9_e6beg[5] , \tile_x8y9_e6beg[4] , \tile_x8y9_e6beg[3] , \tile_x8y9_e6beg[2] , \tile_x8y9_e6beg[1] , \tile_x8y9_e6beg[0] }),
.ee4beg({ \tile_x9y9_ee4beg[15] , \tile_x9y9_ee4beg[14] , \tile_x9y9_ee4beg[13] , \tile_x9y9_ee4beg[12] , \tile_x9y9_ee4beg[11] , \tile_x9y9_ee4beg[10] , \tile_x9y9_ee4beg[9] , \tile_x9y9_ee4beg[8] , \tile_x9y9_ee4beg[7] , \tile_x9y9_ee4beg[6] , \tile_x9y9_ee4beg[5] , \tile_x9y9_ee4beg[4] , \tile_x9y9_ee4beg[3] , \tile_x9y9_ee4beg[2] , \tile_x9y9_ee4beg[1] , \tile_x9y9_ee4beg[0] }),
.ee4end({ \tile_x8y9_ee4beg[15] , \tile_x8y9_ee4beg[14] , \tile_x8y9_ee4beg[13] , \tile_x8y9_ee4beg[12] , \tile_x8y9_ee4beg[11] , \tile_x8y9_ee4beg[10] , \tile_x8y9_ee4beg[9] , \tile_x8y9_ee4beg[8] , \tile_x8y9_ee4beg[7] , \tile_x8y9_ee4beg[6] , \tile_x8y9_ee4beg[5] , \tile_x8y9_ee4beg[4] , \tile_x8y9_ee4beg[3] , \tile_x8y9_ee4beg[2] , \tile_x8y9_ee4beg[1] , \tile_x8y9_ee4beg[0] }),
.framedata({ \tile_x8y9_framedata_o[31] , \tile_x8y9_framedata_o[30] , \tile_x8y9_framedata_o[29] , \tile_x8y9_framedata_o[28] , \tile_x8y9_framedata_o[27] , \tile_x8y9_framedata_o[26] , \tile_x8y9_framedata_o[25] , \tile_x8y9_framedata_o[24] , \tile_x8y9_framedata_o[23] , \tile_x8y9_framedata_o[22] , \tile_x8y9_framedata_o[21] , \tile_x8y9_framedata_o[20] , \tile_x8y9_framedata_o[19] , \tile_x8y9_framedata_o[18] , \tile_x8y9_framedata_o[17] , \tile_x8y9_framedata_o[16] , \tile_x8y9_framedata_o[15] , \tile_x8y9_framedata_o[14] , \tile_x8y9_framedata_o[13] , \tile_x8y9_framedata_o[12] , \tile_x8y9_framedata_o[11] , \tile_x8y9_framedata_o[10] , \tile_x8y9_framedata_o[9] , \tile_x8y9_framedata_o[8] , \tile_x8y9_framedata_o[7] , \tile_x8y9_framedata_o[6] , \tile_x8y9_framedata_o[5] , \tile_x8y9_framedata_o[4] , \tile_x8y9_framedata_o[3] , \tile_x8y9_framedata_o[2] , \tile_x8y9_framedata_o[1] , \tile_x8y9_framedata_o[0] }),
.framedata_o({ \tile_x9y9_framedata_o[31] , \tile_x9y9_framedata_o[30] , \tile_x9y9_framedata_o[29] , \tile_x9y9_framedata_o[28] , \tile_x9y9_framedata_o[27] , \tile_x9y9_framedata_o[26] , \tile_x9y9_framedata_o[25] , \tile_x9y9_framedata_o[24] , \tile_x9y9_framedata_o[23] , \tile_x9y9_framedata_o[22] , \tile_x9y9_framedata_o[21] , \tile_x9y9_framedata_o[20] , \tile_x9y9_framedata_o[19] , \tile_x9y9_framedata_o[18] , \tile_x9y9_framedata_o[17] , \tile_x9y9_framedata_o[16] , \tile_x9y9_framedata_o[15] , \tile_x9y9_framedata_o[14] , \tile_x9y9_framedata_o[13] , \tile_x9y9_framedata_o[12] , \tile_x9y9_framedata_o[11] , \tile_x9y9_framedata_o[10] , \tile_x9y9_framedata_o[9] , \tile_x9y9_framedata_o[8] , \tile_x9y9_framedata_o[7] , \tile_x9y9_framedata_o[6] , \tile_x9y9_framedata_o[5] , \tile_x9y9_framedata_o[4] , \tile_x9y9_framedata_o[3] , \tile_x9y9_framedata_o[2] , \tile_x9y9_framedata_o[1] , \tile_x9y9_framedata_o[0] }),
.framestrobe({ \tile_x9y10_framestrobe_o[19] , \tile_x9y10_framestrobe_o[18] , \tile_x9y10_framestrobe_o[17] , \tile_x9y10_framestrobe_o[16] , \tile_x9y10_framestrobe_o[15] , \tile_x9y10_framestrobe_o[14] , \tile_x9y10_framestrobe_o[13] , \tile_x9y10_framestrobe_o[12] , \tile_x9y10_framestrobe_o[11] , \tile_x9y10_framestrobe_o[10] , \tile_x9y10_framestrobe_o[9] , \tile_x9y10_framestrobe_o[8] , \tile_x9y10_framestrobe_o[7] , \tile_x9y10_framestrobe_o[6] , \tile_x9y10_framestrobe_o[5] , \tile_x9y10_framestrobe_o[4] , \tile_x9y10_framestrobe_o[3] , \tile_x9y10_framestrobe_o[2] , \tile_x9y10_framestrobe_o[1] , \tile_x9y10_framestrobe_o[0] }),
.framestrobe_o({ \tile_x9y9_framestrobe_o[19] , \tile_x9y9_framestrobe_o[18] , \tile_x9y9_framestrobe_o[17] , \tile_x9y9_framestrobe_o[16] , \tile_x9y9_framestrobe_o[15] , \tile_x9y9_framestrobe_o[14] , \tile_x9y9_framestrobe_o[13] , \tile_x9y9_framestrobe_o[12] , \tile_x9y9_framestrobe_o[11] , \tile_x9y9_framestrobe_o[10] , \tile_x9y9_framestrobe_o[9] , \tile_x9y9_framestrobe_o[8] , \tile_x9y9_framestrobe_o[7] , \tile_x9y9_framestrobe_o[6] , \tile_x9y9_framestrobe_o[5] , \tile_x9y9_framestrobe_o[4] , \tile_x9y9_framestrobe_o[3] , \tile_x9y9_framestrobe_o[2] , \tile_x9y9_framestrobe_o[1] , \tile_x9y9_framestrobe_o[0] }),
.n1beg({ \tile_x9y9_n1beg[3] , \tile_x9y9_n1beg[2] , \tile_x9y9_n1beg[1] , \tile_x9y9_n1beg[0] }),
.n1end({ \tile_x9y10_n1beg[3] , \tile_x9y10_n1beg[2] , \tile_x9y10_n1beg[1] , \tile_x9y10_n1beg[0] }),
.n2beg({ \tile_x9y9_n2beg[7] , \tile_x9y9_n2beg[6] , \tile_x9y9_n2beg[5] , \tile_x9y9_n2beg[4] , \tile_x9y9_n2beg[3] , \tile_x9y9_n2beg[2] , \tile_x9y9_n2beg[1] , \tile_x9y9_n2beg[0] }),
.n2begb({ \tile_x9y9_n2begb[7] , \tile_x9y9_n2begb[6] , \tile_x9y9_n2begb[5] , \tile_x9y9_n2begb[4] , \tile_x9y9_n2begb[3] , \tile_x9y9_n2begb[2] , \tile_x9y9_n2begb[1] , \tile_x9y9_n2begb[0] }),
.n2end({ \tile_x9y10_n2begb[7] , \tile_x9y10_n2begb[6] , \tile_x9y10_n2begb[5] , \tile_x9y10_n2begb[4] , \tile_x9y10_n2begb[3] , \tile_x9y10_n2begb[2] , \tile_x9y10_n2begb[1] , \tile_x9y10_n2begb[0] }),
.n2mid({ \tile_x9y10_n2beg[7] , \tile_x9y10_n2beg[6] , \tile_x9y10_n2beg[5] , \tile_x9y10_n2beg[4] , \tile_x9y10_n2beg[3] , \tile_x9y10_n2beg[2] , \tile_x9y10_n2beg[1] , \tile_x9y10_n2beg[0] }),
.n4beg({ \tile_x9y9_n4beg[15] , \tile_x9y9_n4beg[14] , \tile_x9y9_n4beg[13] , \tile_x9y9_n4beg[12] , \tile_x9y9_n4beg[11] , \tile_x9y9_n4beg[10] , \tile_x9y9_n4beg[9] , \tile_x9y9_n4beg[8] , \tile_x9y9_n4beg[7] , \tile_x9y9_n4beg[6] , \tile_x9y9_n4beg[5] , \tile_x9y9_n4beg[4] , \tile_x9y9_n4beg[3] , \tile_x9y9_n4beg[2] , \tile_x9y9_n4beg[1] , \tile_x9y9_n4beg[0] }),
.n4end({ \tile_x9y10_n4beg[15] , \tile_x9y10_n4beg[14] , \tile_x9y10_n4beg[13] , \tile_x9y10_n4beg[12] , \tile_x9y10_n4beg[11] , \tile_x9y10_n4beg[10] , \tile_x9y10_n4beg[9] , \tile_x9y10_n4beg[8] , \tile_x9y10_n4beg[7] , \tile_x9y10_n4beg[6] , \tile_x9y10_n4beg[5] , \tile_x9y10_n4beg[4] , \tile_x9y10_n4beg[3] , \tile_x9y10_n4beg[2] , \tile_x9y10_n4beg[1] , \tile_x9y10_n4beg[0] }),
.nn4beg({ \tile_x9y9_nn4beg[15] , \tile_x9y9_nn4beg[14] , \tile_x9y9_nn4beg[13] , \tile_x9y9_nn4beg[12] , \tile_x9y9_nn4beg[11] , \tile_x9y9_nn4beg[10] , \tile_x9y9_nn4beg[9] , \tile_x9y9_nn4beg[8] , \tile_x9y9_nn4beg[7] , \tile_x9y9_nn4beg[6] , \tile_x9y9_nn4beg[5] , \tile_x9y9_nn4beg[4] , \tile_x9y9_nn4beg[3] , \tile_x9y9_nn4beg[2] , \tile_x9y9_nn4beg[1] , \tile_x9y9_nn4beg[0] }),
.nn4end({ \tile_x9y10_nn4beg[15] , \tile_x9y10_nn4beg[14] , \tile_x9y10_nn4beg[13] , \tile_x9y10_nn4beg[12] , \tile_x9y10_nn4beg[11] , \tile_x9y10_nn4beg[10] , \tile_x9y10_nn4beg[9] , \tile_x9y10_nn4beg[8] , \tile_x9y10_nn4beg[7] , \tile_x9y10_nn4beg[6] , \tile_x9y10_nn4beg[5] , \tile_x9y10_nn4beg[4] , \tile_x9y10_nn4beg[3] , \tile_x9y10_nn4beg[2] , \tile_x9y10_nn4beg[1] , \tile_x9y10_nn4beg[0] }),
.s1beg({ \tile_x9y9_s1beg[3] , \tile_x9y9_s1beg[2] , \tile_x9y9_s1beg[1] , \tile_x9y9_s1beg[0] }),
.s1end({ \tile_x9y8_s1beg[3] , \tile_x9y8_s1beg[2] , \tile_x9y8_s1beg[1] , \tile_x9y8_s1beg[0] }),
.s2beg({ \tile_x9y9_s2beg[7] , \tile_x9y9_s2beg[6] , \tile_x9y9_s2beg[5] , \tile_x9y9_s2beg[4] , \tile_x9y9_s2beg[3] , \tile_x9y9_s2beg[2] , \tile_x9y9_s2beg[1] , \tile_x9y9_s2beg[0] }),
.s2begb({ \tile_x9y9_s2begb[7] , \tile_x9y9_s2begb[6] , \tile_x9y9_s2begb[5] , \tile_x9y9_s2begb[4] , \tile_x9y9_s2begb[3] , \tile_x9y9_s2begb[2] , \tile_x9y9_s2begb[1] , \tile_x9y9_s2begb[0] }),
.s2end({ \tile_x9y8_s2begb[7] , \tile_x9y8_s2begb[6] , \tile_x9y8_s2begb[5] , \tile_x9y8_s2begb[4] , \tile_x9y8_s2begb[3] , \tile_x9y8_s2begb[2] , \tile_x9y8_s2begb[1] , \tile_x9y8_s2begb[0] }),
.s2mid({ \tile_x9y8_s2beg[7] , \tile_x9y8_s2beg[6] , \tile_x9y8_s2beg[5] , \tile_x9y8_s2beg[4] , \tile_x9y8_s2beg[3] , \tile_x9y8_s2beg[2] , \tile_x9y8_s2beg[1] , \tile_x9y8_s2beg[0] }),
.s4beg({ \tile_x9y9_s4beg[15] , \tile_x9y9_s4beg[14] , \tile_x9y9_s4beg[13] , \tile_x9y9_s4beg[12] , \tile_x9y9_s4beg[11] , \tile_x9y9_s4beg[10] , \tile_x9y9_s4beg[9] , \tile_x9y9_s4beg[8] , \tile_x9y9_s4beg[7] , \tile_x9y9_s4beg[6] , \tile_x9y9_s4beg[5] , \tile_x9y9_s4beg[4] , \tile_x9y9_s4beg[3] , \tile_x9y9_s4beg[2] , \tile_x9y9_s4beg[1] , \tile_x9y9_s4beg[0] }),
.s4end({ \tile_x9y8_s4beg[15] , \tile_x9y8_s4beg[14] , \tile_x9y8_s4beg[13] , \tile_x9y8_s4beg[12] , \tile_x9y8_s4beg[11] , \tile_x9y8_s4beg[10] , \tile_x9y8_s4beg[9] , \tile_x9y8_s4beg[8] , \tile_x9y8_s4beg[7] , \tile_x9y8_s4beg[6] , \tile_x9y8_s4beg[5] , \tile_x9y8_s4beg[4] , \tile_x9y8_s4beg[3] , \tile_x9y8_s4beg[2] , \tile_x9y8_s4beg[1] , \tile_x9y8_s4beg[0] }),
.ss4beg({ \tile_x9y9_ss4beg[15] , \tile_x9y9_ss4beg[14] , \tile_x9y9_ss4beg[13] , \tile_x9y9_ss4beg[12] , \tile_x9y9_ss4beg[11] , \tile_x9y9_ss4beg[10] , \tile_x9y9_ss4beg[9] , \tile_x9y9_ss4beg[8] , \tile_x9y9_ss4beg[7] , \tile_x9y9_ss4beg[6] , \tile_x9y9_ss4beg[5] , \tile_x9y9_ss4beg[4] , \tile_x9y9_ss4beg[3] , \tile_x9y9_ss4beg[2] , \tile_x9y9_ss4beg[1] , \tile_x9y9_ss4beg[0] }),
.ss4end({ \tile_x9y8_ss4beg[15] , \tile_x9y8_ss4beg[14] , \tile_x9y8_ss4beg[13] , \tile_x9y8_ss4beg[12] , \tile_x9y8_ss4beg[11] , \tile_x9y8_ss4beg[10] , \tile_x9y8_ss4beg[9] , \tile_x9y8_ss4beg[8] , \tile_x9y8_ss4beg[7] , \tile_x9y8_ss4beg[6] , \tile_x9y8_ss4beg[5] , \tile_x9y8_ss4beg[4] , \tile_x9y8_ss4beg[3] , \tile_x9y8_ss4beg[2] , \tile_x9y8_ss4beg[1] , \tile_x9y8_ss4beg[0] }),
.userclk(tile_x9y10_userclko),
.userclko(tile_x9y9_userclko),
.w1beg({ \tile_x9y9_w1beg[3] , \tile_x9y9_w1beg[2] , \tile_x9y9_w1beg[1] , \tile_x9y9_w1beg[0] }),
.w1end({ \tile_x10y9_w1beg[3] , \tile_x10y9_w1beg[2] , \tile_x10y9_w1beg[1] , \tile_x10y9_w1beg[0] }),
.w2beg({ \tile_x9y9_w2beg[7] , \tile_x9y9_w2beg[6] , \tile_x9y9_w2beg[5] , \tile_x9y9_w2beg[4] , \tile_x9y9_w2beg[3] , \tile_x9y9_w2beg[2] , \tile_x9y9_w2beg[1] , \tile_x9y9_w2beg[0] }),
.w2begb({ \tile_x9y9_w2begb[7] , \tile_x9y9_w2begb[6] , \tile_x9y9_w2begb[5] , \tile_x9y9_w2begb[4] , \tile_x9y9_w2begb[3] , \tile_x9y9_w2begb[2] , \tile_x9y9_w2begb[1] , \tile_x9y9_w2begb[0] }),
.w2end({ \tile_x10y9_w2begb[7] , \tile_x10y9_w2begb[6] , \tile_x10y9_w2begb[5] , \tile_x10y9_w2begb[4] , \tile_x10y9_w2begb[3] , \tile_x10y9_w2begb[2] , \tile_x10y9_w2begb[1] , \tile_x10y9_w2begb[0] }),
.w2mid({ \tile_x10y9_w2beg[7] , \tile_x10y9_w2beg[6] , \tile_x10y9_w2beg[5] , \tile_x10y9_w2beg[4] , \tile_x10y9_w2beg[3] , \tile_x10y9_w2beg[2] , \tile_x10y9_w2beg[1] , \tile_x10y9_w2beg[0] }),
.w6beg({ \tile_x9y9_w6beg[11] , \tile_x9y9_w6beg[10] , \tile_x9y9_w6beg[9] , \tile_x9y9_w6beg[8] , \tile_x9y9_w6beg[7] , \tile_x9y9_w6beg[6] , \tile_x9y9_w6beg[5] , \tile_x9y9_w6beg[4] , \tile_x9y9_w6beg[3] , \tile_x9y9_w6beg[2] , \tile_x9y9_w6beg[1] , \tile_x9y9_w6beg[0] }),
.w6end({ \tile_x10y9_w6beg[11] , \tile_x10y9_w6beg[10] , \tile_x10y9_w6beg[9] , \tile_x10y9_w6beg[8] , \tile_x10y9_w6beg[7] , \tile_x10y9_w6beg[6] , \tile_x10y9_w6beg[5] , \tile_x10y9_w6beg[4] , \tile_x10y9_w6beg[3] , \tile_x10y9_w6beg[2] , \tile_x10y9_w6beg[1] , \tile_x10y9_w6beg[0] }),
.ww4beg({ \tile_x9y9_ww4beg[15] , \tile_x9y9_ww4beg[14] , \tile_x9y9_ww4beg[13] , \tile_x9y9_ww4beg[12] , \tile_x9y9_ww4beg[11] , \tile_x9y9_ww4beg[10] , \tile_x9y9_ww4beg[9] , \tile_x9y9_ww4beg[8] , \tile_x9y9_ww4beg[7] , \tile_x9y9_ww4beg[6] , \tile_x9y9_ww4beg[5] , \tile_x9y9_ww4beg[4] , \tile_x9y9_ww4beg[3] , \tile_x9y9_ww4beg[2] , \tile_x9y9_ww4beg[1] , \tile_x9y9_ww4beg[0] }),
.ww4end({ \tile_x10y9_ww4beg[15] , \tile_x10y9_ww4beg[14] , \tile_x10y9_ww4beg[13] , \tile_x10y9_ww4beg[12] , \tile_x10y9_ww4beg[11] , \tile_x10y9_ww4beg[10] , \tile_x10y9_ww4beg[9] , \tile_x10y9_ww4beg[8] , \tile_x10y9_ww4beg[7] , \tile_x10y9_ww4beg[6] , \tile_x10y9_ww4beg[5] , \tile_x10y9_ww4beg[4] , \tile_x10y9_ww4beg[3] , \tile_x10y9_ww4beg[2] , \tile_x10y9_ww4beg[1] , \tile_x10y9_ww4beg[0] })
);
endmodule
module efpga_cpu_top(wb_clk_i, wb_rst_i, wbs_stb_i, wbs_cyc_i, wbs_we_i, wbs_sel_i, wbs_dat_i, wbs_adr_i, wbs_ack_o, wbs_dat_o, la_data_out, la_data_in, io_in, io_out, io_oeb, user_clock2);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
wire _145_;
wire _146_;
wire _147_;
wire _148_;
wire _149_;
wire _150_;
wire _151_;
wire _152_;
wire _153_;
wire _154_;
wire \a_config_c[0] ;
wire \a_config_c[10] ;
wire \a_config_c[11] ;
wire \a_config_c[12] ;
wire \a_config_c[13] ;
wire \a_config_c[14] ;
wire \a_config_c[15] ;
wire \a_config_c[16] ;
wire \a_config_c[17] ;
wire \a_config_c[18] ;
wire \a_config_c[19] ;
wire \a_config_c[1] ;
wire \a_config_c[2] ;
wire \a_config_c[3] ;
wire \a_config_c[4] ;
wire \a_config_c[5] ;
wire \a_config_c[6] ;
wire \a_config_c[7] ;
wire \a_config_c[8] ;
wire \a_config_c[9] ;
wire \b_config_c[0] ;
wire \b_config_c[10] ;
wire \b_config_c[11] ;
wire \b_config_c[12] ;
wire \b_config_c[13] ;
wire \b_config_c[14] ;
wire \b_config_c[15] ;
wire \b_config_c[16] ;
wire \b_config_c[17] ;
wire \b_config_c[18] ;
wire \b_config_c[19] ;
wire \b_config_c[1] ;
wire \b_config_c[2] ;
wire \b_config_c[3] ;
wire \b_config_c[4] ;
wire \b_config_c[5] ;
wire \b_config_c[6] ;
wire \b_config_c[7] ;
wire \b_config_c[8] ;
wire \b_config_c[9] ;
wire clk;
wire comactive;
wire \config_accessc[0] ;
wire \config_accessc[10] ;
wire \config_accessc[11] ;
wire \config_accessc[12] ;
wire \config_accessc[13] ;
wire \config_accessc[14] ;
wire \config_accessc[15] ;
wire \config_accessc[16] ;
wire \config_accessc[17] ;
wire \config_accessc[18] ;
wire \config_accessc[19] ;
wire \config_accessc[1] ;
wire \config_accessc[2] ;
wire \config_accessc[3] ;
wire \config_accessc[4] ;
wire \config_accessc[5] ;
wire \config_accessc[6] ;
wire \config_accessc[7] ;
wire \config_accessc[8] ;
wire \config_accessc[9] ;
wire \e_opa[0] ;
wire \e_opa[10] ;
wire \e_opa[11] ;
wire \e_opa[12] ;
wire \e_opa[13] ;
wire \e_opa[14] ;
wire \e_opa[15] ;
wire \e_opa[16] ;
wire \e_opa[17] ;
wire \e_opa[18] ;
wire \e_opa[19] ;
wire \e_opa[1] ;
wire \e_opa[20] ;
wire \e_opa[21] ;
wire \e_opa[22] ;
wire \e_opa[23] ;
wire \e_opa[24] ;
wire \e_opa[25] ;
wire \e_opa[26] ;
wire \e_opa[27] ;
wire \e_opa[28] ;
wire \e_opa[29] ;
wire \e_opa[2] ;
wire \e_opa[30] ;
wire \e_opa[31] ;
wire \e_opa[32] ;
wire \e_opa[33] ;
wire \e_opa[34] ;
wire \e_opa[35] ;
wire \e_opa[3] ;
wire \e_opa[4] ;
wire \e_opa[5] ;
wire \e_opa[6] ;
wire \e_opa[7] ;
wire \e_opa[8] ;
wire \e_opa[9] ;
wire \e_opb[0] ;
wire \e_opb[10] ;
wire \e_opb[11] ;
wire \e_opb[12] ;
wire \e_opb[13] ;
wire \e_opb[14] ;
wire \e_opb[15] ;
wire \e_opb[16] ;
wire \e_opb[17] ;
wire \e_opb[18] ;
wire \e_opb[19] ;
wire \e_opb[1] ;
wire \e_opb[20] ;
wire \e_opb[21] ;
wire \e_opb[22] ;
wire \e_opb[23] ;
wire \e_opb[24] ;
wire \e_opb[25] ;
wire \e_opb[26] ;
wire \e_opb[27] ;
wire \e_opb[28] ;
wire \e_opb[29] ;
wire \e_opb[2] ;
wire \e_opb[30] ;
wire \e_opb[31] ;
wire \e_opb[32] ;
wire \e_opb[33] ;
wire \e_opb[34] ;
wire \e_opb[35] ;
wire \e_opb[3] ;
wire \e_opb[4] ;
wire \e_opb[5] ;
wire \e_opb[6] ;
wire \e_opb[7] ;
wire \e_opb[8] ;
wire \e_opb[9] ;
wire \e_res0[0] ;
wire \e_res0[10] ;
wire \e_res0[11] ;
wire \e_res0[12] ;
wire \e_res0[13] ;
wire \e_res0[14] ;
wire \e_res0[15] ;
wire \e_res0[16] ;
wire \e_res0[17] ;
wire \e_res0[18] ;
wire \e_res0[19] ;
wire \e_res0[1] ;
wire \e_res0[20] ;
wire \e_res0[21] ;
wire \e_res0[22] ;
wire \e_res0[23] ;
wire \e_res0[24] ;
wire \e_res0[25] ;
wire \e_res0[26] ;
wire \e_res0[27] ;
wire \e_res0[28] ;
wire \e_res0[29] ;
wire \e_res0[2] ;
wire \e_res0[30] ;
wire \e_res0[31] ;
wire \e_res0[32] ;
wire \e_res0[33] ;
wire \e_res0[34] ;
wire \e_res0[35] ;
wire \e_res0[3] ;
wire \e_res0[4] ;
wire \e_res0[5] ;
wire \e_res0[6] ;
wire \e_res0[7] ;
wire \e_res0[8] ;
wire \e_res0[9] ;
wire \e_res1[0] ;
wire \e_res1[10] ;
wire \e_res1[11] ;
wire \e_res1[12] ;
wire \e_res1[13] ;
wire \e_res1[14] ;
wire \e_res1[15] ;
wire \e_res1[16] ;
wire \e_res1[17] ;
wire \e_res1[18] ;
wire \e_res1[19] ;
wire \e_res1[1] ;
wire \e_res1[20] ;
wire \e_res1[21] ;
wire \e_res1[22] ;
wire \e_res1[23] ;
wire \e_res1[24] ;
wire \e_res1[25] ;
wire \e_res1[26] ;
wire \e_res1[27] ;
wire \e_res1[28] ;
wire \e_res1[29] ;
wire \e_res1[2] ;
wire \e_res1[30] ;
wire \e_res1[31] ;
wire \e_res1[32] ;
wire \e_res1[33] ;
wire \e_res1[34] ;
wire \e_res1[35] ;
wire \e_res1[3] ;
wire \e_res1[4] ;
wire \e_res1[5] ;
wire \e_res1[6] ;
wire \e_res1[7] ;
wire \e_res1[8] ;
wire \e_res1[9] ;
wire \e_res2[0] ;
wire \e_res2[10] ;
wire \e_res2[11] ;
wire \e_res2[12] ;
wire \e_res2[13] ;
wire \e_res2[14] ;
wire \e_res2[15] ;
wire \e_res2[16] ;
wire \e_res2[17] ;
wire \e_res2[18] ;
wire \e_res2[19] ;
wire \e_res2[1] ;
wire \e_res2[20] ;
wire \e_res2[21] ;
wire \e_res2[22] ;
wire \e_res2[23] ;
wire \e_res2[24] ;
wire \e_res2[25] ;
wire \e_res2[26] ;
wire \e_res2[27] ;
wire \e_res2[28] ;
wire \e_res2[29] ;
wire \e_res2[2] ;
wire \e_res2[30] ;
wire \e_res2[31] ;
wire \e_res2[32] ;
wire \e_res2[33] ;
wire \e_res2[34] ;
wire \e_res2[35] ;
wire \e_res2[3] ;
wire \e_res2[4] ;
wire \e_res2[5] ;
wire \e_res2[6] ;
wire \e_res2[7] ;
wire \e_res2[8] ;
wire \e_res2[9] ;
wire \fab2ram_a[0] ;
wire \fab2ram_a[10] ;
wire \fab2ram_a[11] ;
wire \fab2ram_a[12] ;
wire \fab2ram_a[13] ;
wire \fab2ram_a[14] ;
wire \fab2ram_a[15] ;
wire \fab2ram_a[16] ;
wire \fab2ram_a[17] ;
wire \fab2ram_a[18] ;
wire \fab2ram_a[19] ;
wire \fab2ram_a[1] ;
wire \fab2ram_a[20] ;
wire \fab2ram_a[21] ;
wire \fab2ram_a[22] ;
wire \fab2ram_a[23] ;
wire \fab2ram_a[24] ;
wire \fab2ram_a[25] ;
wire \fab2ram_a[26] ;
wire \fab2ram_a[27] ;
wire \fab2ram_a[28] ;
wire \fab2ram_a[29] ;
wire \fab2ram_a[2] ;
wire \fab2ram_a[30] ;
wire \fab2ram_a[31] ;
wire \fab2ram_a[32] ;
wire \fab2ram_a[33] ;
wire \fab2ram_a[34] ;
wire \fab2ram_a[35] ;
wire \fab2ram_a[36] ;
wire \fab2ram_a[37] ;
wire \fab2ram_a[38] ;
wire \fab2ram_a[39] ;
wire \fab2ram_a[3] ;
wire \fab2ram_a[4] ;
wire \fab2ram_a[5] ;
wire \fab2ram_a[6] ;
wire \fab2ram_a[7] ;
wire \fab2ram_a[8] ;
wire \fab2ram_a[9] ;
wire \fab2ram_c[0] ;
wire \fab2ram_c[10] ;
wire \fab2ram_c[11] ;
wire \fab2ram_c[12] ;
wire \fab2ram_c[13] ;
wire \fab2ram_c[14] ;
wire \fab2ram_c[15] ;
wire \fab2ram_c[16] ;
wire \fab2ram_c[17] ;
wire \fab2ram_c[18] ;
wire \fab2ram_c[19] ;
wire \fab2ram_c[1] ;
wire \fab2ram_c[2] ;
wire \fab2ram_c[3] ;
wire \fab2ram_c[4] ;
wire \fab2ram_c[5] ;
wire \fab2ram_c[6] ;
wire \fab2ram_c[7] ;
wire \fab2ram_c[8] ;
wire \fab2ram_c[9] ;
wire \fab2ram_d[0] ;
wire \fab2ram_d[10] ;
wire \fab2ram_d[11] ;
wire \fab2ram_d[12] ;
wire \fab2ram_d[13] ;
wire \fab2ram_d[14] ;
wire \fab2ram_d[15] ;
wire \fab2ram_d[16] ;
wire \fab2ram_d[17] ;
wire \fab2ram_d[18] ;
wire \fab2ram_d[19] ;
wire \fab2ram_d[1] ;
wire \fab2ram_d[20] ;
wire \fab2ram_d[21] ;
wire \fab2ram_d[22] ;
wire \fab2ram_d[23] ;
wire \fab2ram_d[24] ;
wire \fab2ram_d[25] ;
wire \fab2ram_d[26] ;
wire \fab2ram_d[27] ;
wire \fab2ram_d[28] ;
wire \fab2ram_d[29] ;
wire \fab2ram_d[2] ;
wire \fab2ram_d[30] ;
wire \fab2ram_d[31] ;
wire \fab2ram_d[32] ;
wire \fab2ram_d[33] ;
wire \fab2ram_d[34] ;
wire \fab2ram_d[35] ;
wire \fab2ram_d[36] ;
wire \fab2ram_d[37] ;
wire \fab2ram_d[38] ;
wire \fab2ram_d[39] ;
wire \fab2ram_d[3] ;
wire \fab2ram_d[40] ;
wire \fab2ram_d[41] ;
wire \fab2ram_d[42] ;
wire \fab2ram_d[43] ;
wire \fab2ram_d[44] ;
wire \fab2ram_d[45] ;
wire \fab2ram_d[46] ;
wire \fab2ram_d[47] ;
wire \fab2ram_d[48] ;
wire \fab2ram_d[49] ;
wire \fab2ram_d[4] ;
wire \fab2ram_d[50] ;
wire \fab2ram_d[51] ;
wire \fab2ram_d[52] ;
wire \fab2ram_d[53] ;
wire \fab2ram_d[54] ;
wire \fab2ram_d[55] ;
wire \fab2ram_d[56] ;
wire \fab2ram_d[57] ;
wire \fab2ram_d[58] ;
wire \fab2ram_d[59] ;
wire \fab2ram_d[5] ;
wire \fab2ram_d[60] ;
wire \fab2ram_d[61] ;
wire \fab2ram_d[62] ;
wire \fab2ram_d[63] ;
wire \fab2ram_d[64] ;
wire \fab2ram_d[65] ;
wire \fab2ram_d[66] ;
wire \fab2ram_d[67] ;
wire \fab2ram_d[68] ;
wire \fab2ram_d[69] ;
wire \fab2ram_d[6] ;
wire \fab2ram_d[70] ;
wire \fab2ram_d[71] ;
wire \fab2ram_d[72] ;
wire \fab2ram_d[73] ;
wire \fab2ram_d[74] ;
wire \fab2ram_d[75] ;
wire \fab2ram_d[76] ;
wire \fab2ram_d[77] ;
wire \fab2ram_d[78] ;
wire \fab2ram_d[79] ;
wire \fab2ram_d[7] ;
wire \fab2ram_d[8] ;
wire \fab2ram_d[9] ;
wire \frameaddressregister[0] ;
wire \frameaddressregister[10] ;
wire \frameaddressregister[11] ;
wire \frameaddressregister[12] ;
wire \frameaddressregister[13] ;
wire \frameaddressregister[14] ;
wire \frameaddressregister[15] ;
wire \frameaddressregister[16] ;
wire \frameaddressregister[17] ;
wire \frameaddressregister[18] ;
wire \frameaddressregister[19] ;
wire \frameaddressregister[1] ;
wire \frameaddressregister[20] ;
wire \frameaddressregister[21] ;
wire \frameaddressregister[22] ;
wire \frameaddressregister[23] ;
wire \frameaddressregister[24] ;
wire \frameaddressregister[25] ;
wire \frameaddressregister[26] ;
wire \frameaddressregister[27] ;
wire \frameaddressregister[28] ;
wire \frameaddressregister[29] ;
wire \frameaddressregister[2] ;
wire \frameaddressregister[30] ;
wire \frameaddressregister[31] ;
wire \frameaddressregister[3] ;
wire \frameaddressregister[4] ;
wire \frameaddressregister[5] ;
wire \frameaddressregister[6] ;
wire \frameaddressregister[7] ;
wire \frameaddressregister[8] ;
wire \frameaddressregister[9] ;
wire \framedata[100] ;
wire \framedata[101] ;
wire \framedata[102] ;
wire \framedata[103] ;
wire \framedata[104] ;
wire \framedata[105] ;
wire \framedata[106] ;
wire \framedata[107] ;
wire \framedata[108] ;
wire \framedata[109] ;
wire \framedata[110] ;
wire \framedata[111] ;
wire \framedata[112] ;
wire \framedata[113] ;
wire \framedata[114] ;
wire \framedata[115] ;
wire \framedata[116] ;
wire \framedata[117] ;
wire \framedata[118] ;
wire \framedata[119] ;
wire \framedata[120] ;
wire \framedata[121] ;
wire \framedata[122] ;
wire \framedata[123] ;
wire \framedata[124] ;
wire \framedata[125] ;
wire \framedata[126] ;
wire \framedata[127] ;
wire \framedata[128] ;
wire \framedata[129] ;
wire \framedata[130] ;
wire \framedata[131] ;
wire \framedata[132] ;
wire \framedata[133] ;
wire \framedata[134] ;
wire \framedata[135] ;
wire \framedata[136] ;
wire \framedata[137] ;
wire \framedata[138] ;
wire \framedata[139] ;
wire \framedata[140] ;
wire \framedata[141] ;
wire \framedata[142] ;
wire \framedata[143] ;
wire \framedata[144] ;
wire \framedata[145] ;
wire \framedata[146] ;
wire \framedata[147] ;
wire \framedata[148] ;
wire \framedata[149] ;
wire \framedata[150] ;
wire \framedata[151] ;
wire \framedata[152] ;
wire \framedata[153] ;
wire \framedata[154] ;
wire \framedata[155] ;
wire \framedata[156] ;
wire \framedata[157] ;
wire \framedata[158] ;
wire \framedata[159] ;
wire \framedata[160] ;
wire \framedata[161] ;
wire \framedata[162] ;
wire \framedata[163] ;
wire \framedata[164] ;
wire \framedata[165] ;
wire \framedata[166] ;
wire \framedata[167] ;
wire \framedata[168] ;
wire \framedata[169] ;
wire \framedata[170] ;
wire \framedata[171] ;
wire \framedata[172] ;
wire \framedata[173] ;
wire \framedata[174] ;
wire \framedata[175] ;
wire \framedata[176] ;
wire \framedata[177] ;
wire \framedata[178] ;
wire \framedata[179] ;
wire \framedata[180] ;
wire \framedata[181] ;
wire \framedata[182] ;
wire \framedata[183] ;
wire \framedata[184] ;
wire \framedata[185] ;
wire \framedata[186] ;
wire \framedata[187] ;
wire \framedata[188] ;
wire \framedata[189] ;
wire \framedata[190] ;
wire \framedata[191] ;
wire \framedata[192] ;
wire \framedata[193] ;
wire \framedata[194] ;
wire \framedata[195] ;
wire \framedata[196] ;
wire \framedata[197] ;
wire \framedata[198] ;
wire \framedata[199] ;
wire \framedata[200] ;
wire \framedata[201] ;
wire \framedata[202] ;
wire \framedata[203] ;
wire \framedata[204] ;
wire \framedata[205] ;
wire \framedata[206] ;
wire \framedata[207] ;
wire \framedata[208] ;
wire \framedata[209] ;
wire \framedata[210] ;
wire \framedata[211] ;
wire \framedata[212] ;
wire \framedata[213] ;
wire \framedata[214] ;
wire \framedata[215] ;
wire \framedata[216] ;
wire \framedata[217] ;
wire \framedata[218] ;
wire \framedata[219] ;
wire \framedata[220] ;
wire \framedata[221] ;
wire \framedata[222] ;
wire \framedata[223] ;
wire \framedata[224] ;
wire \framedata[225] ;
wire \framedata[226] ;
wire \framedata[227] ;
wire \framedata[228] ;
wire \framedata[229] ;
wire \framedata[230] ;
wire \framedata[231] ;
wire \framedata[232] ;
wire \framedata[233] ;
wire \framedata[234] ;
wire \framedata[235] ;
wire \framedata[236] ;
wire \framedata[237] ;
wire \framedata[238] ;
wire \framedata[239] ;
wire \framedata[240] ;
wire \framedata[241] ;
wire \framedata[242] ;
wire \framedata[243] ;
wire \framedata[244] ;
wire \framedata[245] ;
wire \framedata[246] ;
wire \framedata[247] ;
wire \framedata[248] ;
wire \framedata[249] ;
wire \framedata[250] ;
wire \framedata[251] ;
wire \framedata[252] ;
wire \framedata[253] ;
wire \framedata[254] ;
wire \framedata[255] ;
wire \framedata[256] ;
wire \framedata[257] ;
wire \framedata[258] ;
wire \framedata[259] ;
wire \framedata[260] ;
wire \framedata[261] ;
wire \framedata[262] ;
wire \framedata[263] ;
wire \framedata[264] ;
wire \framedata[265] ;
wire \framedata[266] ;
wire \framedata[267] ;
wire \framedata[268] ;
wire \framedata[269] ;
wire \framedata[270] ;
wire \framedata[271] ;
wire \framedata[272] ;
wire \framedata[273] ;
wire \framedata[274] ;
wire \framedata[275] ;
wire \framedata[276] ;
wire \framedata[277] ;
wire \framedata[278] ;
wire \framedata[279] ;
wire \framedata[280] ;
wire \framedata[281] ;
wire \framedata[282] ;
wire \framedata[283] ;
wire \framedata[284] ;
wire \framedata[285] ;
wire \framedata[286] ;
wire \framedata[287] ;
wire \framedata[288] ;
wire \framedata[289] ;
wire \framedata[290] ;
wire \framedata[291] ;
wire \framedata[292] ;
wire \framedata[293] ;
wire \framedata[294] ;
wire \framedata[295] ;
wire \framedata[296] ;
wire \framedata[297] ;
wire \framedata[298] ;
wire \framedata[299] ;
wire \framedata[300] ;
wire \framedata[301] ;
wire \framedata[302] ;
wire \framedata[303] ;
wire \framedata[304] ;
wire \framedata[305] ;
wire \framedata[306] ;
wire \framedata[307] ;
wire \framedata[308] ;
wire \framedata[309] ;
wire \framedata[310] ;
wire \framedata[311] ;
wire \framedata[312] ;
wire \framedata[313] ;
wire \framedata[314] ;
wire \framedata[315] ;
wire \framedata[316] ;
wire \framedata[317] ;
wire \framedata[318] ;
wire \framedata[319] ;
wire \framedata[320] ;
wire \framedata[321] ;
wire \framedata[322] ;
wire \framedata[323] ;
wire \framedata[324] ;
wire \framedata[325] ;
wire \framedata[326] ;
wire \framedata[327] ;
wire \framedata[328] ;
wire \framedata[329] ;
wire \framedata[32] ;
wire \framedata[330] ;
wire \framedata[331] ;
wire \framedata[332] ;
wire \framedata[333] ;
wire \framedata[334] ;
wire \framedata[335] ;
wire \framedata[336] ;
wire \framedata[337] ;
wire \framedata[338] ;
wire \framedata[339] ;
wire \framedata[33] ;
wire \framedata[340] ;
wire \framedata[341] ;
wire \framedata[342] ;
wire \framedata[343] ;
wire \framedata[344] ;
wire \framedata[345] ;
wire \framedata[346] ;
wire \framedata[347] ;
wire \framedata[348] ;
wire \framedata[349] ;
wire \framedata[34] ;
wire \framedata[350] ;
wire \framedata[351] ;
wire \framedata[352] ;
wire \framedata[353] ;
wire \framedata[354] ;
wire \framedata[355] ;
wire \framedata[356] ;
wire \framedata[357] ;
wire \framedata[358] ;
wire \framedata[359] ;
wire \framedata[35] ;
wire \framedata[360] ;
wire \framedata[361] ;
wire \framedata[362] ;
wire \framedata[363] ;
wire \framedata[364] ;
wire \framedata[365] ;
wire \framedata[366] ;
wire \framedata[367] ;
wire \framedata[368] ;
wire \framedata[369] ;
wire \framedata[36] ;
wire \framedata[370] ;
wire \framedata[371] ;
wire \framedata[372] ;
wire \framedata[373] ;
wire \framedata[374] ;
wire \framedata[375] ;
wire \framedata[376] ;
wire \framedata[377] ;
wire \framedata[378] ;
wire \framedata[379] ;
wire \framedata[37] ;
wire \framedata[380] ;
wire \framedata[381] ;
wire \framedata[382] ;
wire \framedata[383] ;
wire \framedata[384] ;
wire \framedata[385] ;
wire \framedata[386] ;
wire \framedata[387] ;
wire \framedata[388] ;
wire \framedata[389] ;
wire \framedata[38] ;
wire \framedata[390] ;
wire \framedata[391] ;
wire \framedata[392] ;
wire \framedata[393] ;
wire \framedata[394] ;
wire \framedata[395] ;
wire \framedata[396] ;
wire \framedata[397] ;
wire \framedata[398] ;
wire \framedata[399] ;
wire \framedata[39] ;
wire \framedata[400] ;
wire \framedata[401] ;
wire \framedata[402] ;
wire \framedata[403] ;
wire \framedata[404] ;
wire \framedata[405] ;
wire \framedata[406] ;
wire \framedata[407] ;
wire \framedata[408] ;
wire \framedata[409] ;
wire \framedata[40] ;
wire \framedata[410] ;
wire \framedata[411] ;
wire \framedata[412] ;
wire \framedata[413] ;
wire \framedata[414] ;
wire \framedata[415] ;
wire \framedata[416] ;
wire \framedata[417] ;
wire \framedata[418] ;
wire \framedata[419] ;
wire \framedata[41] ;
wire \framedata[420] ;
wire \framedata[421] ;
wire \framedata[422] ;
wire \framedata[423] ;
wire \framedata[424] ;
wire \framedata[425] ;
wire \framedata[426] ;
wire \framedata[427] ;
wire \framedata[428] ;
wire \framedata[429] ;
wire \framedata[42] ;
wire \framedata[430] ;
wire \framedata[431] ;
wire \framedata[432] ;
wire \framedata[433] ;
wire \framedata[434] ;
wire \framedata[435] ;
wire \framedata[436] ;
wire \framedata[437] ;
wire \framedata[438] ;
wire \framedata[439] ;
wire \framedata[43] ;
wire \framedata[440] ;
wire \framedata[441] ;
wire \framedata[442] ;
wire \framedata[443] ;
wire \framedata[444] ;
wire \framedata[445] ;
wire \framedata[446] ;
wire \framedata[447] ;
wire \framedata[448] ;
wire \framedata[449] ;
wire \framedata[44] ;
wire \framedata[450] ;
wire \framedata[451] ;
wire \framedata[452] ;
wire \framedata[453] ;
wire \framedata[454] ;
wire \framedata[455] ;
wire \framedata[456] ;
wire \framedata[457] ;
wire \framedata[458] ;
wire \framedata[459] ;
wire \framedata[45] ;
wire \framedata[460] ;
wire \framedata[461] ;
wire \framedata[462] ;
wire \framedata[463] ;
wire \framedata[464] ;
wire \framedata[465] ;
wire \framedata[466] ;
wire \framedata[467] ;
wire \framedata[468] ;
wire \framedata[469] ;
wire \framedata[46] ;
wire \framedata[470] ;
wire \framedata[471] ;
wire \framedata[472] ;
wire \framedata[473] ;
wire \framedata[474] ;
wire \framedata[475] ;
wire \framedata[476] ;
wire \framedata[477] ;
wire \framedata[478] ;
wire \framedata[479] ;
wire \framedata[47] ;
wire \framedata[48] ;
wire \framedata[49] ;
wire \framedata[50] ;
wire \framedata[51] ;
wire \framedata[52] ;
wire \framedata[53] ;
wire \framedata[54] ;
wire \framedata[55] ;
wire \framedata[56] ;
wire \framedata[57] ;
wire \framedata[58] ;
wire \framedata[59] ;
wire \framedata[60] ;
wire \framedata[61] ;
wire \framedata[62] ;
wire \framedata[63] ;
wire \framedata[64] ;
wire \framedata[65] ;
wire \framedata[66] ;
wire \framedata[67] ;
wire \framedata[68] ;
wire \framedata[69] ;
wire \framedata[70] ;
wire \framedata[71] ;
wire \framedata[72] ;
wire \framedata[73] ;
wire \framedata[74] ;
wire \framedata[75] ;
wire \framedata[76] ;
wire \framedata[77] ;
wire \framedata[78] ;
wire \framedata[79] ;
wire \framedata[80] ;
wire \framedata[81] ;
wire \framedata[82] ;
wire \framedata[83] ;
wire \framedata[84] ;
wire \framedata[85] ;
wire \framedata[86] ;
wire \framedata[87] ;
wire \framedata[88] ;
wire \framedata[89] ;
wire \framedata[90] ;
wire \framedata[91] ;
wire \framedata[92] ;
wire \framedata[93] ;
wire \framedata[94] ;
wire \framedata[95] ;
wire \framedata[96] ;
wire \framedata[97] ;
wire \framedata[98] ;
wire \framedata[99] ;
wire \frameselect[0] ;
wire \frameselect[100] ;
wire \frameselect[101] ;
wire \frameselect[102] ;
wire \frameselect[103] ;
wire \frameselect[104] ;
wire \frameselect[105] ;
wire \frameselect[106] ;
wire \frameselect[107] ;
wire \frameselect[108] ;
wire \frameselect[109] ;
wire \frameselect[10] ;
wire \frameselect[110] ;
wire \frameselect[111] ;
wire \frameselect[112] ;
wire \frameselect[113] ;
wire \frameselect[114] ;
wire \frameselect[115] ;
wire \frameselect[116] ;
wire \frameselect[117] ;
wire \frameselect[118] ;
wire \frameselect[119] ;
wire \frameselect[11] ;
wire \frameselect[120] ;
wire \frameselect[121] ;
wire \frameselect[122] ;
wire \frameselect[123] ;
wire \frameselect[124] ;
wire \frameselect[125] ;
wire \frameselect[126] ;
wire \frameselect[127] ;
wire \frameselect[128] ;
wire \frameselect[129] ;
wire \frameselect[12] ;
wire \frameselect[130] ;
wire \frameselect[131] ;
wire \frameselect[132] ;
wire \frameselect[133] ;
wire \frameselect[134] ;
wire \frameselect[135] ;
wire \frameselect[136] ;
wire \frameselect[137] ;
wire \frameselect[138] ;
wire \frameselect[139] ;
wire \frameselect[13] ;
wire \frameselect[140] ;
wire \frameselect[141] ;
wire \frameselect[142] ;
wire \frameselect[143] ;
wire \frameselect[144] ;
wire \frameselect[145] ;
wire \frameselect[146] ;
wire \frameselect[147] ;
wire \frameselect[148] ;
wire \frameselect[149] ;
wire \frameselect[14] ;
wire \frameselect[150] ;
wire \frameselect[151] ;
wire \frameselect[152] ;
wire \frameselect[153] ;
wire \frameselect[154] ;
wire \frameselect[155] ;
wire \frameselect[156] ;
wire \frameselect[157] ;
wire \frameselect[158] ;
wire \frameselect[159] ;
wire \frameselect[15] ;
wire \frameselect[160] ;
wire \frameselect[161] ;
wire \frameselect[162] ;
wire \frameselect[163] ;
wire \frameselect[164] ;
wire \frameselect[165] ;
wire \frameselect[166] ;
wire \frameselect[167] ;
wire \frameselect[168] ;
wire \frameselect[169] ;
wire \frameselect[16] ;
wire \frameselect[170] ;
wire \frameselect[171] ;
wire \frameselect[172] ;
wire \frameselect[173] ;
wire \frameselect[174] ;
wire \frameselect[175] ;
wire \frameselect[176] ;
wire \frameselect[177] ;
wire \frameselect[178] ;
wire \frameselect[179] ;
wire \frameselect[17] ;
wire \frameselect[180] ;
wire \frameselect[181] ;
wire \frameselect[182] ;
wire \frameselect[183] ;
wire \frameselect[184] ;
wire \frameselect[185] ;
wire \frameselect[186] ;
wire \frameselect[187] ;
wire \frameselect[188] ;
wire \frameselect[189] ;
wire \frameselect[18] ;
wire \frameselect[190] ;
wire \frameselect[191] ;
wire \frameselect[192] ;
wire \frameselect[193] ;
wire \frameselect[194] ;
wire \frameselect[195] ;
wire \frameselect[196] ;
wire \frameselect[197] ;
wire \frameselect[198] ;
wire \frameselect[199] ;
wire \frameselect[19] ;
wire \frameselect[1] ;
wire \frameselect[200] ;
wire \frameselect[201] ;
wire \frameselect[202] ;
wire \frameselect[203] ;
wire \frameselect[204] ;
wire \frameselect[205] ;
wire \frameselect[206] ;
wire \frameselect[207] ;
wire \frameselect[208] ;
wire \frameselect[209] ;
wire \frameselect[20] ;
wire \frameselect[210] ;
wire \frameselect[211] ;
wire \frameselect[212] ;
wire \frameselect[213] ;
wire \frameselect[214] ;
wire \frameselect[215] ;
wire \frameselect[216] ;
wire \frameselect[217] ;
wire \frameselect[218] ;
wire \frameselect[219] ;
wire \frameselect[21] ;
wire \frameselect[220] ;
wire \frameselect[221] ;
wire \frameselect[222] ;
wire \frameselect[223] ;
wire \frameselect[224] ;
wire \frameselect[225] ;
wire \frameselect[226] ;
wire \frameselect[227] ;
wire \frameselect[228] ;
wire \frameselect[229] ;
wire \frameselect[22] ;
wire \frameselect[230] ;
wire \frameselect[231] ;
wire \frameselect[232] ;
wire \frameselect[233] ;
wire \frameselect[234] ;
wire \frameselect[235] ;
wire \frameselect[236] ;
wire \frameselect[237] ;
wire \frameselect[238] ;
wire \frameselect[239] ;
wire \frameselect[23] ;
wire \frameselect[240] ;
wire \frameselect[241] ;
wire \frameselect[242] ;
wire \frameselect[243] ;
wire \frameselect[244] ;
wire \frameselect[245] ;
wire \frameselect[246] ;
wire \frameselect[247] ;
wire \frameselect[248] ;
wire \frameselect[249] ;
wire \frameselect[24] ;
wire \frameselect[250] ;
wire \frameselect[251] ;
wire \frameselect[252] ;
wire \frameselect[253] ;
wire \frameselect[254] ;
wire \frameselect[255] ;
wire \frameselect[256] ;
wire \frameselect[257] ;
wire \frameselect[258] ;
wire \frameselect[259] ;
wire \frameselect[25] ;
wire \frameselect[260] ;
wire \frameselect[261] ;
wire \frameselect[262] ;
wire \frameselect[263] ;
wire \frameselect[264] ;
wire \frameselect[265] ;
wire \frameselect[266] ;
wire \frameselect[267] ;
wire \frameselect[268] ;
wire \frameselect[269] ;
wire \frameselect[26] ;
wire \frameselect[270] ;
wire \frameselect[271] ;
wire \frameselect[272] ;
wire \frameselect[273] ;
wire \frameselect[274] ;
wire \frameselect[275] ;
wire \frameselect[276] ;
wire \frameselect[277] ;
wire \frameselect[278] ;
wire \frameselect[279] ;
wire \frameselect[27] ;
wire \frameselect[280] ;
wire \frameselect[281] ;
wire \frameselect[282] ;
wire \frameselect[283] ;
wire \frameselect[284] ;
wire \frameselect[285] ;
wire \frameselect[286] ;
wire \frameselect[287] ;
wire \frameselect[288] ;
wire \frameselect[289] ;
wire \frameselect[28] ;
wire \frameselect[290] ;
wire \frameselect[291] ;
wire \frameselect[292] ;
wire \frameselect[293] ;
wire \frameselect[294] ;
wire \frameselect[295] ;
wire \frameselect[296] ;
wire \frameselect[297] ;
wire \frameselect[298] ;
wire \frameselect[299] ;
wire \frameselect[29] ;
wire \frameselect[2] ;
wire \frameselect[30] ;
wire \frameselect[31] ;
wire \frameselect[32] ;
wire \frameselect[33] ;
wire \frameselect[34] ;
wire \frameselect[35] ;
wire \frameselect[36] ;
wire \frameselect[37] ;
wire \frameselect[38] ;
wire \frameselect[39] ;
wire \frameselect[3] ;
wire \frameselect[40] ;
wire \frameselect[41] ;
wire \frameselect[42] ;
wire \frameselect[43] ;
wire \frameselect[44] ;
wire \frameselect[45] ;
wire \frameselect[46] ;
wire \frameselect[47] ;
wire \frameselect[48] ;
wire \frameselect[49] ;
wire \frameselect[4] ;
wire \frameselect[50] ;
wire \frameselect[51] ;
wire \frameselect[52] ;
wire \frameselect[53] ;
wire \frameselect[54] ;
wire \frameselect[55] ;
wire \frameselect[56] ;
wire \frameselect[57] ;
wire \frameselect[58] ;
wire \frameselect[59] ;
wire \frameselect[5] ;
wire \frameselect[60] ;
wire \frameselect[61] ;
wire \frameselect[62] ;
wire \frameselect[63] ;
wire \frameselect[64] ;
wire \frameselect[65] ;
wire \frameselect[66] ;
wire \frameselect[67] ;
wire \frameselect[68] ;
wire \frameselect[69] ;
wire \frameselect[6] ;
wire \frameselect[70] ;
wire \frameselect[71] ;
wire \frameselect[72] ;
wire \frameselect[73] ;
wire \frameselect[74] ;
wire \frameselect[75] ;
wire \frameselect[76] ;
wire \frameselect[77] ;
wire \frameselect[78] ;
wire \frameselect[79] ;
wire \frameselect[7] ;
wire \frameselect[80] ;
wire \frameselect[81] ;
wire \frameselect[82] ;
wire \frameselect[83] ;
wire \frameselect[84] ;
wire \frameselect[85] ;
wire \frameselect[86] ;
wire \frameselect[87] ;
wire \frameselect[88] ;
wire \frameselect[89] ;
wire \frameselect[8] ;
wire \frameselect[90] ;
wire \frameselect[91] ;
wire \frameselect[92] ;
wire \frameselect[93] ;
wire \frameselect[94] ;
wire \frameselect[95] ;
wire \frameselect[96] ;
wire \frameselect[97] ;
wire \frameselect[98] ;
wire \frameselect[99] ;
wire \frameselect[9] ;
wire \localwritedata[0] ;
wire \localwritedata[10] ;
wire \localwritedata[11] ;
wire \localwritedata[12] ;
wire \localwritedata[13] ;
wire \localwritedata[14] ;
wire \localwritedata[15] ;
wire \localwritedata[16] ;
wire \localwritedata[17] ;
wire \localwritedata[18] ;
wire \localwritedata[19] ;
wire \localwritedata[1] ;
wire \localwritedata[20] ;
wire \localwritedata[21] ;
wire \localwritedata[22] ;
wire \localwritedata[23] ;
wire \localwritedata[24] ;
wire \localwritedata[25] ;
wire \localwritedata[26] ;
wire \localwritedata[27] ;
wire \localwritedata[28] ;
wire \localwritedata[29] ;
wire \localwritedata[2] ;
wire \localwritedata[30] ;
wire \localwritedata[31] ;
wire \localwritedata[3] ;
wire \localwritedata[4] ;
wire \localwritedata[5] ;
wire \localwritedata[6] ;
wire \localwritedata[7] ;
wire \localwritedata[8] ;
wire \localwritedata[9] ;
wire localwritestrobe;
wire longframestrobe;
wire receiveled;
wire \rowselect[0] ;
wire \rowselect[1] ;
wire \rowselect[2] ;
wire \rowselect[3] ;
wire \rowselect[4] ;
wire \selfwritedata[0] ;
wire \selfwritedata[10] ;
wire \selfwritedata[11] ;
wire \selfwritedata[12] ;
wire \selfwritedata[13] ;
wire \selfwritedata[14] ;
wire \selfwritedata[15] ;
wire \selfwritedata[16] ;
wire \selfwritedata[17] ;
wire \selfwritedata[18] ;
wire \selfwritedata[19] ;
wire \selfwritedata[1] ;
wire \selfwritedata[20] ;
wire \selfwritedata[21] ;
wire \selfwritedata[22] ;
wire \selfwritedata[23] ;
wire \selfwritedata[24] ;
wire \selfwritedata[25] ;
wire \selfwritedata[26] ;
wire \selfwritedata[27] ;
wire \selfwritedata[28] ;
wire \selfwritedata[29] ;
wire \selfwritedata[2] ;
wire \selfwritedata[30] ;
wire \selfwritedata[31] ;
wire \selfwritedata[3] ;
wire \selfwritedata[4] ;
wire \selfwritedata[5] ;
wire \selfwritedata[6] ;
wire \selfwritedata[7] ;
wire \selfwritedata[8] ;
wire \selfwritedata[9] ;
wire selfwritestrobe;
wire \w_opa[0] ;
wire \w_opa[1] ;
wire \w_opa[2] ;
wire \w_opa[35] ;
wire \w_opb[0] ;
wire \w_opb[10] ;
wire \w_opb[11] ;
wire \w_opb[12] ;
wire \w_opb[13] ;
wire \w_opb[14] ;
wire \w_opb[15] ;
wire \w_opb[16] ;
wire \w_opb[17] ;
wire \w_opb[18] ;
wire \w_opb[19] ;
wire \w_opb[1] ;
wire \w_opb[20] ;
wire \w_opb[21] ;
wire \w_opb[22] ;
wire \w_opb[23] ;
wire \w_opb[24] ;
wire \w_opb[25] ;
wire \w_opb[26] ;
wire \w_opb[27] ;
wire \w_opb[28] ;
wire \w_opb[29] ;
wire \w_opb[2] ;
wire \w_opb[30] ;
wire \w_opb[31] ;
wire \w_opb[32] ;
wire \w_opb[33] ;
wire \w_opb[34] ;
wire \w_opb[35] ;
wire \w_opb[3] ;
wire \w_opb[4] ;
wire \w_opb[5] ;
wire \w_opb[6] ;
wire \w_opb[7] ;
wire \w_opb[8] ;
wire \w_opb[9] ;
wire \w_res0[0] ;
wire \w_res0[10] ;
wire \w_res0[11] ;
wire \w_res0[12] ;
wire \w_res0[13] ;
wire \w_res0[14] ;
wire \w_res0[15] ;
wire \w_res0[16] ;
wire \w_res0[17] ;
wire \w_res0[18] ;
wire \w_res0[19] ;
wire \w_res0[1] ;
wire \w_res0[20] ;
wire \w_res0[21] ;
wire \w_res0[22] ;
wire \w_res0[23] ;
wire \w_res0[24] ;
wire \w_res0[25] ;
wire \w_res0[26] ;
wire \w_res0[27] ;
wire \w_res0[28] ;
wire \w_res0[29] ;
wire \w_res0[2] ;
wire \w_res0[30] ;
wire \w_res0[31] ;
wire \w_res0[32] ;
wire \w_res0[33] ;
wire \w_res0[34] ;
wire \w_res0[35] ;
wire \w_res0[3] ;
wire \w_res0[4] ;
wire \w_res0[5] ;
wire \w_res0[6] ;
wire \w_res0[7] ;
wire \w_res0[8] ;
wire \w_res0[9] ;
wire \w_res1[0] ;
wire \w_res1[10] ;
wire \w_res1[11] ;
wire \w_res1[12] ;
wire \w_res1[13] ;
wire \w_res1[14] ;
wire \w_res1[15] ;
wire \w_res1[16] ;
wire \w_res1[17] ;
wire \w_res1[18] ;
wire \w_res1[19] ;
wire \w_res1[1] ;
wire \w_res1[20] ;
wire \w_res1[21] ;
wire \w_res1[22] ;
wire \w_res1[23] ;
wire \w_res1[24] ;
wire \w_res1[25] ;
wire \w_res1[26] ;
wire \w_res1[27] ;
wire \w_res1[28] ;
wire \w_res1[29] ;
wire \w_res1[2] ;
wire \w_res1[30] ;
wire \w_res1[31] ;
wire \w_res1[32] ;
wire \w_res1[33] ;
wire \w_res1[34] ;
wire \w_res1[35] ;
wire \w_res1[3] ;
wire \w_res1[4] ;
wire \w_res1[5] ;
wire \w_res1[6] ;
wire \w_res1[7] ;
wire \w_res1[8] ;
wire \w_res1[9] ;
wire \w_res2[0] ;
wire \w_res2[10] ;
wire \w_res2[11] ;
wire \w_res2[12] ;
wire \w_res2[13] ;
wire \w_res2[14] ;
wire \w_res2[15] ;
wire \w_res2[16] ;
wire \w_res2[17] ;
wire \w_res2[18] ;
wire \w_res2[19] ;
wire \w_res2[1] ;
wire \w_res2[20] ;
wire \w_res2[21] ;
wire \w_res2[22] ;
wire \w_res2[23] ;
wire \w_res2[24] ;
wire \w_res2[25] ;
wire \w_res2[26] ;
wire \w_res2[27] ;
wire \w_res2[28] ;
wire \w_res2[29] ;
wire \w_res2[2] ;
wire \w_res2[30] ;
wire \w_res2[31] ;
wire \w_res2[32] ;
wire \w_res2[33] ;
wire \w_res2[34] ;
wire \w_res2[35] ;
wire \w_res2[3] ;
wire \w_res2[4] ;
wire \w_res2[5] ;
wire \w_res2[6] ;
wire \w_res2[7] ;
wire \w_res2[8] ;
wire \w_res2[9] ;
wire debug_req_1;
wire debug_req_2;
wire fetch_enable_1;
wire fetch_enable_2;
input [37:0] io_in;
output [37:0] io_oeb;
output [37:0] io_out;
input [3:0] la_data_in;
output [2:0] la_data_out;
input user_clock2;
input wb_clk_i;
input wb_rst_i;
output wbs_ack_o;
input [31:0] wbs_adr_i;
input wbs_cyc_i;
input [31:0] wbs_dat_i;
output [31:0] wbs_dat_o;
input [3:0] wbs_sel_i;
input wbs_stb_i;
input wbs_we_i;
sky130_fd_sc_hd__conb_1 _155_ (
.hi(_011_)
);
sky130_fd_sc_hd__conb_1 _156_ (
.hi(_012_)
);
sky130_fd_sc_hd__conb_1 _157_ (
.hi(_013_)
);
sky130_fd_sc_hd__conb_1 _158_ (
.hi(_014_)
);
sky130_fd_sc_hd__conb_1 _159_ (
.hi(_015_)
);
sky130_fd_sc_hd__conb_1 _160_ (
.hi(_016_)
);
sky130_fd_sc_hd__conb_1 _161_ (
.hi(_017_)
);
sky130_fd_sc_hd__conb_1 _162_ (
.hi(_018_)
);
sky130_fd_sc_hd__conb_1 _163_ (
.hi(_019_)
);
sky130_fd_sc_hd__conb_1 _164_ (
.hi(_020_)
);
sky130_fd_sc_hd__conb_1 _165_ (
.hi(_021_)
);
sky130_fd_sc_hd__conb_1 _166_ (
.hi(_022_)
);
sky130_fd_sc_hd__conb_1 _167_ (
.hi(_023_)
);
sky130_fd_sc_hd__conb_1 _168_ (
.hi(_024_)
);
sky130_fd_sc_hd__conb_1 _169_ (
.hi(_025_)
);
sky130_fd_sc_hd__conb_1 _170_ (
.hi(_026_)
);
sky130_fd_sc_hd__conb_1 _171_ (
.hi(_027_)
);
sky130_fd_sc_hd__conb_1 _172_ (
.hi(_028_)
);
sky130_fd_sc_hd__conb_1 _173_ (
.hi(_029_)
);
sky130_fd_sc_hd__conb_1 _174_ (
.hi(_030_)
);
sky130_fd_sc_hd__conb_1 _175_ (
.hi(_031_)
);
sky130_fd_sc_hd__conb_1 _176_ (
.hi(_032_)
);
sky130_fd_sc_hd__conb_1 _177_ (
.hi(_033_)
);
sky130_fd_sc_hd__conb_1 _178_ (
.hi(_034_)
);
sky130_fd_sc_hd__conb_1 _179_ (
.hi(_035_)
);
sky130_fd_sc_hd__conb_1 _180_ (
.hi(_036_)
);
sky130_fd_sc_hd__conb_1 _181_ (
.hi(io_oeb[0])
);
sky130_fd_sc_hd__conb_1 _182_ (
.hi(io_oeb[1])
);
sky130_fd_sc_hd__conb_1 _183_ (
.hi(io_oeb[2])
);
sky130_fd_sc_hd__conb_1 _184_ (
.hi(io_oeb[3])
);
sky130_fd_sc_hd__conb_1 _185_ (
.hi(io_oeb[4])
);
sky130_fd_sc_hd__conb_1 _186_ (
.hi(io_oeb[5])
);
sky130_fd_sc_hd__conb_1 _187_ (
.hi(io_oeb[7])
);
sky130_fd_sc_hd__conb_1 _188_ (
.hi(io_oeb[8])
);
sky130_fd_sc_hd__conb_1 _189_ (
.hi(io_oeb[9])
);
sky130_fd_sc_hd__conb_1 _190_ (
.hi(io_oeb[10])
);
sky130_fd_sc_hd__conb_1 _191_ (
.hi(io_oeb[11])
);
sky130_fd_sc_hd__conb_1 _192_ (
.hi(io_oeb[12])
);
sky130_fd_sc_hd__conb_1 _193_ (
.hi(io_oeb[14])
);
sky130_fd_sc_hd__conb_1 _194_ (
.lo(_037_)
);
sky130_fd_sc_hd__conb_1 _195_ (
.lo(_038_)
);
sky130_fd_sc_hd__conb_1 _196_ (
.lo(_039_)
);
sky130_fd_sc_hd__conb_1 _197_ (
.lo(_040_)
);
sky130_fd_sc_hd__conb_1 _198_ (
.lo(_041_)
);
sky130_fd_sc_hd__conb_1 _199_ (
.lo(_042_)
);
sky130_fd_sc_hd__conb_1 _200_ (
.lo(_043_)
);
sky130_fd_sc_hd__conb_1 _201_ (
.lo(_044_)
);
sky130_fd_sc_hd__conb_1 _202_ (
.lo(_045_)
);
sky130_fd_sc_hd__conb_1 _203_ (
.lo(_046_)
);
sky130_fd_sc_hd__conb_1 _204_ (
.lo(_047_)
);
sky130_fd_sc_hd__conb_1 _205_ (
.lo(_048_)
);
sky130_fd_sc_hd__conb_1 _206_ (
.lo(_049_)
);
sky130_fd_sc_hd__conb_1 _207_ (
.lo(_050_)
);
sky130_fd_sc_hd__conb_1 _208_ (
.lo(_051_)
);
sky130_fd_sc_hd__conb_1 _209_ (
.lo(_052_)
);
sky130_fd_sc_hd__conb_1 _210_ (
.lo(_053_)
);
sky130_fd_sc_hd__conb_1 _211_ (
.lo(_054_)
);
sky130_fd_sc_hd__conb_1 _212_ (
.lo(_055_)
);
sky130_fd_sc_hd__conb_1 _213_ (
.lo(_056_)
);
sky130_fd_sc_hd__conb_1 _214_ (
.lo(_057_)
);
sky130_fd_sc_hd__conb_1 _215_ (
.lo(_058_)
);
sky130_fd_sc_hd__conb_1 _216_ (
.lo(_059_)
);
sky130_fd_sc_hd__conb_1 _217_ (
.lo(_060_)
);
sky130_fd_sc_hd__conb_1 _218_ (
.lo(_061_)
);
sky130_fd_sc_hd__conb_1 _219_ (
.lo(_062_)
);
sky130_fd_sc_hd__conb_1 _220_ (
.lo(_063_)
);
sky130_fd_sc_hd__conb_1 _221_ (
.lo(_064_)
);
sky130_fd_sc_hd__conb_1 _222_ (
.lo(_065_)
);
sky130_fd_sc_hd__conb_1 _223_ (
.lo(_066_)
);
sky130_fd_sc_hd__conb_1 _224_ (
.lo(_067_)
);
sky130_fd_sc_hd__conb_1 _225_ (
.lo(_068_)
);
sky130_fd_sc_hd__conb_1 _226_ (
.lo(_069_)
);
sky130_fd_sc_hd__conb_1 _227_ (
.lo(_070_)
);
sky130_fd_sc_hd__conb_1 _228_ (
.lo(_071_)
);
sky130_fd_sc_hd__conb_1 _229_ (
.lo(_072_)
);
sky130_fd_sc_hd__conb_1 _230_ (
.lo(_073_)
);
sky130_fd_sc_hd__conb_1 _231_ (
.lo(_074_)
);
sky130_fd_sc_hd__conb_1 _232_ (
.lo(_075_)
);
sky130_fd_sc_hd__conb_1 _233_ (
.lo(_076_)
);
sky130_fd_sc_hd__conb_1 _234_ (
.lo(_077_)
);
sky130_fd_sc_hd__conb_1 _235_ (
.lo(_078_)
);
sky130_fd_sc_hd__conb_1 _236_ (
.lo(_079_)
);
sky130_fd_sc_hd__conb_1 _237_ (
.lo(_080_)
);
sky130_fd_sc_hd__conb_1 _238_ (
.lo(_081_)
);
sky130_fd_sc_hd__conb_1 _239_ (
.lo(_082_)
);
sky130_fd_sc_hd__conb_1 _240_ (
.lo(_083_)
);
sky130_fd_sc_hd__conb_1 _241_ (
.lo(_084_)
);
sky130_fd_sc_hd__conb_1 _242_ (
.lo(_085_)
);
sky130_fd_sc_hd__conb_1 _243_ (
.lo(_086_)
);
sky130_fd_sc_hd__conb_1 _244_ (
.lo(_087_)
);
sky130_fd_sc_hd__conb_1 _245_ (
.lo(_088_)
);
sky130_fd_sc_hd__conb_1 _246_ (
.lo(_089_)
);
sky130_fd_sc_hd__conb_1 _247_ (
.lo(_090_)
);
sky130_fd_sc_hd__conb_1 _248_ (
.lo(_091_)
);
sky130_fd_sc_hd__conb_1 _249_ (
.lo(_092_)
);
sky130_fd_sc_hd__conb_1 _250_ (
.lo(_093_)
);
sky130_fd_sc_hd__conb_1 _251_ (
.lo(_094_)
);
sky130_fd_sc_hd__conb_1 _252_ (
.lo(_095_)
);
sky130_fd_sc_hd__conb_1 _253_ (
.lo(_096_)
);
sky130_fd_sc_hd__conb_1 _254_ (
.lo(_097_)
);
sky130_fd_sc_hd__conb_1 _255_ (
.lo(_098_)
);
sky130_fd_sc_hd__conb_1 _256_ (
.lo(_099_)
);
sky130_fd_sc_hd__conb_1 _257_ (
.lo(_100_)
);
sky130_fd_sc_hd__conb_1 _258_ (
.lo(_101_)
);
sky130_fd_sc_hd__conb_1 _259_ (
.lo(_102_)
);
sky130_fd_sc_hd__conb_1 _260_ (
.lo(_103_)
);
sky130_fd_sc_hd__conb_1 _261_ (
.lo(_104_)
);
sky130_fd_sc_hd__conb_1 _262_ (
.lo(_105_)
);
sky130_fd_sc_hd__conb_1 _263_ (
.lo(_106_)
);
sky130_fd_sc_hd__conb_1 _264_ (
.lo(_107_)
);
sky130_fd_sc_hd__conb_1 _265_ (
.lo(_108_)
);
sky130_fd_sc_hd__conb_1 _266_ (
.lo(_109_)
);
sky130_fd_sc_hd__conb_1 _267_ (
.lo(_110_)
);
sky130_fd_sc_hd__conb_1 _268_ (
.lo(_111_)
);
sky130_fd_sc_hd__conb_1 _269_ (
.lo(_112_)
);
sky130_fd_sc_hd__conb_1 _270_ (
.lo(_113_)
);
sky130_fd_sc_hd__conb_1 _271_ (
.lo(_114_)
);
sky130_fd_sc_hd__conb_1 _272_ (
.lo(_115_)
);
sky130_fd_sc_hd__conb_1 _273_ (
.lo(_116_)
);
sky130_fd_sc_hd__conb_1 _274_ (
.lo(_117_)
);
sky130_fd_sc_hd__conb_1 _275_ (
.lo(_118_)
);
sky130_fd_sc_hd__conb_1 _276_ (
.lo(_119_)
);
sky130_fd_sc_hd__conb_1 _277_ (
.lo(_120_)
);
sky130_fd_sc_hd__conb_1 _278_ (
.lo(_121_)
);
sky130_fd_sc_hd__conb_1 _279_ (
.lo(_122_)
);
sky130_fd_sc_hd__conb_1 _280_ (
.lo(_123_)
);
sky130_fd_sc_hd__conb_1 _281_ (
.lo(_124_)
);
sky130_fd_sc_hd__conb_1 _282_ (
.lo(_125_)
);
sky130_fd_sc_hd__conb_1 _283_ (
.lo(_126_)
);
sky130_fd_sc_hd__conb_1 _284_ (
.lo(_127_)
);
sky130_fd_sc_hd__conb_1 _285_ (
.lo(_128_)
);
sky130_fd_sc_hd__conb_1 _286_ (
.lo(_129_)
);
sky130_fd_sc_hd__conb_1 _287_ (
.lo(_130_)
);
sky130_fd_sc_hd__conb_1 _288_ (
.lo(_131_)
);
sky130_fd_sc_hd__conb_1 _289_ (
.lo(_132_)
);
sky130_fd_sc_hd__conb_1 _290_ (
.lo(_133_)
);
sky130_fd_sc_hd__conb_1 _291_ (
.lo(_134_)
);
sky130_fd_sc_hd__conb_1 _292_ (
.lo(_135_)
);
sky130_fd_sc_hd__conb_1 _293_ (
.lo(_136_)
);
sky130_fd_sc_hd__conb_1 _294_ (
.lo(_137_)
);
sky130_fd_sc_hd__conb_1 _295_ (
.lo(_138_)
);
sky130_fd_sc_hd__conb_1 _296_ (
.lo(_139_)
);
sky130_fd_sc_hd__conb_1 _297_ (
.lo(_140_)
);
sky130_fd_sc_hd__conb_1 _298_ (
.lo(_141_)
);
sky130_fd_sc_hd__conb_1 _299_ (
.lo(_142_)
);
sky130_fd_sc_hd__conb_1 _300_ (
.lo(_143_)
);
sky130_fd_sc_hd__conb_1 _301_ (
.lo(_144_)
);
sky130_fd_sc_hd__conb_1 _302_ (
.lo(_145_)
);
sky130_fd_sc_hd__conb_1 _303_ (
.lo(_146_)
);
sky130_fd_sc_hd__conb_1 _304_ (
.lo(_147_)
);
sky130_fd_sc_hd__conb_1 _305_ (
.lo(_148_)
);
sky130_fd_sc_hd__conb_1 _306_ (
.lo(_149_)
);
sky130_fd_sc_hd__conb_1 _307_ (
.lo(_150_)
);
sky130_fd_sc_hd__conb_1 _308_ (
.lo(_151_)
);
sky130_fd_sc_hd__conb_1 _309_ (
.lo(_152_)
);
sky130_fd_sc_hd__conb_1 _310_ (
.lo(_153_)
);
sky130_fd_sc_hd__conb_1 _311_ (
.lo(_154_)
);
sky130_fd_sc_hd__conb_1 _312_ (
.lo(io_oeb[6])
);
sky130_fd_sc_hd__conb_1 _313_ (
.lo(io_oeb[13])
);
sky130_fd_sc_hd__conb_1 _314_ (
.lo(io_oeb[15])
);
sky130_fd_sc_hd__conb_1 _315_ (
.lo(io_oeb[16])
);
sky130_fd_sc_hd__conb_1 _316_ (
.lo(io_oeb[27])
);
sky130_fd_sc_hd__conb_1 _317_ (
.lo(io_oeb[28])
);
sky130_fd_sc_hd__conb_1 _318_ (
.lo(io_oeb[29])
);
sky130_fd_sc_hd__conb_1 _319_ (
.lo(io_oeb[30])
);
sky130_fd_sc_hd__conb_1 _320_ (
.lo(io_oeb[31])
);
sky130_fd_sc_hd__conb_1 _321_ (
.lo(io_oeb[32])
);
sky130_fd_sc_hd__conb_1 _322_ (
.lo(io_oeb[33])
);
sky130_fd_sc_hd__conb_1 _323_ (
.lo(io_oeb[34])
);
sky130_fd_sc_hd__conb_1 _324_ (
.lo(io_oeb[35])
);
sky130_fd_sc_hd__conb_1 _325_ (
.lo(io_oeb[36])
);
sky130_fd_sc_hd__conb_1 _326_ (
.lo(io_oeb[37])
);
sky130_fd_sc_hd__conb_1 _327_ (
.lo(io_out[0])
);
sky130_fd_sc_hd__conb_1 _328_ (
.lo(io_out[1])
);
sky130_fd_sc_hd__conb_1 _329_ (
.lo(io_out[2])
);
sky130_fd_sc_hd__conb_1 _330_ (
.lo(io_out[3])
);
sky130_fd_sc_hd__conb_1 _331_ (
.lo(io_out[4])
);
sky130_fd_sc_hd__conb_1 _332_ (
.lo(io_out[5])
);
sky130_fd_sc_hd__conb_1 _333_ (
.lo(io_out[7])
);
sky130_fd_sc_hd__conb_1 _334_ (
.lo(io_out[8])
);
sky130_fd_sc_hd__conb_1 _335_ (
.lo(io_out[9])
);
sky130_fd_sc_hd__conb_1 _336_ (
.lo(io_out[10])
);
sky130_fd_sc_hd__conb_1 _337_ (
.lo(io_out[11])
);
sky130_fd_sc_hd__conb_1 _338_ (
.lo(io_out[12])
);
sky130_fd_sc_hd__conb_1 _339_ (
.lo(io_out[14])
);
sky130_fd_sc_hd__conb_1 _340_ (
.lo(io_out[27])
);
sky130_fd_sc_hd__conb_1 _341_ (
.lo(io_out[28])
);
sky130_fd_sc_hd__conb_1 _342_ (
.lo(io_out[29])
);
sky130_fd_sc_hd__conb_1 _343_ (
.lo(io_out[30])
);
sky130_fd_sc_hd__conb_1 _344_ (
.lo(io_out[31])
);
sky130_fd_sc_hd__conb_1 _345_ (
.lo(io_out[32])
);
sky130_fd_sc_hd__conb_1 _346_ (
.lo(io_out[33])
);
sky130_fd_sc_hd__conb_1 _347_ (
.lo(io_out[34])
);
sky130_fd_sc_hd__conb_1 _348_ (
.lo(io_out[35])
);
sky130_fd_sc_hd__conb_1 _349_ (
.lo(io_out[36])
);
sky130_fd_sc_hd__conb_1 _350_ (
.lo(io_out[37])
);
sky130_fd_sc_hd__buf_2 _351_ (
.a(receiveled),
.x(io_out[6])
);
sky130_fd_sc_hd__buf_2 _352_ (
.a(comactive),
.x(la_data_out[0])
);
sky130_fd_sc_hd__buf_2 _353_ (
.a(io_in[5]),
.x(la_data_out[1])
);
sky130_fd_sc_hd__buf_2 _354_ (
.a(receiveled),
.x(la_data_out[2])
);
sky130_fd_sc_hd__mux2_1 _355_ (
.a0(wb_clk_i),
.a1(user_clock2),
.s(io_in[2]),
.x(_000_)
);
sky130_fd_sc_hd__mux2_1 _356_ (
.a0(io_in[0]),
.a1(_000_),
.s(io_in[1]),
.x(clk)
);
sky130_fd_sc_hd__mux2_1 _357_ (
.a0(la_data_in[0]),
.a1(io_in[8]),
.s(io_in[7]),
.x(debug_req_1)
);
sky130_fd_sc_hd__mux2_1 _358_ (
.a0(la_data_in[1]),
.a1(io_in[9]),
.s(io_in[7]),
.x(fetch_enable_1)
);
sky130_fd_sc_hd__mux2_1 _359_ (
.a0(la_data_in[2]),
.a1(io_in[10]),
.s(io_in[7]),
.x(debug_req_2)
);
sky130_fd_sc_hd__mux2_1 _360_ (
.a0(la_data_in[3]),
.a1(io_in[11]),
.s(io_in[7]),
.x(fetch_enable_2)
);
config config_inst (
.clk(clk),
.comactive(comactive),
.configwritedata({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.configwritestrobe(localwritestrobe),
.frameaddressregister({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] , \frameaddressregister[26] , \frameaddressregister[25] , \frameaddressregister[24] , \frameaddressregister[23] , \frameaddressregister[22] , \frameaddressregister[21] , \frameaddressregister[20] , \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.longframestrobe(longframestrobe),
.receiveled(receiveled),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] }),
.rx(io_in[5]),
.selfwritedata({ \selfwritedata[31] , \selfwritedata[30] , \selfwritedata[29] , \selfwritedata[28] , \selfwritedata[27] , \selfwritedata[26] , \selfwritedata[25] , \selfwritedata[24] , \selfwritedata[23] , \selfwritedata[22] , \selfwritedata[21] , \selfwritedata[20] , \selfwritedata[19] , \selfwritedata[18] , \selfwritedata[17] , \selfwritedata[16] , \selfwritedata[15] , \selfwritedata[14] , \selfwritedata[13] , \selfwritedata[12] , \selfwritedata[11] , \selfwritedata[10] , \selfwritedata[9] , \selfwritedata[8] , \selfwritedata[7] , \selfwritedata[6] , \selfwritedata[5] , \selfwritedata[4] , \selfwritedata[3] , \selfwritedata[2] , \selfwritedata[1] , \selfwritedata[0] }),
.selfwritestrobe(selfwritestrobe),
.s_clk(io_in[3]),
.s_data(io_in[4])
);
frame_data_reg_0 inst_frame_data_reg_0 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[63] , \framedata[62] , \framedata[61] , \framedata[60] , \framedata[59] , \framedata[58] , \framedata[57] , \framedata[56] , \framedata[55] , \framedata[54] , \framedata[53] , \framedata[52] , \framedata[51] , \framedata[50] , \framedata[49] , \framedata[48] , \framedata[47] , \framedata[46] , \framedata[45] , \framedata[44] , \framedata[43] , \framedata[42] , \framedata[41] , \framedata[40] , \framedata[39] , \framedata[38] , \framedata[37] , \framedata[36] , \framedata[35] , \framedata[34] , \framedata[33] , \framedata[32] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_1 inst_frame_data_reg_1 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[95] , \framedata[94] , \framedata[93] , \framedata[92] , \framedata[91] , \framedata[90] , \framedata[89] , \framedata[88] , \framedata[87] , \framedata[86] , \framedata[85] , \framedata[84] , \framedata[83] , \framedata[82] , \framedata[81] , \framedata[80] , \framedata[79] , \framedata[78] , \framedata[77] , \framedata[76] , \framedata[75] , \framedata[74] , \framedata[73] , \framedata[72] , \framedata[71] , \framedata[70] , \framedata[69] , \framedata[68] , \framedata[67] , \framedata[66] , \framedata[65] , \framedata[64] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_10 inst_frame_data_reg_10 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[383] , \framedata[382] , \framedata[381] , \framedata[380] , \framedata[379] , \framedata[378] , \framedata[377] , \framedata[376] , \framedata[375] , \framedata[374] , \framedata[373] , \framedata[372] , \framedata[371] , \framedata[370] , \framedata[369] , \framedata[368] , \framedata[367] , \framedata[366] , \framedata[365] , \framedata[364] , \framedata[363] , \framedata[362] , \framedata[361] , \framedata[360] , \framedata[359] , \framedata[358] , \framedata[357] , \framedata[356] , \framedata[355] , \framedata[354] , \framedata[353] , \framedata[352] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_11 inst_frame_data_reg_11 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[415] , \framedata[414] , \framedata[413] , \framedata[412] , \framedata[411] , \framedata[410] , \framedata[409] , \framedata[408] , \framedata[407] , \framedata[406] , \framedata[405] , \framedata[404] , \framedata[403] , \framedata[402] , \framedata[401] , \framedata[400] , \framedata[399] , \framedata[398] , \framedata[397] , \framedata[396] , \framedata[395] , \framedata[394] , \framedata[393] , \framedata[392] , \framedata[391] , \framedata[390] , \framedata[389] , \framedata[388] , \framedata[387] , \framedata[386] , \framedata[385] , \framedata[384] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_12 inst_frame_data_reg_12 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[447] , \framedata[446] , \framedata[445] , \framedata[444] , \framedata[443] , \framedata[442] , \framedata[441] , \framedata[440] , \framedata[439] , \framedata[438] , \framedata[437] , \framedata[436] , \framedata[435] , \framedata[434] , \framedata[433] , \framedata[432] , \framedata[431] , \framedata[430] , \framedata[429] , \framedata[428] , \framedata[427] , \framedata[426] , \framedata[425] , \framedata[424] , \framedata[423] , \framedata[422] , \framedata[421] , \framedata[420] , \framedata[419] , \framedata[418] , \framedata[417] , \framedata[416] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_13 inst_frame_data_reg_13 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[479] , \framedata[478] , \framedata[477] , \framedata[476] , \framedata[475] , \framedata[474] , \framedata[473] , \framedata[472] , \framedata[471] , \framedata[470] , \framedata[469] , \framedata[468] , \framedata[467] , \framedata[466] , \framedata[465] , \framedata[464] , \framedata[463] , \framedata[462] , \framedata[461] , \framedata[460] , \framedata[459] , \framedata[458] , \framedata[457] , \framedata[456] , \framedata[455] , \framedata[454] , \framedata[453] , \framedata[452] , \framedata[451] , \framedata[450] , \framedata[449] , \framedata[448] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_2 inst_frame_data_reg_2 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[127] , \framedata[126] , \framedata[125] , \framedata[124] , \framedata[123] , \framedata[122] , \framedata[121] , \framedata[120] , \framedata[119] , \framedata[118] , \framedata[117] , \framedata[116] , \framedata[115] , \framedata[114] , \framedata[113] , \framedata[112] , \framedata[111] , \framedata[110] , \framedata[109] , \framedata[108] , \framedata[107] , \framedata[106] , \framedata[105] , \framedata[104] , \framedata[103] , \framedata[102] , \framedata[101] , \framedata[100] , \framedata[99] , \framedata[98] , \framedata[97] , \framedata[96] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_3 inst_frame_data_reg_3 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[159] , \framedata[158] , \framedata[157] , \framedata[156] , \framedata[155] , \framedata[154] , \framedata[153] , \framedata[152] , \framedata[151] , \framedata[150] , \framedata[149] , \framedata[148] , \framedata[147] , \framedata[146] , \framedata[145] , \framedata[144] , \framedata[143] , \framedata[142] , \framedata[141] , \framedata[140] , \framedata[139] , \framedata[138] , \framedata[137] , \framedata[136] , \framedata[135] , \framedata[134] , \framedata[133] , \framedata[132] , \framedata[131] , \framedata[130] , \framedata[129] , \framedata[128] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_4 inst_frame_data_reg_4 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[191] , \framedata[190] , \framedata[189] , \framedata[188] , \framedata[187] , \framedata[186] , \framedata[185] , \framedata[184] , \framedata[183] , \framedata[182] , \framedata[181] , \framedata[180] , \framedata[179] , \framedata[178] , \framedata[177] , \framedata[176] , \framedata[175] , \framedata[174] , \framedata[173] , \framedata[172] , \framedata[171] , \framedata[170] , \framedata[169] , \framedata[168] , \framedata[167] , \framedata[166] , \framedata[165] , \framedata[164] , \framedata[163] , \framedata[162] , \framedata[161] , \framedata[160] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_5 inst_frame_data_reg_5 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[223] , \framedata[222] , \framedata[221] , \framedata[220] , \framedata[219] , \framedata[218] , \framedata[217] , \framedata[216] , \framedata[215] , \framedata[214] , \framedata[213] , \framedata[212] , \framedata[211] , \framedata[210] , \framedata[209] , \framedata[208] , \framedata[207] , \framedata[206] , \framedata[205] , \framedata[204] , \framedata[203] , \framedata[202] , \framedata[201] , \framedata[200] , \framedata[199] , \framedata[198] , \framedata[197] , \framedata[196] , \framedata[195] , \framedata[194] , \framedata[193] , \framedata[192] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_6 inst_frame_data_reg_6 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[255] , \framedata[254] , \framedata[253] , \framedata[252] , \framedata[251] , \framedata[250] , \framedata[249] , \framedata[248] , \framedata[247] , \framedata[246] , \framedata[245] , \framedata[244] , \framedata[243] , \framedata[242] , \framedata[241] , \framedata[240] , \framedata[239] , \framedata[238] , \framedata[237] , \framedata[236] , \framedata[235] , \framedata[234] , \framedata[233] , \framedata[232] , \framedata[231] , \framedata[230] , \framedata[229] , \framedata[228] , \framedata[227] , \framedata[226] , \framedata[225] , \framedata[224] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_7 inst_frame_data_reg_7 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[287] , \framedata[286] , \framedata[285] , \framedata[284] , \framedata[283] , \framedata[282] , \framedata[281] , \framedata[280] , \framedata[279] , \framedata[278] , \framedata[277] , \framedata[276] , \framedata[275] , \framedata[274] , \framedata[273] , \framedata[272] , \framedata[271] , \framedata[270] , \framedata[269] , \framedata[268] , \framedata[267] , \framedata[266] , \framedata[265] , \framedata[264] , \framedata[263] , \framedata[262] , \framedata[261] , \framedata[260] , \framedata[259] , \framedata[258] , \framedata[257] , \framedata[256] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_8 inst_frame_data_reg_8 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[319] , \framedata[318] , \framedata[317] , \framedata[316] , \framedata[315] , \framedata[314] , \framedata[313] , \framedata[312] , \framedata[311] , \framedata[310] , \framedata[309] , \framedata[308] , \framedata[307] , \framedata[306] , \framedata[305] , \framedata[304] , \framedata[303] , \framedata[302] , \framedata[301] , \framedata[300] , \framedata[299] , \framedata[298] , \framedata[297] , \framedata[296] , \framedata[295] , \framedata[294] , \framedata[293] , \framedata[292] , \framedata[291] , \framedata[290] , \framedata[289] , \framedata[288] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_data_reg_9 inst_frame_data_reg_9 (
.clk(clk),
.framedata_i({ \localwritedata[31] , \localwritedata[30] , \localwritedata[29] , \localwritedata[28] , \localwritedata[27] , \localwritedata[26] , \localwritedata[25] , \localwritedata[24] , \localwritedata[23] , \localwritedata[22] , \localwritedata[21] , \localwritedata[20] , \localwritedata[19] , \localwritedata[18] , \localwritedata[17] , \localwritedata[16] , \localwritedata[15] , \localwritedata[14] , \localwritedata[13] , \localwritedata[12] , \localwritedata[11] , \localwritedata[10] , \localwritedata[9] , \localwritedata[8] , \localwritedata[7] , \localwritedata[6] , \localwritedata[5] , \localwritedata[4] , \localwritedata[3] , \localwritedata[2] , \localwritedata[1] , \localwritedata[0] }),
.framedata_o({ \framedata[351] , \framedata[350] , \framedata[349] , \framedata[348] , \framedata[347] , \framedata[346] , \framedata[345] , \framedata[344] , \framedata[343] , \framedata[342] , \framedata[341] , \framedata[340] , \framedata[339] , \framedata[338] , \framedata[337] , \framedata[336] , \framedata[335] , \framedata[334] , \framedata[333] , \framedata[332] , \framedata[331] , \framedata[330] , \framedata[329] , \framedata[328] , \framedata[327] , \framedata[326] , \framedata[325] , \framedata[324] , \framedata[323] , \framedata[322] , \framedata[321] , \framedata[320] }),
.rowselect({ \rowselect[4] , \rowselect[3] , \rowselect[2] , \rowselect[1] , \rowselect[0] })
);
frame_select_0 inst_frame_select_0 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[19] , \frameselect[18] , \frameselect[17] , \frameselect[16] , \frameselect[15] , \frameselect[14] , \frameselect[13] , \frameselect[12] , \frameselect[11] , \frameselect[10] , \frameselect[9] , \frameselect[8] , \frameselect[7] , \frameselect[6] , \frameselect[5] , \frameselect[4] , \frameselect[3] , \frameselect[2] , \frameselect[1] , \frameselect[0] })
);
frame_select_1 inst_frame_select_1 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[39] , \frameselect[38] , \frameselect[37] , \frameselect[36] , \frameselect[35] , \frameselect[34] , \frameselect[33] , \frameselect[32] , \frameselect[31] , \frameselect[30] , \frameselect[29] , \frameselect[28] , \frameselect[27] , \frameselect[26] , \frameselect[25] , \frameselect[24] , \frameselect[23] , \frameselect[22] , \frameselect[21] , \frameselect[20] })
);
frame_select_10 inst_frame_select_10 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[219] , \frameselect[218] , \frameselect[217] , \frameselect[216] , \frameselect[215] , \frameselect[214] , \frameselect[213] , \frameselect[212] , \frameselect[211] , \frameselect[210] , \frameselect[209] , \frameselect[208] , \frameselect[207] , \frameselect[206] , \frameselect[205] , \frameselect[204] , \frameselect[203] , \frameselect[202] , \frameselect[201] , \frameselect[200] })
);
frame_select_11 inst_frame_select_11 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[239] , \frameselect[238] , \frameselect[237] , \frameselect[236] , \frameselect[235] , \frameselect[234] , \frameselect[233] , \frameselect[232] , \frameselect[231] , \frameselect[230] , \frameselect[229] , \frameselect[228] , \frameselect[227] , \frameselect[226] , \frameselect[225] , \frameselect[224] , \frameselect[223] , \frameselect[222] , \frameselect[221] , \frameselect[220] })
);
frame_select_12 inst_frame_select_12 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[259] , \frameselect[258] , \frameselect[257] , \frameselect[256] , \frameselect[255] , \frameselect[254] , \frameselect[253] , \frameselect[252] , \frameselect[251] , \frameselect[250] , \frameselect[249] , \frameselect[248] , \frameselect[247] , \frameselect[246] , \frameselect[245] , \frameselect[244] , \frameselect[243] , \frameselect[242] , \frameselect[241] , \frameselect[240] })
);
frame_select_13 inst_frame_select_13 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[279] , \frameselect[278] , \frameselect[277] , \frameselect[276] , \frameselect[275] , \frameselect[274] , \frameselect[273] , \frameselect[272] , \frameselect[271] , \frameselect[270] , \frameselect[269] , \frameselect[268] , \frameselect[267] , \frameselect[266] , \frameselect[265] , \frameselect[264] , \frameselect[263] , \frameselect[262] , \frameselect[261] , \frameselect[260] })
);
frame_select_14 inst_frame_select_14 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[299] , \frameselect[298] , \frameselect[297] , \frameselect[296] , \frameselect[295] , \frameselect[294] , \frameselect[293] , \frameselect[292] , \frameselect[291] , \frameselect[290] , \frameselect[289] , \frameselect[288] , \frameselect[287] , \frameselect[286] , \frameselect[285] , \frameselect[284] , \frameselect[283] , \frameselect[282] , \frameselect[281] , \frameselect[280] })
);
frame_select_2 inst_frame_select_2 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[59] , \frameselect[58] , \frameselect[57] , \frameselect[56] , \frameselect[55] , \frameselect[54] , \frameselect[53] , \frameselect[52] , \frameselect[51] , \frameselect[50] , \frameselect[49] , \frameselect[48] , \frameselect[47] , \frameselect[46] , \frameselect[45] , \frameselect[44] , \frameselect[43] , \frameselect[42] , \frameselect[41] , \frameselect[40] })
);
frame_select_3 inst_frame_select_3 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[79] , \frameselect[78] , \frameselect[77] , \frameselect[76] , \frameselect[75] , \frameselect[74] , \frameselect[73] , \frameselect[72] , \frameselect[71] , \frameselect[70] , \frameselect[69] , \frameselect[68] , \frameselect[67] , \frameselect[66] , \frameselect[65] , \frameselect[64] , \frameselect[63] , \frameselect[62] , \frameselect[61] , \frameselect[60] })
);
frame_select_4 inst_frame_select_4 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[99] , \frameselect[98] , \frameselect[97] , \frameselect[96] , \frameselect[95] , \frameselect[94] , \frameselect[93] , \frameselect[92] , \frameselect[91] , \frameselect[90] , \frameselect[89] , \frameselect[88] , \frameselect[87] , \frameselect[86] , \frameselect[85] , \frameselect[84] , \frameselect[83] , \frameselect[82] , \frameselect[81] , \frameselect[80] })
);
frame_select_5 inst_frame_select_5 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[119] , \frameselect[118] , \frameselect[117] , \frameselect[116] , \frameselect[115] , \frameselect[114] , \frameselect[113] , \frameselect[112] , \frameselect[111] , \frameselect[110] , \frameselect[109] , \frameselect[108] , \frameselect[107] , \frameselect[106] , \frameselect[105] , \frameselect[104] , \frameselect[103] , \frameselect[102] , \frameselect[101] , \frameselect[100] })
);
frame_select_6 inst_frame_select_6 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[139] , \frameselect[138] , \frameselect[137] , \frameselect[136] , \frameselect[135] , \frameselect[134] , \frameselect[133] , \frameselect[132] , \frameselect[131] , \frameselect[130] , \frameselect[129] , \frameselect[128] , \frameselect[127] , \frameselect[126] , \frameselect[125] , \frameselect[124] , \frameselect[123] , \frameselect[122] , \frameselect[121] , \frameselect[120] })
);
frame_select_7 inst_frame_select_7 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[159] , \frameselect[158] , \frameselect[157] , \frameselect[156] , \frameselect[155] , \frameselect[154] , \frameselect[153] , \frameselect[152] , \frameselect[151] , \frameselect[150] , \frameselect[149] , \frameselect[148] , \frameselect[147] , \frameselect[146] , \frameselect[145] , \frameselect[144] , \frameselect[143] , \frameselect[142] , \frameselect[141] , \frameselect[140] })
);
frame_select_8 inst_frame_select_8 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[179] , \frameselect[178] , \frameselect[177] , \frameselect[176] , \frameselect[175] , \frameselect[174] , \frameselect[173] , \frameselect[172] , \frameselect[171] , \frameselect[170] , \frameselect[169] , \frameselect[168] , \frameselect[167] , \frameselect[166] , \frameselect[165] , \frameselect[164] , \frameselect[163] , \frameselect[162] , \frameselect[161] , \frameselect[160] })
);
frame_select_9 inst_frame_select_9 (
.frameselect({ \frameaddressregister[31] , \frameaddressregister[30] , \frameaddressregister[29] , \frameaddressregister[28] , \frameaddressregister[27] }),
.framestrobe(longframestrobe),
.framestrobe_i({ \frameaddressregister[19] , \frameaddressregister[18] , \frameaddressregister[17] , \frameaddressregister[16] , \frameaddressregister[15] , \frameaddressregister[14] , \frameaddressregister[13] , \frameaddressregister[12] , \frameaddressregister[11] , \frameaddressregister[10] , \frameaddressregister[9] , \frameaddressregister[8] , \frameaddressregister[7] , \frameaddressregister[6] , \frameaddressregister[5] , \frameaddressregister[4] , \frameaddressregister[3] , \frameaddressregister[2] , \frameaddressregister[1] , \frameaddressregister[0] }),
.framestrobe_o({ \frameselect[199] , \frameselect[198] , \frameselect[197] , \frameselect[196] , \frameselect[195] , \frameselect[194] , \frameselect[193] , \frameselect[192] , \frameselect[191] , \frameselect[190] , \frameselect[189] , \frameselect[188] , \frameselect[187] , \frameselect[186] , \frameselect[185] , \frameselect[184] , \frameselect[183] , \frameselect[182] , \frameselect[181] , \frameselect[180] })
);
efpga inst_efpga (
.framedata({ _074_, _073_, _072_, _036_, _071_, _070_, _035_, _069_, _068_, _067_, _034_, _033_, _066_, _032_, _065_, _064_, _063_, _031_, _062_, _030_, _061_, _029_, _028_, _060_, _059_, _027_, _026_, _025_, _024_, _058_, _057_, _056_, \framedata[479] , \framedata[478] , \framedata[477] , \framedata[476] , \framedata[475] , \framedata[474] , \framedata[473] , \framedata[472] , \framedata[471] , \framedata[470] , \framedata[469] , \framedata[468] , \framedata[467] , \framedata[466] , \framedata[465] , \framedata[464] , \framedata[463] , \framedata[462] , \framedata[461] , \framedata[460] , \framedata[459] , \framedata[458] , \framedata[457] , \framedata[456] , \framedata[455] , \framedata[454] , \framedata[453] , \framedata[452] , \framedata[451] , \framedata[450] , \framedata[449] , \framedata[448] , \framedata[447] , \framedata[446] , \framedata[445] , \framedata[444] , \framedata[443] , \framedata[442] , \framedata[441] , \framedata[440] , \framedata[439] , \framedata[438] , \framedata[437] , \framedata[436] , \framedata[435] , \framedata[434] , \framedata[433] , \framedata[432] , \framedata[431] , \framedata[430] , \framedata[429] , \framedata[428] , \framedata[427] , \framedata[426] , \framedata[425] , \framedata[424] , \framedata[423] , \framedata[422] , \framedata[421] , \framedata[420] , \framedata[419] , \framedata[418] , \framedata[417] , \framedata[416] , \framedata[415] , \framedata[414] , \framedata[413] , \framedata[412] , \framedata[411] , \framedata[410] , \framedata[409] , \framedata[408] , \framedata[407] , \framedata[406] , \framedata[405] , \framedata[404] , \framedata[403] , \framedata[402] , \framedata[401] , \framedata[400] , \framedata[399] , \framedata[398] , \framedata[397] , \framedata[396] , \framedata[395] , \framedata[394] , \framedata[393] , \framedata[392] , \framedata[391] , \framedata[390] , \framedata[389] , \framedata[388] , \framedata[387] , \framedata[386] , \framedata[385] , \framedata[384] , \framedata[383] , \framedata[382] , \framedata[381] , \framedata[380] , \framedata[379] , \framedata[378] , \framedata[377] , \framedata[376] , \framedata[375] , \framedata[374] , \framedata[373] , \framedata[372] , \framedata[371] , \framedata[370] , \framedata[369] , \framedata[368] , \framedata[367] , \framedata[366] , \framedata[365] , \framedata[364] , \framedata[363] , \framedata[362] , \framedata[361] , \framedata[360] , \framedata[359] , \framedata[358] , \framedata[357] , \framedata[356] , \framedata[355] , \framedata[354] , \framedata[353] , \framedata[352] , \framedata[351] , \framedata[350] , \framedata[349] , \framedata[348] , \framedata[347] , \framedata[346] , \framedata[345] , \framedata[344] , \framedata[343] , \framedata[342] , \framedata[341] , \framedata[340] , \framedata[339] , \framedata[338] , \framedata[337] , \framedata[336] , \framedata[335] , \framedata[334] , \framedata[333] , \framedata[332] , \framedata[331] , \framedata[330] , \framedata[329] , \framedata[328] , \framedata[327] , \framedata[326] , \framedata[325] , \framedata[324] , \framedata[323] , \framedata[322] , \framedata[321] , \framedata[320] , \framedata[319] , \framedata[318] , \framedata[317] , \framedata[316] , \framedata[315] , \framedata[314] , \framedata[313] , \framedata[312] , \framedata[311] , \framedata[310] , \framedata[309] , \framedata[308] , \framedata[307] , \framedata[306] , \framedata[305] , \framedata[304] , \framedata[303] , \framedata[302] , \framedata[301] , \framedata[300] , \framedata[299] , \framedata[298] , \framedata[297] , \framedata[296] , \framedata[295] , \framedata[294] , \framedata[293] , \framedata[292] , \framedata[291] , \framedata[290] , \framedata[289] , \framedata[288] , \framedata[287] , \framedata[286] , \framedata[285] , \framedata[284] , \framedata[283] , \framedata[282] , \framedata[281] , \framedata[280] , \framedata[279] , \framedata[278] , \framedata[277] , \framedata[276] , \framedata[275] , \framedata[274] , \framedata[273] , \framedata[272] , \framedata[271] , \framedata[270] , \framedata[269] , \framedata[268] , \framedata[267] , \framedata[266] , \framedata[265] , \framedata[264] , \framedata[263] , \framedata[262] , \framedata[261] , \framedata[260] , \framedata[259] , \framedata[258] , \framedata[257] , \framedata[256] , \framedata[255] , \framedata[254] , \framedata[253] , \framedata[252] , \framedata[251] , \framedata[250] , \framedata[249] , \framedata[248] , \framedata[247] , \framedata[246] , \framedata[245] , \framedata[244] , \framedata[243] , \framedata[242] , \framedata[241] , \framedata[240] , \framedata[239] , \framedata[238] , \framedata[237] , \framedata[236] , \framedata[235] , \framedata[234] , \framedata[233] , \framedata[232] , \framedata[231] , \framedata[230] , \framedata[229] , \framedata[228] , \framedata[227] , \framedata[226] , \framedata[225] , \framedata[224] , \framedata[223] , \framedata[222] , \framedata[221] , \framedata[220] , \framedata[219] , \framedata[218] , \framedata[217] , \framedata[216] , \framedata[215] , \framedata[214] , \framedata[213] , \framedata[212] , \framedata[211] , \framedata[210] , \framedata[209] , \framedata[208] , \framedata[207] , \framedata[206] , \framedata[205] , \framedata[204] , \framedata[203] , \framedata[202] , \framedata[201] , \framedata[200] , \framedata[199] , \framedata[198] , \framedata[197] , \framedata[196] , \framedata[195] , \framedata[194] , \framedata[193] , \framedata[192] , \framedata[191] , \framedata[190] , \framedata[189] , \framedata[188] , \framedata[187] , \framedata[186] , \framedata[185] , \framedata[184] , \framedata[183] , \framedata[182] , \framedata[181] , \framedata[180] , \framedata[179] , \framedata[178] , \framedata[177] , \framedata[176] , \framedata[175] , \framedata[174] , \framedata[173] , \framedata[172] , \framedata[171] , \framedata[170] , \framedata[169] , \framedata[168] , \framedata[167] , \framedata[166] , \framedata[165] , \framedata[164] , \framedata[163] , \framedata[162] , \framedata[161] , \framedata[160] , \framedata[159] , \framedata[158] , \framedata[157] , \framedata[156] , \framedata[155] , \framedata[154] , \framedata[153] , \framedata[152] , \framedata[151] , \framedata[150] , \framedata[149] , \framedata[148] , \framedata[147] , \framedata[146] , \framedata[145] , \framedata[144] , \framedata[143] , \framedata[142] , \framedata[141] , \framedata[140] , \framedata[139] , \framedata[138] , \framedata[137] , \framedata[136] , \framedata[135] , \framedata[134] , \framedata[133] , \framedata[132] , \framedata[131] , \framedata[130] , \framedata[129] , \framedata[128] , \framedata[127] , \framedata[126] , \framedata[125] , \framedata[124] , \framedata[123] , \framedata[122] , \framedata[121] , \framedata[120] , \framedata[119] , \framedata[118] , \framedata[117] , \framedata[116] , \framedata[115] , \framedata[114] , \framedata[113] , \framedata[112] , \framedata[111] , \framedata[110] , \framedata[109] , \framedata[108] , \framedata[107] , \framedata[106] , \framedata[105] , \framedata[104] , \framedata[103] , \framedata[102] , \framedata[101] , \framedata[100] , \framedata[99] , \framedata[98] , \framedata[97] , \framedata[96] , \framedata[95] , \framedata[94] , \framedata[93] , \framedata[92] , \framedata[91] , \framedata[90] , \framedata[89] , \framedata[88] , \framedata[87] , \framedata[86] , \framedata[85] , \framedata[84] , \framedata[83] , \framedata[82] , \framedata[81] , \framedata[80] , \framedata[79] , \framedata[78] , \framedata[77] , \framedata[76] , \framedata[75] , \framedata[74] , \framedata[73] , \framedata[72] , \framedata[71] , \framedata[70] , \framedata[69] , \framedata[68] , \framedata[67] , \framedata[66] , \framedata[65] , \framedata[64] , \framedata[63] , \framedata[62] , \framedata[61] , \framedata[60] , \framedata[59] , \framedata[58] , \framedata[57] , \framedata[56] , \framedata[55] , \framedata[54] , \framedata[53] , \framedata[52] , \framedata[51] , \framedata[50] , \framedata[49] , \framedata[48] , \framedata[47] , \framedata[46] , \framedata[45] , \framedata[44] , \framedata[43] , \framedata[42] , \framedata[41] , \framedata[40] , \framedata[39] , \framedata[38] , \framedata[37] , \framedata[36] , \framedata[35] , \framedata[34] , \framedata[33] , \framedata[32] , _055_, _054_, _053_, _023_, _052_, _051_, _022_, _050_, _049_, _048_, _021_, _020_, _047_, _019_, _046_, _045_, _044_, _018_, _043_, _017_, _042_, _016_, _015_, _041_, _040_, _014_, _013_, _012_, _011_, _039_, _038_, _037_ }),
.framestrobe({ \frameselect[299] , \frameselect[298] , \frameselect[297] , \frameselect[296] , \frameselect[295] , \frameselect[294] , \frameselect[293] , \frameselect[292] , \frameselect[291] , \frameselect[290] , \frameselect[289] , \frameselect[288] , \frameselect[287] , \frameselect[286] , \frameselect[285] , \frameselect[284] , \frameselect[283] , \frameselect[282] , \frameselect[281] , \frameselect[280] , \frameselect[279] , \frameselect[278] , \frameselect[277] , \frameselect[276] , \frameselect[275] , \frameselect[274] , \frameselect[273] , \frameselect[272] , \frameselect[271] , \frameselect[270] , \frameselect[269] , \frameselect[268] , \frameselect[267] , \frameselect[266] , \frameselect[265] , \frameselect[264] , \frameselect[263] , \frameselect[262] , \frameselect[261] , \frameselect[260] , \frameselect[259] , \frameselect[258] , \frameselect[257] , \frameselect[256] , \frameselect[255] , \frameselect[254] , \frameselect[253] , \frameselect[252] , \frameselect[251] , \frameselect[250] , \frameselect[249] , \frameselect[248] , \frameselect[247] , \frameselect[246] , \frameselect[245] , \frameselect[244] , \frameselect[243] , \frameselect[242] , \frameselect[241] , \frameselect[240] , \frameselect[239] , \frameselect[238] , \frameselect[237] , \frameselect[236] , \frameselect[235] , \frameselect[234] , \frameselect[233] , \frameselect[232] , \frameselect[231] , \frameselect[230] , \frameselect[229] , \frameselect[228] , \frameselect[227] , \frameselect[226] , \frameselect[225] , \frameselect[224] , \frameselect[223] , \frameselect[222] , \frameselect[221] , \frameselect[220] , \frameselect[219] , \frameselect[218] , \frameselect[217] , \frameselect[216] , \frameselect[215] , \frameselect[214] , \frameselect[213] , \frameselect[212] , \frameselect[211] , \frameselect[210] , \frameselect[209] , \frameselect[208] , \frameselect[207] , \frameselect[206] , \frameselect[205] , \frameselect[204] , \frameselect[203] , \frameselect[202] , \frameselect[201] , \frameselect[200] , \frameselect[199] , \frameselect[198] , \frameselect[197] , \frameselect[196] , \frameselect[195] , \frameselect[194] , \frameselect[193] , \frameselect[192] , \frameselect[191] , \frameselect[190] , \frameselect[189] , \frameselect[188] , \frameselect[187] , \frameselect[186] , \frameselect[185] , \frameselect[184] , \frameselect[183] , \frameselect[182] , \frameselect[181] , \frameselect[180] , \frameselect[179] , \frameselect[178] , \frameselect[177] , \frameselect[176] , \frameselect[175] , \frameselect[174] , \frameselect[173] , \frameselect[172] , \frameselect[171] , \frameselect[170] , \frameselect[169] , \frameselect[168] , \frameselect[167] , \frameselect[166] , \frameselect[165] , \frameselect[164] , \frameselect[163] , \frameselect[162] , \frameselect[161] , \frameselect[160] , \frameselect[159] , \frameselect[158] , \frameselect[157] , \frameselect[156] , \frameselect[155] , \frameselect[154] , \frameselect[153] , \frameselect[152] , \frameselect[151] , \frameselect[150] , \frameselect[149] , \frameselect[148] , \frameselect[147] , \frameselect[146] , \frameselect[145] , \frameselect[144] , \frameselect[143] , \frameselect[142] , \frameselect[141] , \frameselect[140] , \frameselect[139] , \frameselect[138] , \frameselect[137] , \frameselect[136] , \frameselect[135] , \frameselect[134] , \frameselect[133] , \frameselect[132] , \frameselect[131] , \frameselect[130] , \frameselect[129] , \frameselect[128] , \frameselect[127] , \frameselect[126] , \frameselect[125] , \frameselect[124] , \frameselect[123] , \frameselect[122] , \frameselect[121] , \frameselect[120] , \frameselect[119] , \frameselect[118] , \frameselect[117] , \frameselect[116] , \frameselect[115] , \frameselect[114] , \frameselect[113] , \frameselect[112] , \frameselect[111] , \frameselect[110] , \frameselect[109] , \frameselect[108] , \frameselect[107] , \frameselect[106] , \frameselect[105] , \frameselect[104] , \frameselect[103] , \frameselect[102] , \frameselect[101] , \frameselect[100] , \frameselect[99] , \frameselect[98] , \frameselect[97] , \frameselect[96] , \frameselect[95] , \frameselect[94] , \frameselect[93] , \frameselect[92] , \frameselect[91] , \frameselect[90] , \frameselect[89] , \frameselect[88] , \frameselect[87] , \frameselect[86] , \frameselect[85] , \frameselect[84] , \frameselect[83] , \frameselect[82] , \frameselect[81] , \frameselect[80] , \frameselect[79] , \frameselect[78] , \frameselect[77] , \frameselect[76] , \frameselect[75] , \frameselect[74] , \frameselect[73] , \frameselect[72] , \frameselect[71] , \frameselect[70] , \frameselect[69] , \frameselect[68] , \frameselect[67] , \frameselect[66] , \frameselect[65] , \frameselect[64] , \frameselect[63] , \frameselect[62] , \frameselect[61] , \frameselect[60] , \frameselect[59] , \frameselect[58] , \frameselect[57] , \frameselect[56] , \frameselect[55] , \frameselect[54] , \frameselect[53] , \frameselect[52] , \frameselect[51] , \frameselect[50] , \frameselect[49] , \frameselect[48] , \frameselect[47] , \frameselect[46] , \frameselect[45] , \frameselect[44] , \frameselect[43] , \frameselect[42] , \frameselect[41] , \frameselect[40] , \frameselect[39] , \frameselect[38] , \frameselect[37] , \frameselect[36] , \frameselect[35] , \frameselect[34] , \frameselect[33] , \frameselect[32] , \frameselect[31] , \frameselect[30] , \frameselect[29] , \frameselect[28] , \frameselect[27] , \frameselect[26] , \frameselect[25] , \frameselect[24] , \frameselect[23] , \frameselect[22] , \frameselect[21] , \frameselect[20] , \frameselect[19] , \frameselect[18] , \frameselect[17] , \frameselect[16] , \frameselect[15] , \frameselect[14] , \frameselect[13] , \frameselect[12] , \frameselect[11] , \frameselect[10] , \frameselect[9] , \frameselect[8] , \frameselect[7] , \frameselect[6] , \frameselect[5] , \frameselect[4] , \frameselect[3] , \frameselect[2] , \frameselect[1] , \frameselect[0] }),
.tile_x0y10_a_i_top(io_out[26]),
.tile_x0y10_a_o_top(io_in[26]),
.tile_x0y10_a_t_top(io_oeb[26]),
.tile_x0y10_a_config_c_bit0(\a_config_c[19] ),
.tile_x0y10_a_config_c_bit1(\a_config_c[18] ),
.tile_x0y10_a_config_c_bit2(\a_config_c[17] ),
.tile_x0y10_a_config_c_bit3(\a_config_c[16] ),
.tile_x0y10_b_i_top(io_out[25]),
.tile_x0y10_b_o_top(io_in[25]),
.tile_x0y10_b_t_top(io_oeb[25]),
.tile_x0y10_b_config_c_bit0(\b_config_c[19] ),
.tile_x0y10_b_config_c_bit1(\b_config_c[18] ),
.tile_x0y10_b_config_c_bit2(\b_config_c[17] ),
.tile_x0y10_b_config_c_bit3(\b_config_c[16] ),
.tile_x0y11_a_i_top(io_out[24]),
.tile_x0y11_a_o_top(io_in[24]),
.tile_x0y11_a_t_top(io_oeb[24]),
.tile_x0y11_a_config_c_bit0(\a_config_c[15] ),
.tile_x0y11_a_config_c_bit1(\a_config_c[14] ),
.tile_x0y11_a_config_c_bit2(\a_config_c[13] ),
.tile_x0y11_a_config_c_bit3(\a_config_c[12] ),
.tile_x0y11_b_i_top(io_out[23]),
.tile_x0y11_b_o_top(io_in[23]),
.tile_x0y11_b_t_top(io_oeb[23]),
.tile_x0y11_b_config_c_bit0(\b_config_c[15] ),
.tile_x0y11_b_config_c_bit1(\b_config_c[14] ),
.tile_x0y11_b_config_c_bit2(\b_config_c[13] ),
.tile_x0y11_b_config_c_bit3(\b_config_c[12] ),
.tile_x0y12_a_i_top(io_out[22]),
.tile_x0y12_a_o_top(io_in[22]),
.tile_x0y12_a_t_top(io_oeb[22]),
.tile_x0y12_a_config_c_bit0(\a_config_c[11] ),
.tile_x0y12_a_config_c_bit1(\a_config_c[10] ),
.tile_x0y12_a_config_c_bit2(\a_config_c[9] ),
.tile_x0y12_a_config_c_bit3(\a_config_c[8] ),
.tile_x0y12_b_i_top(io_out[21]),
.tile_x0y12_b_o_top(io_in[21]),
.tile_x0y12_b_t_top(io_oeb[21]),
.tile_x0y12_b_config_c_bit0(\b_config_c[11] ),
.tile_x0y12_b_config_c_bit1(\b_config_c[10] ),
.tile_x0y12_b_config_c_bit2(\b_config_c[9] ),
.tile_x0y12_b_config_c_bit3(\b_config_c[8] ),
.tile_x0y13_a_i_top(io_out[20]),
.tile_x0y13_a_o_top(io_in[20]),
.tile_x0y13_a_t_top(io_oeb[20]),
.tile_x0y13_a_config_c_bit0(\a_config_c[7] ),
.tile_x0y13_a_config_c_bit1(\a_config_c[6] ),
.tile_x0y13_a_config_c_bit2(\a_config_c[5] ),
.tile_x0y13_a_config_c_bit3(\a_config_c[4] ),
.tile_x0y13_b_i_top(io_out[19]),
.tile_x0y13_b_o_top(io_in[19]),
.tile_x0y13_b_t_top(io_oeb[19]),
.tile_x0y13_b_config_c_bit0(\b_config_c[7] ),
.tile_x0y13_b_config_c_bit1(\b_config_c[6] ),
.tile_x0y13_b_config_c_bit2(\b_config_c[5] ),
.tile_x0y13_b_config_c_bit3(\b_config_c[4] ),
.tile_x0y14_a_i_top(io_out[18]),
.tile_x0y14_a_o_top(io_in[18]),
.tile_x0y14_a_t_top(io_oeb[18]),
.tile_x0y14_a_config_c_bit0(\a_config_c[3] ),
.tile_x0y14_a_config_c_bit1(\a_config_c[2] ),
.tile_x0y14_a_config_c_bit2(\a_config_c[1] ),
.tile_x0y14_a_config_c_bit3(\a_config_c[0] ),
.tile_x0y14_b_i_top(io_out[17]),
.tile_x0y14_b_o_top(io_in[17]),
.tile_x0y14_b_t_top(io_oeb[17]),
.tile_x0y14_b_config_c_bit0(\b_config_c[3] ),
.tile_x0y14_b_config_c_bit1(\b_config_c[2] ),
.tile_x0y14_b_config_c_bit2(\b_config_c[1] ),
.tile_x0y14_b_config_c_bit3(\b_config_c[0] ),
.tile_x11y1_opa_i0(\e_opa[35] ),
.tile_x11y1_opa_i1(\e_opa[34] ),
.tile_x11y1_opa_i2(\e_opa[33] ),
.tile_x11y1_opa_i3(\e_opa[32] ),
.tile_x11y1_opb_i0(\e_opb[35] ),
.tile_x11y1_opb_i1(\e_opb[34] ),
.tile_x11y1_opb_i2(\e_opb[33] ),
.tile_x11y1_opb_i3(\e_opb[32] ),
.tile_x11y1_res0_o0(\e_res0[35] ),
.tile_x11y1_res0_o1(\e_res0[34] ),
.tile_x11y1_res0_o2(\e_res0[33] ),
.tile_x11y1_res0_o3(\e_res0[32] ),
.tile_x11y1_res1_o0(\e_res1[35] ),
.tile_x11y1_res1_o1(\e_res1[34] ),
.tile_x11y1_res1_o2(\e_res1[33] ),
.tile_x11y1_res1_o3(\e_res1[32] ),
.tile_x11y1_res2_o0(\e_res2[35] ),
.tile_x11y1_res2_o1(\e_res2[34] ),
.tile_x11y1_res2_o2(\e_res2[33] ),
.tile_x11y1_res2_o3(\e_res2[32] ),
.tile_x11y2_opa_i0(\e_opa[31] ),
.tile_x11y2_opa_i1(\e_opa[30] ),
.tile_x11y2_opa_i2(\e_opa[29] ),
.tile_x11y2_opa_i3(\e_opa[28] ),
.tile_x11y2_opb_i0(\e_opb[31] ),
.tile_x11y2_opb_i1(\e_opb[30] ),
.tile_x11y2_opb_i2(\e_opb[29] ),
.tile_x11y2_opb_i3(\e_opb[28] ),
.tile_x11y2_res0_o0(\e_res0[31] ),
.tile_x11y2_res0_o1(\e_res0[30] ),
.tile_x11y2_res0_o2(\e_res0[29] ),
.tile_x11y2_res0_o3(\e_res0[28] ),
.tile_x11y2_res1_o0(\e_res1[31] ),
.tile_x11y2_res1_o1(\e_res1[30] ),
.tile_x11y2_res1_o2(\e_res1[29] ),
.tile_x11y2_res1_o3(\e_res1[28] ),
.tile_x11y2_res2_o0(\e_res2[31] ),
.tile_x11y2_res2_o1(\e_res2[30] ),
.tile_x11y2_res2_o2(\e_res2[29] ),
.tile_x11y2_res2_o3(\e_res2[28] ),
.tile_x11y3_opa_i0(\e_opa[27] ),
.tile_x11y3_opa_i1(\e_opa[26] ),
.tile_x11y3_opa_i2(\e_opa[25] ),
.tile_x11y3_opa_i3(\e_opa[24] ),
.tile_x11y3_opb_i0(\e_opb[27] ),
.tile_x11y3_opb_i1(\e_opb[26] ),
.tile_x11y3_opb_i2(\e_opb[25] ),
.tile_x11y3_opb_i3(\e_opb[24] ),
.tile_x11y3_res0_o0(\e_res0[27] ),
.tile_x11y3_res0_o1(\e_res0[26] ),
.tile_x11y3_res0_o2(\e_res0[25] ),
.tile_x11y3_res0_o3(\e_res0[24] ),
.tile_x11y3_res1_o0(\e_res1[27] ),
.tile_x11y3_res1_o1(\e_res1[26] ),
.tile_x11y3_res1_o2(\e_res1[25] ),
.tile_x11y3_res1_o3(\e_res1[24] ),
.tile_x11y3_res2_o0(\e_res2[27] ),
.tile_x11y3_res2_o1(\e_res2[26] ),
.tile_x11y3_res2_o2(\e_res2[25] ),
.tile_x11y3_res2_o3(\e_res2[24] ),
.tile_x11y4_opa_i0(\e_opa[23] ),
.tile_x11y4_opa_i1(\e_opa[22] ),
.tile_x11y4_opa_i2(\e_opa[21] ),
.tile_x11y4_opa_i3(\e_opa[20] ),
.tile_x11y4_opb_i0(\e_opb[23] ),
.tile_x11y4_opb_i1(\e_opb[22] ),
.tile_x11y4_opb_i2(\e_opb[21] ),
.tile_x11y4_opb_i3(\e_opb[20] ),
.tile_x11y4_res0_o0(\e_res0[23] ),
.tile_x11y4_res0_o1(\e_res0[22] ),
.tile_x11y4_res0_o2(\e_res0[21] ),
.tile_x11y4_res0_o3(\e_res0[20] ),
.tile_x11y4_res1_o0(\e_res1[23] ),
.tile_x11y4_res1_o1(\e_res1[22] ),
.tile_x11y4_res1_o2(\e_res1[21] ),
.tile_x11y4_res1_o3(\e_res1[20] ),
.tile_x11y4_res2_o0(\e_res2[23] ),
.tile_x11y4_res2_o1(\e_res2[22] ),
.tile_x11y4_res2_o2(\e_res2[21] ),
.tile_x11y4_res2_o3(\e_res2[20] ),
.tile_x11y5_opa_i0(\e_opa[19] ),
.tile_x11y5_opa_i1(\e_opa[18] ),
.tile_x11y5_opa_i2(\e_opa[17] ),
.tile_x11y5_opa_i3(\e_opa[16] ),
.tile_x11y5_opb_i0(\e_opb[19] ),
.tile_x11y5_opb_i1(\e_opb[18] ),
.tile_x11y5_opb_i2(\e_opb[17] ),
.tile_x11y5_opb_i3(\e_opb[16] ),
.tile_x11y5_res0_o0(\e_res0[19] ),
.tile_x11y5_res0_o1(\e_res0[18] ),
.tile_x11y5_res0_o2(\e_res0[17] ),
.tile_x11y5_res0_o3(\e_res0[16] ),
.tile_x11y5_res1_o0(\e_res1[19] ),
.tile_x11y5_res1_o1(\e_res1[18] ),
.tile_x11y5_res1_o2(\e_res1[17] ),
.tile_x11y5_res1_o3(\e_res1[16] ),
.tile_x11y5_res2_o0(\e_res2[19] ),
.tile_x11y5_res2_o1(\e_res2[18] ),
.tile_x11y5_res2_o2(\e_res2[17] ),
.tile_x11y5_res2_o3(\e_res2[16] ),
.tile_x11y6_opa_i0(\e_opa[15] ),
.tile_x11y6_opa_i1(\e_opa[14] ),
.tile_x11y6_opa_i2(\e_opa[13] ),
.tile_x11y6_opa_i3(\e_opa[12] ),
.tile_x11y6_opb_i0(\e_opb[15] ),
.tile_x11y6_opb_i1(\e_opb[14] ),
.tile_x11y6_opb_i2(\e_opb[13] ),
.tile_x11y6_opb_i3(\e_opb[12] ),
.tile_x11y6_res0_o0(\e_res0[15] ),
.tile_x11y6_res0_o1(\e_res0[14] ),
.tile_x11y6_res0_o2(\e_res0[13] ),
.tile_x11y6_res0_o3(\e_res0[12] ),
.tile_x11y6_res1_o0(\e_res1[15] ),
.tile_x11y6_res1_o1(\e_res1[14] ),
.tile_x11y6_res1_o2(\e_res1[13] ),
.tile_x11y6_res1_o3(\e_res1[12] ),
.tile_x11y6_res2_o0(\e_res2[15] ),
.tile_x11y6_res2_o1(\e_res2[14] ),
.tile_x11y6_res2_o2(\e_res2[13] ),
.tile_x11y6_res2_o3(\e_res2[12] ),
.tile_x11y7_opa_i0(\e_opa[11] ),
.tile_x11y7_opa_i1(\e_opa[10] ),
.tile_x11y7_opa_i2(\e_opa[9] ),
.tile_x11y7_opa_i3(\e_opa[8] ),
.tile_x11y7_opb_i0(\e_opb[11] ),
.tile_x11y7_opb_i1(\e_opb[10] ),
.tile_x11y7_opb_i2(\e_opb[9] ),
.tile_x11y7_opb_i3(\e_opb[8] ),
.tile_x11y7_res0_o0(\e_res0[11] ),
.tile_x11y7_res0_o1(\e_res0[10] ),
.tile_x11y7_res0_o2(\e_res0[9] ),
.tile_x11y7_res0_o3(\e_res0[8] ),
.tile_x11y7_res1_o0(\e_res1[11] ),
.tile_x11y7_res1_o1(\e_res1[10] ),
.tile_x11y7_res1_o2(\e_res1[9] ),
.tile_x11y7_res1_o3(\e_res1[8] ),
.tile_x11y7_res2_o0(\e_res2[11] ),
.tile_x11y7_res2_o1(\e_res2[10] ),
.tile_x11y7_res2_o2(\e_res2[9] ),
.tile_x11y7_res2_o3(\e_res2[8] ),
.tile_x11y8_opa_i0(\e_opa[7] ),
.tile_x11y8_opa_i1(\e_opa[6] ),
.tile_x11y8_opa_i2(\e_opa[5] ),
.tile_x11y8_opa_i3(\e_opa[4] ),
.tile_x11y8_opb_i0(\e_opb[7] ),
.tile_x11y8_opb_i1(\e_opb[6] ),
.tile_x11y8_opb_i2(\e_opb[5] ),
.tile_x11y8_opb_i3(\e_opb[4] ),
.tile_x11y8_res0_o0(\e_res0[7] ),
.tile_x11y8_res0_o1(\e_res0[6] ),
.tile_x11y8_res0_o2(\e_res0[5] ),
.tile_x11y8_res0_o3(\e_res0[4] ),
.tile_x11y8_res1_o0(\e_res1[7] ),
.tile_x11y8_res1_o1(\e_res1[6] ),
.tile_x11y8_res1_o2(\e_res1[5] ),
.tile_x11y8_res1_o3(\e_res1[4] ),
.tile_x11y8_res2_o0(\e_res2[7] ),
.tile_x11y8_res2_o1(\e_res2[6] ),
.tile_x11y8_res2_o2(\e_res2[5] ),
.tile_x11y8_res2_o3(\e_res2[4] ),
.tile_x11y9_opa_i0(\e_opa[3] ),
.tile_x11y9_opa_i1(\e_opa[2] ),
.tile_x11y9_opa_i2(\e_opa[1] ),
.tile_x11y9_opa_i3(\e_opa[0] ),
.tile_x11y9_opb_i0(\e_opb[3] ),
.tile_x11y9_opb_i1(\e_opb[2] ),
.tile_x11y9_opb_i2(\e_opb[1] ),
.tile_x11y9_opb_i3(\e_opb[0] ),
.tile_x11y9_res0_o0(\e_res0[3] ),
.tile_x11y9_res0_o1(\e_res0[2] ),
.tile_x11y9_res0_o2(\e_res0[1] ),
.tile_x11y9_res0_o3(\e_res0[0] ),
.tile_x11y9_res1_o0(\e_res1[3] ),
.tile_x11y9_res1_o1(\e_res1[2] ),
.tile_x11y9_res1_o2(\e_res1[1] ),
.tile_x11y9_res1_o3(\e_res1[0] ),
.tile_x11y9_res2_o0(\e_res2[3] ),
.tile_x11y9_res2_o1(\e_res2[2] ),
.tile_x11y9_res2_o2(\e_res2[1] ),
.tile_x11y9_res2_o3(\e_res2[0] ),
.tile_x14y10_config_accessc_bit0(\config_accessc[19] ),
.tile_x14y10_config_accessc_bit1(\config_accessc[18] ),
.tile_x14y10_config_accessc_bit2(\config_accessc[17] ),
.tile_x14y10_config_accessc_bit3(\config_accessc[16] ),
.tile_x14y10_fab2ram_a0_o0(\fab2ram_a[39] ),
.tile_x14y10_fab2ram_a0_o1(\fab2ram_a[38] ),
.tile_x14y10_fab2ram_a0_o2(\fab2ram_a[37] ),
.tile_x14y10_fab2ram_a0_o3(\fab2ram_a[36] ),
.tile_x14y10_fab2ram_a1_o0(\fab2ram_a[35] ),
.tile_x14y10_fab2ram_a1_o1(\fab2ram_a[34] ),
.tile_x14y10_fab2ram_a1_o2(\fab2ram_a[33] ),
.tile_x14y10_fab2ram_a1_o3(\fab2ram_a[32] ),
.tile_x14y10_fab2ram_c_o0(\fab2ram_c[19] ),
.tile_x14y10_fab2ram_c_o1(\fab2ram_c[18] ),
.tile_x14y10_fab2ram_c_o2(\fab2ram_c[17] ),
.tile_x14y10_fab2ram_c_o3(\fab2ram_c[16] ),
.tile_x14y10_fab2ram_d0_o0(\fab2ram_d[79] ),
.tile_x14y10_fab2ram_d0_o1(\fab2ram_d[78] ),
.tile_x14y10_fab2ram_d0_o2(\fab2ram_d[77] ),
.tile_x14y10_fab2ram_d0_o3(\fab2ram_d[76] ),
.tile_x14y10_fab2ram_d1_o0(\fab2ram_d[75] ),
.tile_x14y10_fab2ram_d1_o1(\fab2ram_d[74] ),
.tile_x14y10_fab2ram_d1_o2(\fab2ram_d[73] ),
.tile_x14y10_fab2ram_d1_o3(\fab2ram_d[72] ),
.tile_x14y10_fab2ram_d2_o0(\fab2ram_d[71] ),
.tile_x14y10_fab2ram_d2_o1(\fab2ram_d[70] ),
.tile_x14y10_fab2ram_d2_o2(\fab2ram_d[69] ),
.tile_x14y10_fab2ram_d2_o3(\fab2ram_d[68] ),
.tile_x14y10_fab2ram_d3_o0(\fab2ram_d[67] ),
.tile_x14y10_fab2ram_d3_o1(\fab2ram_d[66] ),
.tile_x14y10_fab2ram_d3_o2(\fab2ram_d[65] ),
.tile_x14y10_fab2ram_d3_o3(\fab2ram_d[64] ),
.tile_x14y10_ram2fab_d0_i0(_075_),
.tile_x14y10_ram2fab_d0_i1(_076_),
.tile_x14y10_ram2fab_d0_i2(_077_),
.tile_x14y10_ram2fab_d0_i3(_078_),
.tile_x14y10_ram2fab_d1_i0(_079_),
.tile_x14y10_ram2fab_d1_i1(_080_),
.tile_x14y10_ram2fab_d1_i2(_081_),
.tile_x14y10_ram2fab_d1_i3(_082_),
.tile_x14y10_ram2fab_d2_i0(_083_),
.tile_x14y10_ram2fab_d2_i1(_084_),
.tile_x14y10_ram2fab_d2_i2(_085_),
.tile_x14y10_ram2fab_d2_i3(_086_),
.tile_x14y10_ram2fab_d3_i0(_087_),
.tile_x14y10_ram2fab_d3_i1(_088_),
.tile_x14y10_ram2fab_d3_i2(_089_),
.tile_x14y10_ram2fab_d3_i3(_090_),
.tile_x14y11_config_accessc_bit0(\config_accessc[15] ),
.tile_x14y11_config_accessc_bit1(\config_accessc[14] ),
.tile_x14y11_config_accessc_bit2(\config_accessc[13] ),
.tile_x14y11_config_accessc_bit3(\config_accessc[12] ),
.tile_x14y11_fab2ram_a0_o0(\fab2ram_a[31] ),
.tile_x14y11_fab2ram_a0_o1(\fab2ram_a[30] ),
.tile_x14y11_fab2ram_a0_o2(\fab2ram_a[29] ),
.tile_x14y11_fab2ram_a0_o3(\fab2ram_a[28] ),
.tile_x14y11_fab2ram_a1_o0(\fab2ram_a[27] ),
.tile_x14y11_fab2ram_a1_o1(\fab2ram_a[26] ),
.tile_x14y11_fab2ram_a1_o2(\fab2ram_a[25] ),
.tile_x14y11_fab2ram_a1_o3(\fab2ram_a[24] ),
.tile_x14y11_fab2ram_c_o0(\fab2ram_c[15] ),
.tile_x14y11_fab2ram_c_o1(\fab2ram_c[14] ),
.tile_x14y11_fab2ram_c_o2(\fab2ram_c[13] ),
.tile_x14y11_fab2ram_c_o3(\fab2ram_c[12] ),
.tile_x14y11_fab2ram_d0_o0(\fab2ram_d[63] ),
.tile_x14y11_fab2ram_d0_o1(\fab2ram_d[62] ),
.tile_x14y11_fab2ram_d0_o2(\fab2ram_d[61] ),
.tile_x14y11_fab2ram_d0_o3(\fab2ram_d[60] ),
.tile_x14y11_fab2ram_d1_o0(\fab2ram_d[59] ),
.tile_x14y11_fab2ram_d1_o1(\fab2ram_d[58] ),
.tile_x14y11_fab2ram_d1_o2(\fab2ram_d[57] ),
.tile_x14y11_fab2ram_d1_o3(\fab2ram_d[56] ),
.tile_x14y11_fab2ram_d2_o0(\fab2ram_d[55] ),
.tile_x14y11_fab2ram_d2_o1(\fab2ram_d[54] ),
.tile_x14y11_fab2ram_d2_o2(\fab2ram_d[53] ),
.tile_x14y11_fab2ram_d2_o3(\fab2ram_d[52] ),
.tile_x14y11_fab2ram_d3_o0(\fab2ram_d[51] ),
.tile_x14y11_fab2ram_d3_o1(\fab2ram_d[50] ),
.tile_x14y11_fab2ram_d3_o2(\fab2ram_d[49] ),
.tile_x14y11_fab2ram_d3_o3(\fab2ram_d[48] ),
.tile_x14y11_ram2fab_d0_i0(_091_),
.tile_x14y11_ram2fab_d0_i1(_092_),
.tile_x14y11_ram2fab_d0_i2(_093_),
.tile_x14y11_ram2fab_d0_i3(_094_),
.tile_x14y11_ram2fab_d1_i0(_095_),
.tile_x14y11_ram2fab_d1_i1(_096_),
.tile_x14y11_ram2fab_d1_i2(_097_),
.tile_x14y11_ram2fab_d1_i3(_098_),
.tile_x14y11_ram2fab_d2_i0(_099_),
.tile_x14y11_ram2fab_d2_i1(_100_),
.tile_x14y11_ram2fab_d2_i2(_101_),
.tile_x14y11_ram2fab_d2_i3(_102_),
.tile_x14y11_ram2fab_d3_i0(_103_),
.tile_x14y11_ram2fab_d3_i1(_104_),
.tile_x14y11_ram2fab_d3_i2(_105_),
.tile_x14y11_ram2fab_d3_i3(_106_),
.tile_x14y12_config_accessc_bit0(\config_accessc[11] ),
.tile_x14y12_config_accessc_bit1(\config_accessc[10] ),
.tile_x14y12_config_accessc_bit2(\config_accessc[9] ),
.tile_x14y12_config_accessc_bit3(\config_accessc[8] ),
.tile_x14y12_fab2ram_a0_o0(\fab2ram_a[23] ),
.tile_x14y12_fab2ram_a0_o1(\fab2ram_a[22] ),
.tile_x14y12_fab2ram_a0_o2(\fab2ram_a[21] ),
.tile_x14y12_fab2ram_a0_o3(\fab2ram_a[20] ),
.tile_x14y12_fab2ram_a1_o0(\fab2ram_a[19] ),
.tile_x14y12_fab2ram_a1_o1(\fab2ram_a[18] ),
.tile_x14y12_fab2ram_a1_o2(\fab2ram_a[17] ),
.tile_x14y12_fab2ram_a1_o3(\fab2ram_a[16] ),
.tile_x14y12_fab2ram_c_o0(\fab2ram_c[11] ),
.tile_x14y12_fab2ram_c_o1(\fab2ram_c[10] ),
.tile_x14y12_fab2ram_c_o2(\fab2ram_c[9] ),
.tile_x14y12_fab2ram_c_o3(\fab2ram_c[8] ),
.tile_x14y12_fab2ram_d0_o0(\fab2ram_d[47] ),
.tile_x14y12_fab2ram_d0_o1(\fab2ram_d[46] ),
.tile_x14y12_fab2ram_d0_o2(\fab2ram_d[45] ),
.tile_x14y12_fab2ram_d0_o3(\fab2ram_d[44] ),
.tile_x14y12_fab2ram_d1_o0(\fab2ram_d[43] ),
.tile_x14y12_fab2ram_d1_o1(\fab2ram_d[42] ),
.tile_x14y12_fab2ram_d1_o2(\fab2ram_d[41] ),
.tile_x14y12_fab2ram_d1_o3(\fab2ram_d[40] ),
.tile_x14y12_fab2ram_d2_o0(\fab2ram_d[39] ),
.tile_x14y12_fab2ram_d2_o1(\fab2ram_d[38] ),
.tile_x14y12_fab2ram_d2_o2(\fab2ram_d[37] ),
.tile_x14y12_fab2ram_d2_o3(\fab2ram_d[36] ),
.tile_x14y12_fab2ram_d3_o0(\fab2ram_d[35] ),
.tile_x14y12_fab2ram_d3_o1(\fab2ram_d[34] ),
.tile_x14y12_fab2ram_d3_o2(\fab2ram_d[33] ),
.tile_x14y12_fab2ram_d3_o3(\fab2ram_d[32] ),
.tile_x14y12_ram2fab_d0_i0(_107_),
.tile_x14y12_ram2fab_d0_i1(_108_),
.tile_x14y12_ram2fab_d0_i2(_109_),
.tile_x14y12_ram2fab_d0_i3(_110_),
.tile_x14y12_ram2fab_d1_i0(_111_),
.tile_x14y12_ram2fab_d1_i1(_112_),
.tile_x14y12_ram2fab_d1_i2(_113_),
.tile_x14y12_ram2fab_d1_i3(_114_),
.tile_x14y12_ram2fab_d2_i0(_115_),
.tile_x14y12_ram2fab_d2_i1(_116_),
.tile_x14y12_ram2fab_d2_i2(_117_),
.tile_x14y12_ram2fab_d2_i3(_118_),
.tile_x14y12_ram2fab_d3_i0(_119_),
.tile_x14y12_ram2fab_d3_i1(_120_),
.tile_x14y12_ram2fab_d3_i2(_121_),
.tile_x14y12_ram2fab_d3_i3(_122_),
.tile_x14y13_config_accessc_bit0(\config_accessc[7] ),
.tile_x14y13_config_accessc_bit1(\config_accessc[6] ),
.tile_x14y13_config_accessc_bit2(\config_accessc[5] ),
.tile_x14y13_config_accessc_bit3(\config_accessc[4] ),
.tile_x14y13_fab2ram_a0_o0(\fab2ram_a[15] ),
.tile_x14y13_fab2ram_a0_o1(\fab2ram_a[14] ),
.tile_x14y13_fab2ram_a0_o2(\fab2ram_a[13] ),
.tile_x14y13_fab2ram_a0_o3(\fab2ram_a[12] ),
.tile_x14y13_fab2ram_a1_o0(\fab2ram_a[11] ),
.tile_x14y13_fab2ram_a1_o1(\fab2ram_a[10] ),
.tile_x14y13_fab2ram_a1_o2(\fab2ram_a[9] ),
.tile_x14y13_fab2ram_a1_o3(\fab2ram_a[8] ),
.tile_x14y13_fab2ram_c_o0(\fab2ram_c[7] ),
.tile_x14y13_fab2ram_c_o1(\fab2ram_c[6] ),
.tile_x14y13_fab2ram_c_o2(\fab2ram_c[5] ),
.tile_x14y13_fab2ram_c_o3(\fab2ram_c[4] ),
.tile_x14y13_fab2ram_d0_o0(\fab2ram_d[31] ),
.tile_x14y13_fab2ram_d0_o1(\fab2ram_d[30] ),
.tile_x14y13_fab2ram_d0_o2(\fab2ram_d[29] ),
.tile_x14y13_fab2ram_d0_o3(\fab2ram_d[28] ),
.tile_x14y13_fab2ram_d1_o0(\fab2ram_d[27] ),
.tile_x14y13_fab2ram_d1_o1(\fab2ram_d[26] ),
.tile_x14y13_fab2ram_d1_o2(\fab2ram_d[25] ),
.tile_x14y13_fab2ram_d1_o3(\fab2ram_d[24] ),
.tile_x14y13_fab2ram_d2_o0(\fab2ram_d[23] ),
.tile_x14y13_fab2ram_d2_o1(\fab2ram_d[22] ),
.tile_x14y13_fab2ram_d2_o2(\fab2ram_d[21] ),
.tile_x14y13_fab2ram_d2_o3(\fab2ram_d[20] ),
.tile_x14y13_fab2ram_d3_o0(\fab2ram_d[19] ),
.tile_x14y13_fab2ram_d3_o1(\fab2ram_d[18] ),
.tile_x14y13_fab2ram_d3_o2(\fab2ram_d[17] ),
.tile_x14y13_fab2ram_d3_o3(\fab2ram_d[16] ),
.tile_x14y13_ram2fab_d0_i0(_123_),
.tile_x14y13_ram2fab_d0_i1(_124_),
.tile_x14y13_ram2fab_d0_i2(_125_),
.tile_x14y13_ram2fab_d0_i3(_126_),
.tile_x14y13_ram2fab_d1_i0(_127_),
.tile_x14y13_ram2fab_d1_i1(_128_),
.tile_x14y13_ram2fab_d1_i2(_129_),
.tile_x14y13_ram2fab_d1_i3(_130_),
.tile_x14y13_ram2fab_d2_i0(_131_),
.tile_x14y13_ram2fab_d2_i1(_132_),
.tile_x14y13_ram2fab_d2_i2(_133_),
.tile_x14y13_ram2fab_d2_i3(_134_),
.tile_x14y13_ram2fab_d3_i0(_135_),
.tile_x14y13_ram2fab_d3_i1(_136_),
.tile_x14y13_ram2fab_d3_i2(_137_),
.tile_x14y13_ram2fab_d3_i3(_138_),
.tile_x14y14_config_accessc_bit0(\config_accessc[3] ),
.tile_x14y14_config_accessc_bit1(\config_accessc[2] ),
.tile_x14y14_config_accessc_bit2(\config_accessc[1] ),
.tile_x14y14_config_accessc_bit3(\config_accessc[0] ),
.tile_x14y14_fab2ram_a0_o0(\fab2ram_a[7] ),
.tile_x14y14_fab2ram_a0_o1(\fab2ram_a[6] ),
.tile_x14y14_fab2ram_a0_o2(\fab2ram_a[5] ),
.tile_x14y14_fab2ram_a0_o3(\fab2ram_a[4] ),
.tile_x14y14_fab2ram_a1_o0(\fab2ram_a[3] ),
.tile_x14y14_fab2ram_a1_o1(\fab2ram_a[2] ),
.tile_x14y14_fab2ram_a1_o2(\fab2ram_a[1] ),
.tile_x14y14_fab2ram_a1_o3(\fab2ram_a[0] ),
.tile_x14y14_fab2ram_c_o0(\fab2ram_c[3] ),
.tile_x14y14_fab2ram_c_o1(\fab2ram_c[2] ),
.tile_x14y14_fab2ram_c_o2(\fab2ram_c[1] ),
.tile_x14y14_fab2ram_c_o3(\fab2ram_c[0] ),
.tile_x14y14_fab2ram_d0_o0(\fab2ram_d[15] ),
.tile_x14y14_fab2ram_d0_o1(\fab2ram_d[14] ),
.tile_x14y14_fab2ram_d0_o2(\fab2ram_d[13] ),
.tile_x14y14_fab2ram_d0_o3(\fab2ram_d[12] ),
.tile_x14y14_fab2ram_d1_o0(\fab2ram_d[11] ),
.tile_x14y14_fab2ram_d1_o1(\fab2ram_d[10] ),
.tile_x14y14_fab2ram_d1_o2(\fab2ram_d[9] ),
.tile_x14y14_fab2ram_d1_o3(\fab2ram_d[8] ),
.tile_x14y14_fab2ram_d2_o0(\fab2ram_d[7] ),
.tile_x14y14_fab2ram_d2_o1(\fab2ram_d[6] ),
.tile_x14y14_fab2ram_d2_o2(\fab2ram_d[5] ),
.tile_x14y14_fab2ram_d2_o3(\fab2ram_d[4] ),
.tile_x14y14_fab2ram_d3_o0(\fab2ram_d[3] ),
.tile_x14y14_fab2ram_d3_o1(\fab2ram_d[2] ),
.tile_x14y14_fab2ram_d3_o2(\fab2ram_d[1] ),
.tile_x14y14_fab2ram_d3_o3(\fab2ram_d[0] ),
.tile_x14y14_ram2fab_d0_i0(_139_),
.tile_x14y14_ram2fab_d0_i1(_140_),
.tile_x14y14_ram2fab_d0_i2(_141_),
.tile_x14y14_ram2fab_d0_i3(_142_),
.tile_x14y14_ram2fab_d1_i0(_143_),
.tile_x14y14_ram2fab_d1_i1(_144_),
.tile_x14y14_ram2fab_d1_i2(_145_),
.tile_x14y14_ram2fab_d1_i3(_146_),
.tile_x14y14_ram2fab_d2_i0(_147_),
.tile_x14y14_ram2fab_d2_i1(_148_),
.tile_x14y14_ram2fab_d2_i2(_149_),
.tile_x14y14_ram2fab_d2_i3(_150_),
.tile_x14y14_ram2fab_d3_i0(_151_),
.tile_x14y14_ram2fab_d3_i1(_152_),
.tile_x14y14_ram2fab_d3_i2(_153_),
.tile_x14y14_ram2fab_d3_i3(_154_),
.tile_x3y1_opa_i0(\w_opa[35] ),
.tile_x3y1_opa_i1(\selfwritedata[31] ),
.tile_x3y1_opa_i2(\selfwritedata[30] ),
.tile_x3y1_opa_i3(\selfwritedata[29] ),
.tile_x3y1_opb_i0(\w_opb[35] ),
.tile_x3y1_opb_i1(\w_opb[34] ),
.tile_x3y1_opb_i2(\w_opb[33] ),
.tile_x3y1_opb_i3(\w_opb[32] ),
.tile_x3y1_res0_o0(\w_res0[35] ),
.tile_x3y1_res0_o1(\w_res0[34] ),
.tile_x3y1_res0_o2(\w_res0[33] ),
.tile_x3y1_res0_o3(\w_res0[32] ),
.tile_x3y1_res1_o0(\w_res1[35] ),
.tile_x3y1_res1_o1(\w_res1[34] ),
.tile_x3y1_res1_o2(\w_res1[33] ),
.tile_x3y1_res1_o3(\w_res1[32] ),
.tile_x3y1_res2_o0(\w_res2[35] ),
.tile_x3y1_res2_o1(\w_res2[34] ),
.tile_x3y1_res2_o2(\w_res2[33] ),
.tile_x3y1_res2_o3(\w_res2[32] ),
.tile_x3y2_opa_i0(\selfwritedata[28] ),
.tile_x3y2_opa_i1(\selfwritedata[27] ),
.tile_x3y2_opa_i2(\selfwritedata[26] ),
.tile_x3y2_opa_i3(\selfwritedata[25] ),
.tile_x3y2_opb_i0(\w_opb[31] ),
.tile_x3y2_opb_i1(\w_opb[30] ),
.tile_x3y2_opb_i2(\w_opb[29] ),
.tile_x3y2_opb_i3(\w_opb[28] ),
.tile_x3y2_res0_o0(\w_res0[31] ),
.tile_x3y2_res0_o1(\w_res0[30] ),
.tile_x3y2_res0_o2(\w_res0[29] ),
.tile_x3y2_res0_o3(\w_res0[28] ),
.tile_x3y2_res1_o0(\w_res1[31] ),
.tile_x3y2_res1_o1(\w_res1[30] ),
.tile_x3y2_res1_o2(\w_res1[29] ),
.tile_x3y2_res1_o3(\w_res1[28] ),
.tile_x3y2_res2_o0(\w_res2[31] ),
.tile_x3y2_res2_o1(\w_res2[30] ),
.tile_x3y2_res2_o2(\w_res2[29] ),
.tile_x3y2_res2_o3(\w_res2[28] ),
.tile_x3y3_opa_i0(\selfwritedata[24] ),
.tile_x3y3_opa_i1(\selfwritedata[23] ),
.tile_x3y3_opa_i2(\selfwritedata[22] ),
.tile_x3y3_opa_i3(\selfwritedata[21] ),
.tile_x3y3_opb_i0(\w_opb[27] ),
.tile_x3y3_opb_i1(\w_opb[26] ),
.tile_x3y3_opb_i2(\w_opb[25] ),
.tile_x3y3_opb_i3(\w_opb[24] ),
.tile_x3y3_res0_o0(\w_res0[27] ),
.tile_x3y3_res0_o1(\w_res0[26] ),
.tile_x3y3_res0_o2(\w_res0[25] ),
.tile_x3y3_res0_o3(\w_res0[24] ),
.tile_x3y3_res1_o0(\w_res1[27] ),
.tile_x3y3_res1_o1(\w_res1[26] ),
.tile_x3y3_res1_o2(\w_res1[25] ),
.tile_x3y3_res1_o3(\w_res1[24] ),
.tile_x3y3_res2_o0(\w_res2[27] ),
.tile_x3y3_res2_o1(\w_res2[26] ),
.tile_x3y3_res2_o2(\w_res2[25] ),
.tile_x3y3_res2_o3(\w_res2[24] ),
.tile_x3y4_opa_i0(\selfwritedata[20] ),
.tile_x3y4_opa_i1(\selfwritedata[19] ),
.tile_x3y4_opa_i2(\selfwritedata[18] ),
.tile_x3y4_opa_i3(\selfwritedata[17] ),
.tile_x3y4_opb_i0(\w_opb[23] ),
.tile_x3y4_opb_i1(\w_opb[22] ),
.tile_x3y4_opb_i2(\w_opb[21] ),
.tile_x3y4_opb_i3(\w_opb[20] ),
.tile_x3y4_res0_o0(\w_res0[23] ),
.tile_x3y4_res0_o1(\w_res0[22] ),
.tile_x3y4_res0_o2(\w_res0[21] ),
.tile_x3y4_res0_o3(\w_res0[20] ),
.tile_x3y4_res1_o0(\w_res1[23] ),
.tile_x3y4_res1_o1(\w_res1[22] ),
.tile_x3y4_res1_o2(\w_res1[21] ),
.tile_x3y4_res1_o3(\w_res1[20] ),
.tile_x3y4_res2_o0(\w_res2[23] ),
.tile_x3y4_res2_o1(\w_res2[22] ),
.tile_x3y4_res2_o2(\w_res2[21] ),
.tile_x3y4_res2_o3(\w_res2[20] ),
.tile_x3y5_opa_i0(\selfwritedata[16] ),
.tile_x3y5_opa_i1(\selfwritedata[15] ),
.tile_x3y5_opa_i2(\selfwritedata[14] ),
.tile_x3y5_opa_i3(\selfwritedata[13] ),
.tile_x3y5_opb_i0(\w_opb[19] ),
.tile_x3y5_opb_i1(\w_opb[18] ),
.tile_x3y5_opb_i2(\w_opb[17] ),
.tile_x3y5_opb_i3(\w_opb[16] ),
.tile_x3y5_res0_o0(\w_res0[19] ),
.tile_x3y5_res0_o1(\w_res0[18] ),
.tile_x3y5_res0_o2(\w_res0[17] ),
.tile_x3y5_res0_o3(\w_res0[16] ),
.tile_x3y5_res1_o0(\w_res1[19] ),
.tile_x3y5_res1_o1(\w_res1[18] ),
.tile_x3y5_res1_o2(\w_res1[17] ),
.tile_x3y5_res1_o3(\w_res1[16] ),
.tile_x3y5_res2_o0(\w_res2[19] ),
.tile_x3y5_res2_o1(\w_res2[18] ),
.tile_x3y5_res2_o2(\w_res2[17] ),
.tile_x3y5_res2_o3(\w_res2[16] ),
.tile_x3y6_opa_i0(\selfwritedata[12] ),
.tile_x3y6_opa_i1(\selfwritedata[11] ),
.tile_x3y6_opa_i2(\selfwritedata[10] ),
.tile_x3y6_opa_i3(\selfwritedata[9] ),
.tile_x3y6_opb_i0(\w_opb[15] ),
.tile_x3y6_opb_i1(\w_opb[14] ),
.tile_x3y6_opb_i2(\w_opb[13] ),
.tile_x3y6_opb_i3(\w_opb[12] ),
.tile_x3y6_res0_o0(\w_res0[15] ),
.tile_x3y6_res0_o1(\w_res0[14] ),
.tile_x3y6_res0_o2(\w_res0[13] ),
.tile_x3y6_res0_o3(\w_res0[12] ),
.tile_x3y6_res1_o0(\w_res1[15] ),
.tile_x3y6_res1_o1(\w_res1[14] ),
.tile_x3y6_res1_o2(\w_res1[13] ),
.tile_x3y6_res1_o3(\w_res1[12] ),
.tile_x3y6_res2_o0(\w_res2[15] ),
.tile_x3y6_res2_o1(\w_res2[14] ),
.tile_x3y6_res2_o2(\w_res2[13] ),
.tile_x3y6_res2_o3(\w_res2[12] ),
.tile_x3y7_opa_i0(\selfwritedata[8] ),
.tile_x3y7_opa_i1(\selfwritedata[7] ),
.tile_x3y7_opa_i2(\selfwritedata[6] ),
.tile_x3y7_opa_i3(\selfwritedata[5] ),
.tile_x3y7_opb_i0(\w_opb[11] ),
.tile_x3y7_opb_i1(\w_opb[10] ),
.tile_x3y7_opb_i2(\w_opb[9] ),
.tile_x3y7_opb_i3(\w_opb[8] ),
.tile_x3y7_res0_o0(\w_res0[11] ),
.tile_x3y7_res0_o1(\w_res0[10] ),
.tile_x3y7_res0_o2(\w_res0[9] ),
.tile_x3y7_res0_o3(\w_res0[8] ),
.tile_x3y7_res1_o0(\w_res1[11] ),
.tile_x3y7_res1_o1(\w_res1[10] ),
.tile_x3y7_res1_o2(\w_res1[9] ),
.tile_x3y7_res1_o3(\w_res1[8] ),
.tile_x3y7_res2_o0(\w_res2[11] ),
.tile_x3y7_res2_o1(\w_res2[10] ),
.tile_x3y7_res2_o2(\w_res2[9] ),
.tile_x3y7_res2_o3(\w_res2[8] ),
.tile_x3y8_opa_i0(\selfwritedata[4] ),
.tile_x3y8_opa_i1(\selfwritedata[3] ),
.tile_x3y8_opa_i2(\selfwritedata[2] ),
.tile_x3y8_opa_i3(\selfwritedata[1] ),
.tile_x3y8_opb_i0(\w_opb[7] ),
.tile_x3y8_opb_i1(\w_opb[6] ),
.tile_x3y8_opb_i2(\w_opb[5] ),
.tile_x3y8_opb_i3(\w_opb[4] ),
.tile_x3y8_res0_o0(\w_res0[7] ),
.tile_x3y8_res0_o1(\w_res0[6] ),
.tile_x3y8_res0_o2(\w_res0[5] ),
.tile_x3y8_res0_o3(\w_res0[4] ),
.tile_x3y8_res1_o0(\w_res1[7] ),
.tile_x3y8_res1_o1(\w_res1[6] ),
.tile_x3y8_res1_o2(\w_res1[5] ),
.tile_x3y8_res1_o3(\w_res1[4] ),
.tile_x3y8_res2_o0(\w_res2[7] ),
.tile_x3y8_res2_o1(\w_res2[6] ),
.tile_x3y8_res2_o2(\w_res2[5] ),
.tile_x3y8_res2_o3(\w_res2[4] ),
.tile_x3y9_opa_i0(\selfwritedata[0] ),
.tile_x3y9_opa_i1(\w_opa[2] ),
.tile_x3y9_opa_i2(\w_opa[1] ),
.tile_x3y9_opa_i3(\w_opa[0] ),
.tile_x3y9_opb_i0(\w_opb[3] ),
.tile_x3y9_opb_i1(\w_opb[2] ),
.tile_x3y9_opb_i2(\w_opb[1] ),
.tile_x3y9_opb_i3(\w_opb[0] ),
.tile_x3y9_res0_o0(\w_res0[3] ),
.tile_x3y9_res0_o1(\w_res0[2] ),
.tile_x3y9_res0_o2(\w_res0[1] ),
.tile_x3y9_res0_o3(\w_res0[0] ),
.tile_x3y9_res1_o0(\w_res1[3] ),
.tile_x3y9_res1_o1(\w_res1[2] ),
.tile_x3y9_res1_o2(\w_res1[1] ),
.tile_x3y9_res1_o3(\w_res1[0] ),
.tile_x3y9_res2_o0(\w_res2[3] ),
.tile_x3y9_res2_o1(\w_res2[2] ),
.tile_x3y9_res2_o2(\w_res2[1] ),
.tile_x3y9_res2_o3(\w_res2[0] ),
.userclk(clk)
);
forte_soc_top forte_soc_top_i (
.debug_req_1_i(debug_req_1),
.debug_req_2_i(debug_req_2),
.efpga_delay_1_o({ _007_, _006_, \w_opb[33] , \w_opb[32] }),
.efpga_delay_2_o({ _002_, _001_, \e_opb[33] , \e_opb[32] }),
.efpga_en_1_o(\w_opa[35] ),
.efpga_en_2_o(\e_opa[35] ),
.efpga_fpga_done_1_i(\w_res1[34] ),
.efpga_fpga_done_2_i(\e_res1[34] ),
.efpga_operand_a_1_o({ \selfwritedata[31] , \selfwritedata[30] , \selfwritedata[29] , \selfwritedata[28] , \selfwritedata[27] , \selfwritedata[26] , \selfwritedata[25] , \selfwritedata[24] , \selfwritedata[23] , \selfwritedata[22] , \selfwritedata[21] , \selfwritedata[20] , \selfwritedata[19] , \selfwritedata[18] , \selfwritedata[17] , \selfwritedata[16] , \selfwritedata[15] , \selfwritedata[14] , \selfwritedata[13] , \selfwritedata[12] , \selfwritedata[11] , \selfwritedata[10] , \selfwritedata[9] , \selfwritedata[8] , \selfwritedata[7] , \selfwritedata[6] , \selfwritedata[5] , \selfwritedata[4] , \selfwritedata[3] , \selfwritedata[2] , \selfwritedata[1] , \selfwritedata[0] }),
.efpga_operand_a_2_o({ \e_opa[34] , \e_opa[33] , \e_opa[32] , \e_opa[31] , \e_opa[30] , \e_opa[29] , \e_opa[28] , \e_opa[27] , \e_opa[26] , \e_opa[25] , \e_opa[24] , \e_opa[23] , \e_opa[22] , \e_opa[21] , \e_opa[20] , \e_opa[19] , \e_opa[18] , \e_opa[17] , \e_opa[16] , \e_opa[15] , \e_opa[14] , \e_opa[13] , \e_opa[12] , \e_opa[11] , \e_opa[10] , \e_opa[9] , \e_opa[8] , \e_opa[7] , \e_opa[6] , \e_opa[5] , \e_opa[4] , \e_opa[3] }),
.efpga_operand_b_1_o({ \w_opb[31] , \w_opb[30] , \w_opb[29] , \w_opb[28] , \w_opb[27] , \w_opb[26] , \w_opb[25] , \w_opb[24] , \w_opb[23] , \w_opb[22] , \w_opb[21] , \w_opb[20] , \w_opb[19] , \w_opb[18] , \w_opb[17] , \w_opb[16] , \w_opb[15] , \w_opb[14] , \w_opb[13] , \w_opb[12] , \w_opb[11] , \w_opb[10] , \w_opb[9] , \w_opb[8] , \w_opb[7] , \w_opb[6] , \w_opb[5] , \w_opb[4] , \w_opb[3] , \w_opb[2] , \w_opb[1] , \w_opb[0] }),
.efpga_operand_b_2_o({ \e_opb[31] , \e_opb[30] , \e_opb[29] , \e_opb[28] , \e_opb[27] , \e_opb[26] , \e_opb[25] , \e_opb[24] , \e_opb[23] , \e_opb[22] , \e_opb[21] , \e_opb[20] , \e_opb[19] , \e_opb[18] , \e_opb[17] , \e_opb[16] , \e_opb[15] , \e_opb[14] , \e_opb[13] , \e_opb[12] , \e_opb[11] , \e_opb[10] , \e_opb[9] , \e_opb[8] , \e_opb[7] , \e_opb[6] , \e_opb[5] , \e_opb[4] , \e_opb[3] , \e_opb[2] , \e_opb[1] , \e_opb[0] }),
.efpga_operator_1_o({ \w_opb[35] , \w_opb[34] }),
.efpga_operator_2_o({ \e_opb[35] , \e_opb[34] }),
.efpga_result_a_1_i({ \w_res0[31] , \w_res0[30] , \w_res0[29] , \w_res0[28] , \w_res0[27] , \w_res0[26] , \w_res0[25] , \w_res0[24] , \w_res0[23] , \w_res0[22] , \w_res0[21] , \w_res0[20] , \w_res0[19] , \w_res0[18] , \w_res0[17] , \w_res0[16] , \w_res0[15] , \w_res0[14] , \w_res0[13] , \w_res0[12] , \w_res0[11] , \w_res0[10] , \w_res0[9] , \w_res0[8] , \w_res0[7] , \w_res0[6] , \w_res0[5] , \w_res0[4] , \w_res0[3] , \w_res0[2] , \w_res0[1] , \w_res0[0] }),
.efpga_result_a_2_i({ \e_res0[31] , \e_res0[30] , \e_res0[29] , \e_res0[28] , \e_res0[27] , \e_res0[26] , \e_res0[25] , \e_res0[24] , \e_res0[23] , \e_res0[22] , \e_res0[21] , \e_res0[20] , \e_res0[19] , \e_res0[18] , \e_res0[17] , \e_res0[16] , \e_res0[15] , \e_res0[14] , \e_res0[13] , \e_res0[12] , \e_res0[11] , \e_res0[10] , \e_res0[9] , \e_res0[8] , \e_res0[7] , \e_res0[6] , \e_res0[5] , \e_res0[4] , \e_res0[3] , \e_res0[2] , \e_res0[1] , \e_res0[0] }),
.efpga_result_b_1_i({ \w_res1[31] , \w_res1[30] , \w_res1[29] , \w_res1[28] , \w_res1[27] , \w_res1[26] , \w_res1[25] , \w_res1[24] , \w_res1[23] , \w_res1[22] , \w_res1[21] , \w_res1[20] , \w_res1[19] , \w_res1[18] , \w_res1[17] , \w_res1[16] , \w_res1[15] , \w_res1[14] , \w_res1[13] , \w_res1[12] , \w_res1[11] , \w_res1[10] , \w_res1[9] , \w_res1[8] , \w_res1[7] , \w_res1[6] , \w_res1[5] , \w_res1[4] , \w_res1[3] , \w_res1[2] , \w_res1[1] , \w_res1[0] }),
.efpga_result_b_2_i({ \e_res1[31] , \e_res1[30] , \e_res1[29] , \e_res1[28] , \e_res1[27] , \e_res1[26] , \e_res1[25] , \e_res1[24] , \e_res1[23] , \e_res1[22] , \e_res1[21] , \e_res1[20] , \e_res1[19] , \e_res1[18] , \e_res1[17] , \e_res1[16] , \e_res1[15] , \e_res1[14] , \e_res1[13] , \e_res1[12] , \e_res1[11] , \e_res1[10] , \e_res1[9] , \e_res1[8] , \e_res1[7] , \e_res1[6] , \e_res1[5] , \e_res1[4] , \e_res1[3] , \e_res1[2] , \e_res1[1] , \e_res1[0] }),
.efpga_result_c_1_i({ \w_res2[31] , \w_res2[30] , \w_res2[29] , \w_res2[28] , \w_res2[27] , \w_res2[26] , \w_res2[25] , \w_res2[24] , \w_res2[23] , \w_res2[22] , \w_res2[21] , \w_res2[20] , \w_res2[19] , \w_res2[18] , \w_res2[17] , \w_res2[16] , \w_res2[15] , \w_res2[14] , \w_res2[13] , \w_res2[12] , \w_res2[11] , \w_res2[10] , \w_res2[9] , \w_res2[8] , \w_res2[7] , \w_res2[6] , \w_res2[5] , \w_res2[4] , \w_res2[3] , \w_res2[2] , \w_res2[1] , \w_res2[0] }),
.efpga_result_c_2_i({ \e_res2[31] , \e_res2[30] , \e_res2[29] , \e_res2[28] , \e_res2[27] , \e_res2[26] , \e_res2[25] , \e_res2[24] , \e_res2[23] , \e_res2[22] , \e_res2[21] , \e_res2[20] , \e_res2[19] , \e_res2[18] , \e_res2[17] , \e_res2[16] , \e_res2[15] , \e_res2[14] , \e_res2[13] , \e_res2[12] , \e_res2[11] , \e_res2[10] , \e_res2[9] , \e_res2[8] , \e_res2[7] , \e_res2[6] , \e_res2[5] , \e_res2[4] , \e_res2[3] , \e_res2[2] , \e_res2[1] , \e_res2[0] }),
.efpga_write_strobe_1_o(selfwritestrobe),
.efpga_write_strobe_2_o(io_out[16]),
.error_uart_to_mem(io_out[16]),
.fetch_enable_1_i(fetch_enable_1),
.fetch_enable_2_i(fetch_enable_2),
.irq_1_i(\w_res1[33] ),
.irq_2_i(\e_res1[33] ),
.irq_ack_1_o(\w_opa[0] ),
.irq_ack_2_o(\e_opa[0] ),
.irq_id_1_i({ \w_res1[32] , \w_res0[35] , \w_res0[34] , \w_res0[33] , \w_res0[32] }),
.irq_id_1_o({ _010_, _009_, _008_, \w_opa[2] , \w_opa[1] }),
.irq_id_2_i({ \e_res1[32] , \e_res0[35] , \e_res0[34] , \e_res0[33] , \e_res0[32] }),
.irq_id_2_o({ _005_, _004_, _003_, \e_opa[2] , \e_opa[1] }),
.rxd_uart(io_in[12]),
.rxd_uart_to_mem(io_in[14]),
.txd_uart(io_out[13]),
.txd_uart_to_mem(io_out[15]),
.wb_clk_i(clk),
.wb_rst_i(wb_rst_i),
.wbs_ack_o(wbs_ack_o),
.wbs_adr_i(wbs_adr_i),
.wbs_cyc_i(wbs_cyc_i),
.wbs_dat_i(wbs_dat_i),
.wbs_dat_o(wbs_dat_o),
.wbs_sel_i(wbs_sel_i),
.wbs_stb_i(wbs_stb_i),
.wbs_we_i(wbs_we_i)
);
endmodule
module forte_soc_top(debug_req_1_i, fetch_enable_1_i, irq_ack_1_o, irq_1_i, irq_id_1_i, irq_id_1_o, efpga_operand_a_1_o, efpga_operand_b_1_o, efpga_result_a_1_i, efpga_result_b_1_i, efpga_result_c_1_i, efpga_write_strobe_1_o, efpga_fpga_done_1_i, efpga_delay_1_o, efpga_en_1_o, efpga_operator_1_o, wb_clk_i, wb_rst_i, wbs_stb_i, wbs_cyc_i, wbs_we_i, wbs_sel_i, wbs_dat_i, wbs_adr_i, wbs_ack_o, wbs_dat_o, debug_req_2_i, fetch_enable_2_i, irq_ack_2_o, irq_2_i, irq_id_2_i, irq_id_2_o, efpga_operand_a_2_o, efpga_operand_b_2_o, efpga_result_a_2_i, efpga_result_b_2_i, efpga_result_c_2_i, efpga_write_strobe_2_o, efpga_fpga_done_2_i, efpga_delay_2_o, efpga_en_2_o, efpga_operator_2_o, rxd_uart, txd_uart, rxd_uart_to_mem, txd_uart_to_mem, error_uart_to_mem);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
wire _145_;
wire _146_;
wire _147_;
wire _148_;
wire _149_;
wire _150_;
wire _151_;
wire _152_;
wire _153_;
wire _154_;
wire _155_;
wire _156_;
wire _157_;
wire _158_;
wire _159_;
wire _160_;
wire _161_;
wire _162_;
wire _163_;
wire _164_;
wire _165_;
wire _166_;
wire _167_;
wire _168_;
wire _169_;
wire _170_;
wire _171_;
wire _172_;
wire _173_;
wire _174_;
wire _175_;
wire _176_;
wire _177_;
wire _178_;
wire _179_;
wire _180_;
wire _181_;
wire _182_;
wire _183_;
wire _184_;
wire _185_;
wire _186_;
wire _187_;
wire _188_;
wire _189_;
wire _190_;
wire _191_;
wire _192_;
wire _193_;
wire _194_;
wire _195_;
wire _196_;
wire _197_;
wire _198_;
wire _199_;
wire _200_;
wire _201_;
wire _202_;
input debug_req_1_i;
input debug_req_2_i;
output [3:0] efpga_delay_1_o;
output [3:0] efpga_delay_2_o;
output efpga_en_1_o;
output efpga_en_2_o;
input efpga_fpga_done_1_i;
input efpga_fpga_done_2_i;
output [31:0] efpga_operand_a_1_o;
output [31:0] efpga_operand_a_2_o;
output [31:0] efpga_operand_b_1_o;
output [31:0] efpga_operand_b_2_o;
output [1:0] efpga_operator_1_o;
output [1:0] efpga_operator_2_o;
input [31:0] efpga_result_a_1_i;
input [31:0] efpga_result_a_2_i;
input [31:0] efpga_result_b_1_i;
input [31:0] efpga_result_b_2_i;
input [31:0] efpga_result_c_1_i;
input [31:0] efpga_result_c_2_i;
output efpga_write_strobe_1_o;
output efpga_write_strobe_2_o;
output error_uart_to_mem;
wire ext_data_req_i;
input fetch_enable_1_i;
input fetch_enable_2_i;
input irq_1_i;
input irq_2_i;
output irq_ack_1_o;
output irq_ack_2_o;
input [4:0] irq_id_1_i;
output [4:0] irq_id_1_o;
input [4:0] irq_id_2_i;
output [4:0] irq_id_2_o;
wire \master_data_addr_to_inter[0] ;
wire \master_data_addr_to_inter[10] ;
wire \master_data_addr_to_inter[11] ;
wire \master_data_addr_to_inter[12] ;
wire \master_data_addr_to_inter[13] ;
wire \master_data_addr_to_inter[14] ;
wire \master_data_addr_to_inter[15] ;
wire \master_data_addr_to_inter[16] ;
wire \master_data_addr_to_inter[17] ;
wire \master_data_addr_to_inter[18] ;
wire \master_data_addr_to_inter[19] ;
wire \master_data_addr_to_inter[1] ;
wire \master_data_addr_to_inter[20] ;
wire \master_data_addr_to_inter[21] ;
wire \master_data_addr_to_inter[22] ;
wire \master_data_addr_to_inter[23] ;
wire \master_data_addr_to_inter[2] ;
wire \master_data_addr_to_inter[36] ;
wire \master_data_addr_to_inter[37] ;
wire \master_data_addr_to_inter[38] ;
wire \master_data_addr_to_inter[39] ;
wire \master_data_addr_to_inter[3] ;
wire \master_data_addr_to_inter[40] ;
wire \master_data_addr_to_inter[41] ;
wire \master_data_addr_to_inter[42] ;
wire \master_data_addr_to_inter[43] ;
wire \master_data_addr_to_inter[44] ;
wire \master_data_addr_to_inter[45] ;
wire \master_data_addr_to_inter[46] ;
wire \master_data_addr_to_inter[47] ;
wire \master_data_addr_to_inter[4] ;
wire \master_data_addr_to_inter[5] ;
wire \master_data_addr_to_inter[6] ;
wire \master_data_addr_to_inter[7] ;
wire \master_data_addr_to_inter[8] ;
wire \master_data_addr_to_inter[9] ;
wire \master_data_addr_to_inter_ro[0] ;
wire \master_data_addr_to_inter_ro[10] ;
wire \master_data_addr_to_inter_ro[11] ;
wire \master_data_addr_to_inter_ro[12] ;
wire \master_data_addr_to_inter_ro[13] ;
wire \master_data_addr_to_inter_ro[14] ;
wire \master_data_addr_to_inter_ro[15] ;
wire \master_data_addr_to_inter_ro[16] ;
wire \master_data_addr_to_inter_ro[17] ;
wire \master_data_addr_to_inter_ro[18] ;
wire \master_data_addr_to_inter_ro[19] ;
wire \master_data_addr_to_inter_ro[1] ;
wire \master_data_addr_to_inter_ro[20] ;
wire \master_data_addr_to_inter_ro[21] ;
wire \master_data_addr_to_inter_ro[2] ;
wire \master_data_addr_to_inter_ro[3] ;
wire \master_data_addr_to_inter_ro[4] ;
wire \master_data_addr_to_inter_ro[5] ;
wire \master_data_addr_to_inter_ro[6] ;
wire \master_data_addr_to_inter_ro[7] ;
wire \master_data_addr_to_inter_ro[8] ;
wire \master_data_addr_to_inter_ro[9] ;
wire \master_data_be_to_inter[0] ;
wire \master_data_be_to_inter[12] ;
wire \master_data_be_to_inter[13] ;
wire \master_data_be_to_inter[14] ;
wire \master_data_be_to_inter[15] ;
wire \master_data_be_to_inter[1] ;
wire \master_data_be_to_inter[2] ;
wire \master_data_be_to_inter[3] ;
wire \master_data_be_to_inter[4] ;
wire \master_data_be_to_inter[5] ;
wire \master_data_be_to_inter[6] ;
wire \master_data_be_to_inter[7] ;
wire \master_data_gnt_to_inter[0] ;
wire \master_data_gnt_to_inter[1] ;
wire \master_data_gnt_to_inter[2] ;
wire \master_data_gnt_to_inter[3] ;
wire \master_data_gnt_to_inter_ro[0] ;
wire \master_data_gnt_to_inter_ro[1] ;
wire \master_data_rdata_to_inter[0] ;
wire \master_data_rdata_to_inter[100] ;
wire \master_data_rdata_to_inter[101] ;
wire \master_data_rdata_to_inter[102] ;
wire \master_data_rdata_to_inter[103] ;
wire \master_data_rdata_to_inter[104] ;
wire \master_data_rdata_to_inter[105] ;
wire \master_data_rdata_to_inter[106] ;
wire \master_data_rdata_to_inter[107] ;
wire \master_data_rdata_to_inter[108] ;
wire \master_data_rdata_to_inter[109] ;
wire \master_data_rdata_to_inter[10] ;
wire \master_data_rdata_to_inter[110] ;
wire \master_data_rdata_to_inter[111] ;
wire \master_data_rdata_to_inter[112] ;
wire \master_data_rdata_to_inter[113] ;
wire \master_data_rdata_to_inter[114] ;
wire \master_data_rdata_to_inter[115] ;
wire \master_data_rdata_to_inter[116] ;
wire \master_data_rdata_to_inter[117] ;
wire \master_data_rdata_to_inter[118] ;
wire \master_data_rdata_to_inter[119] ;
wire \master_data_rdata_to_inter[11] ;
wire \master_data_rdata_to_inter[120] ;
wire \master_data_rdata_to_inter[121] ;
wire \master_data_rdata_to_inter[122] ;
wire \master_data_rdata_to_inter[123] ;
wire \master_data_rdata_to_inter[124] ;
wire \master_data_rdata_to_inter[125] ;
wire \master_data_rdata_to_inter[126] ;
wire \master_data_rdata_to_inter[127] ;
wire \master_data_rdata_to_inter[12] ;
wire \master_data_rdata_to_inter[13] ;
wire \master_data_rdata_to_inter[14] ;
wire \master_data_rdata_to_inter[15] ;
wire \master_data_rdata_to_inter[16] ;
wire \master_data_rdata_to_inter[17] ;
wire \master_data_rdata_to_inter[18] ;
wire \master_data_rdata_to_inter[19] ;
wire \master_data_rdata_to_inter[1] ;
wire \master_data_rdata_to_inter[20] ;
wire \master_data_rdata_to_inter[21] ;
wire \master_data_rdata_to_inter[22] ;
wire \master_data_rdata_to_inter[23] ;
wire \master_data_rdata_to_inter[24] ;
wire \master_data_rdata_to_inter[25] ;
wire \master_data_rdata_to_inter[26] ;
wire \master_data_rdata_to_inter[27] ;
wire \master_data_rdata_to_inter[28] ;
wire \master_data_rdata_to_inter[29] ;
wire \master_data_rdata_to_inter[2] ;
wire \master_data_rdata_to_inter[30] ;
wire \master_data_rdata_to_inter[31] ;
wire \master_data_rdata_to_inter[32] ;
wire \master_data_rdata_to_inter[33] ;
wire \master_data_rdata_to_inter[34] ;
wire \master_data_rdata_to_inter[35] ;
wire \master_data_rdata_to_inter[36] ;
wire \master_data_rdata_to_inter[37] ;
wire \master_data_rdata_to_inter[38] ;
wire \master_data_rdata_to_inter[39] ;
wire \master_data_rdata_to_inter[3] ;
wire \master_data_rdata_to_inter[40] ;
wire \master_data_rdata_to_inter[41] ;
wire \master_data_rdata_to_inter[42] ;
wire \master_data_rdata_to_inter[43] ;
wire \master_data_rdata_to_inter[44] ;
wire \master_data_rdata_to_inter[45] ;
wire \master_data_rdata_to_inter[46] ;
wire \master_data_rdata_to_inter[47] ;
wire \master_data_rdata_to_inter[48] ;
wire \master_data_rdata_to_inter[49] ;
wire \master_data_rdata_to_inter[4] ;
wire \master_data_rdata_to_inter[50] ;
wire \master_data_rdata_to_inter[51] ;
wire \master_data_rdata_to_inter[52] ;
wire \master_data_rdata_to_inter[53] ;
wire \master_data_rdata_to_inter[54] ;
wire \master_data_rdata_to_inter[55] ;
wire \master_data_rdata_to_inter[56] ;
wire \master_data_rdata_to_inter[57] ;
wire \master_data_rdata_to_inter[58] ;
wire \master_data_rdata_to_inter[59] ;
wire \master_data_rdata_to_inter[5] ;
wire \master_data_rdata_to_inter[60] ;
wire \master_data_rdata_to_inter[61] ;
wire \master_data_rdata_to_inter[62] ;
wire \master_data_rdata_to_inter[63] ;
wire \master_data_rdata_to_inter[6] ;
wire \master_data_rdata_to_inter[7] ;
wire \master_data_rdata_to_inter[8] ;
wire \master_data_rdata_to_inter[96] ;
wire \master_data_rdata_to_inter[97] ;
wire \master_data_rdata_to_inter[98] ;
wire \master_data_rdata_to_inter[99] ;
wire \master_data_rdata_to_inter[9] ;
wire \master_data_rdata_to_inter_ro[0] ;
wire \master_data_rdata_to_inter_ro[10] ;
wire \master_data_rdata_to_inter_ro[11] ;
wire \master_data_rdata_to_inter_ro[12] ;
wire \master_data_rdata_to_inter_ro[13] ;
wire \master_data_rdata_to_inter_ro[14] ;
wire \master_data_rdata_to_inter_ro[15] ;
wire \master_data_rdata_to_inter_ro[16] ;
wire \master_data_rdata_to_inter_ro[17] ;
wire \master_data_rdata_to_inter_ro[18] ;
wire \master_data_rdata_to_inter_ro[19] ;
wire \master_data_rdata_to_inter_ro[1] ;
wire \master_data_rdata_to_inter_ro[20] ;
wire \master_data_rdata_to_inter_ro[21] ;
wire \master_data_rdata_to_inter_ro[22] ;
wire \master_data_rdata_to_inter_ro[23] ;
wire \master_data_rdata_to_inter_ro[24] ;
wire \master_data_rdata_to_inter_ro[25] ;
wire \master_data_rdata_to_inter_ro[26] ;
wire \master_data_rdata_to_inter_ro[27] ;
wire \master_data_rdata_to_inter_ro[28] ;
wire \master_data_rdata_to_inter_ro[29] ;
wire \master_data_rdata_to_inter_ro[2] ;
wire \master_data_rdata_to_inter_ro[30] ;
wire \master_data_rdata_to_inter_ro[31] ;
wire \master_data_rdata_to_inter_ro[32] ;
wire \master_data_rdata_to_inter_ro[33] ;
wire \master_data_rdata_to_inter_ro[34] ;
wire \master_data_rdata_to_inter_ro[35] ;
wire \master_data_rdata_to_inter_ro[36] ;
wire \master_data_rdata_to_inter_ro[37] ;
wire \master_data_rdata_to_inter_ro[38] ;
wire \master_data_rdata_to_inter_ro[39] ;
wire \master_data_rdata_to_inter_ro[3] ;
wire \master_data_rdata_to_inter_ro[40] ;
wire \master_data_rdata_to_inter_ro[41] ;
wire \master_data_rdata_to_inter_ro[42] ;
wire \master_data_rdata_to_inter_ro[43] ;
wire \master_data_rdata_to_inter_ro[44] ;
wire \master_data_rdata_to_inter_ro[45] ;
wire \master_data_rdata_to_inter_ro[46] ;
wire \master_data_rdata_to_inter_ro[47] ;
wire \master_data_rdata_to_inter_ro[48] ;
wire \master_data_rdata_to_inter_ro[49] ;
wire \master_data_rdata_to_inter_ro[4] ;
wire \master_data_rdata_to_inter_ro[50] ;
wire \master_data_rdata_to_inter_ro[51] ;
wire \master_data_rdata_to_inter_ro[52] ;
wire \master_data_rdata_to_inter_ro[53] ;
wire \master_data_rdata_to_inter_ro[54] ;
wire \master_data_rdata_to_inter_ro[55] ;
wire \master_data_rdata_to_inter_ro[56] ;
wire \master_data_rdata_to_inter_ro[57] ;
wire \master_data_rdata_to_inter_ro[58] ;
wire \master_data_rdata_to_inter_ro[59] ;
wire \master_data_rdata_to_inter_ro[5] ;
wire \master_data_rdata_to_inter_ro[60] ;
wire \master_data_rdata_to_inter_ro[61] ;
wire \master_data_rdata_to_inter_ro[62] ;
wire \master_data_rdata_to_inter_ro[63] ;
wire \master_data_rdata_to_inter_ro[6] ;
wire \master_data_rdata_to_inter_ro[7] ;
wire \master_data_rdata_to_inter_ro[8] ;
wire \master_data_rdata_to_inter_ro[9] ;
wire \master_data_req_to_inter[0] ;
wire \master_data_req_to_inter[1] ;
wire \master_data_req_to_inter[3] ;
wire \master_data_req_to_inter_ro[0] ;
wire \master_data_req_to_inter_ro[1] ;
wire \master_data_rvalid_to_inter[0] ;
wire \master_data_rvalid_to_inter[1] ;
wire \master_data_rvalid_to_inter[3] ;
wire \master_data_rvalid_to_inter_ro[0] ;
wire \master_data_rvalid_to_inter_ro[1] ;
wire \master_data_wdata_to_inter[0] ;
wire \master_data_wdata_to_inter[100] ;
wire \master_data_wdata_to_inter[101] ;
wire \master_data_wdata_to_inter[102] ;
wire \master_data_wdata_to_inter[103] ;
wire \master_data_wdata_to_inter[104] ;
wire \master_data_wdata_to_inter[105] ;
wire \master_data_wdata_to_inter[106] ;
wire \master_data_wdata_to_inter[107] ;
wire \master_data_wdata_to_inter[108] ;
wire \master_data_wdata_to_inter[109] ;
wire \master_data_wdata_to_inter[10] ;
wire \master_data_wdata_to_inter[110] ;
wire \master_data_wdata_to_inter[111] ;
wire \master_data_wdata_to_inter[112] ;
wire \master_data_wdata_to_inter[113] ;
wire \master_data_wdata_to_inter[114] ;
wire \master_data_wdata_to_inter[115] ;
wire \master_data_wdata_to_inter[116] ;
wire \master_data_wdata_to_inter[117] ;
wire \master_data_wdata_to_inter[118] ;
wire \master_data_wdata_to_inter[119] ;
wire \master_data_wdata_to_inter[11] ;
wire \master_data_wdata_to_inter[120] ;
wire \master_data_wdata_to_inter[121] ;
wire \master_data_wdata_to_inter[122] ;
wire \master_data_wdata_to_inter[123] ;
wire \master_data_wdata_to_inter[124] ;
wire \master_data_wdata_to_inter[125] ;
wire \master_data_wdata_to_inter[126] ;
wire \master_data_wdata_to_inter[127] ;
wire \master_data_wdata_to_inter[12] ;
wire \master_data_wdata_to_inter[13] ;
wire \master_data_wdata_to_inter[14] ;
wire \master_data_wdata_to_inter[15] ;
wire \master_data_wdata_to_inter[16] ;
wire \master_data_wdata_to_inter[17] ;
wire \master_data_wdata_to_inter[18] ;
wire \master_data_wdata_to_inter[19] ;
wire \master_data_wdata_to_inter[1] ;
wire \master_data_wdata_to_inter[20] ;
wire \master_data_wdata_to_inter[21] ;
wire \master_data_wdata_to_inter[22] ;
wire \master_data_wdata_to_inter[23] ;
wire \master_data_wdata_to_inter[24] ;
wire \master_data_wdata_to_inter[25] ;
wire \master_data_wdata_to_inter[26] ;
wire \master_data_wdata_to_inter[27] ;
wire \master_data_wdata_to_inter[28] ;
wire \master_data_wdata_to_inter[29] ;
wire \master_data_wdata_to_inter[2] ;
wire \master_data_wdata_to_inter[30] ;
wire \master_data_wdata_to_inter[31] ;
wire \master_data_wdata_to_inter[32] ;
wire \master_data_wdata_to_inter[33] ;
wire \master_data_wdata_to_inter[34] ;
wire \master_data_wdata_to_inter[35] ;
wire \master_data_wdata_to_inter[36] ;
wire \master_data_wdata_to_inter[37] ;
wire \master_data_wdata_to_inter[38] ;
wire \master_data_wdata_to_inter[39] ;
wire \master_data_wdata_to_inter[3] ;
wire \master_data_wdata_to_inter[40] ;
wire \master_data_wdata_to_inter[41] ;
wire \master_data_wdata_to_inter[42] ;
wire \master_data_wdata_to_inter[43] ;
wire \master_data_wdata_to_inter[44] ;
wire \master_data_wdata_to_inter[45] ;
wire \master_data_wdata_to_inter[46] ;
wire \master_data_wdata_to_inter[47] ;
wire \master_data_wdata_to_inter[48] ;
wire \master_data_wdata_to_inter[49] ;
wire \master_data_wdata_to_inter[4] ;
wire \master_data_wdata_to_inter[50] ;
wire \master_data_wdata_to_inter[51] ;
wire \master_data_wdata_to_inter[52] ;
wire \master_data_wdata_to_inter[53] ;
wire \master_data_wdata_to_inter[54] ;
wire \master_data_wdata_to_inter[55] ;
wire \master_data_wdata_to_inter[56] ;
wire \master_data_wdata_to_inter[57] ;
wire \master_data_wdata_to_inter[58] ;
wire \master_data_wdata_to_inter[59] ;
wire \master_data_wdata_to_inter[5] ;
wire \master_data_wdata_to_inter[60] ;
wire \master_data_wdata_to_inter[61] ;
wire \master_data_wdata_to_inter[62] ;
wire \master_data_wdata_to_inter[63] ;
wire \master_data_wdata_to_inter[6] ;
wire \master_data_wdata_to_inter[7] ;
wire \master_data_wdata_to_inter[8] ;
wire \master_data_wdata_to_inter[96] ;
wire \master_data_wdata_to_inter[97] ;
wire \master_data_wdata_to_inter[98] ;
wire \master_data_wdata_to_inter[99] ;
wire \master_data_wdata_to_inter[9] ;
wire \master_data_we_to_inter[0] ;
wire \master_data_we_to_inter[1] ;
wire reset_ni;
input rxd_uart;
input rxd_uart_to_mem;
wire \slave_data_addr_to_inter[0] ;
wire \slave_data_addr_to_inter[10] ;
wire \slave_data_addr_to_inter[11] ;
wire \slave_data_addr_to_inter[12] ;
wire \slave_data_addr_to_inter[13] ;
wire \slave_data_addr_to_inter[14] ;
wire \slave_data_addr_to_inter[15] ;
wire \slave_data_addr_to_inter[16] ;
wire \slave_data_addr_to_inter[17] ;
wire \slave_data_addr_to_inter[18] ;
wire \slave_data_addr_to_inter[19] ;
wire \slave_data_addr_to_inter[1] ;
wire \slave_data_addr_to_inter[20] ;
wire \slave_data_addr_to_inter[21] ;
wire \slave_data_addr_to_inter[22] ;
wire \slave_data_addr_to_inter[23] ;
wire \slave_data_addr_to_inter[24] ;
wire \slave_data_addr_to_inter[25] ;
wire \slave_data_addr_to_inter[26] ;
wire \slave_data_addr_to_inter[27] ;
wire \slave_data_addr_to_inter[28] ;
wire \slave_data_addr_to_inter[29] ;
wire \slave_data_addr_to_inter[2] ;
wire \slave_data_addr_to_inter[3] ;
wire \slave_data_addr_to_inter[4] ;
wire \slave_data_addr_to_inter[5] ;
wire \slave_data_addr_to_inter[6] ;
wire \slave_data_addr_to_inter[7] ;
wire \slave_data_addr_to_inter[8] ;
wire \slave_data_addr_to_inter[9] ;
wire \slave_data_addr_to_inter_ro[0] ;
wire \slave_data_addr_to_inter_ro[10] ;
wire \slave_data_addr_to_inter_ro[11] ;
wire \slave_data_addr_to_inter_ro[12] ;
wire \slave_data_addr_to_inter_ro[13] ;
wire \slave_data_addr_to_inter_ro[14] ;
wire \slave_data_addr_to_inter_ro[15] ;
wire \slave_data_addr_to_inter_ro[16] ;
wire \slave_data_addr_to_inter_ro[17] ;
wire \slave_data_addr_to_inter_ro[18] ;
wire \slave_data_addr_to_inter_ro[19] ;
wire \slave_data_addr_to_inter_ro[1] ;
wire \slave_data_addr_to_inter_ro[2] ;
wire \slave_data_addr_to_inter_ro[3] ;
wire \slave_data_addr_to_inter_ro[4] ;
wire \slave_data_addr_to_inter_ro[5] ;
wire \slave_data_addr_to_inter_ro[6] ;
wire \slave_data_addr_to_inter_ro[7] ;
wire \slave_data_addr_to_inter_ro[8] ;
wire \slave_data_addr_to_inter_ro[9] ;
wire \slave_data_be_to_inter[0] ;
wire \slave_data_be_to_inter[10] ;
wire \slave_data_be_to_inter[11] ;
wire \slave_data_be_to_inter[1] ;
wire \slave_data_be_to_inter[2] ;
wire \slave_data_be_to_inter[3] ;
wire \slave_data_be_to_inter[4] ;
wire \slave_data_be_to_inter[5] ;
wire \slave_data_be_to_inter[6] ;
wire \slave_data_be_to_inter[7] ;
wire \slave_data_be_to_inter[8] ;
wire \slave_data_be_to_inter[9] ;
wire slave_data_gnt_peri1_i;
wire \slave_data_rdata_to_inter[0] ;
wire \slave_data_rdata_to_inter[10] ;
wire \slave_data_rdata_to_inter[11] ;
wire \slave_data_rdata_to_inter[12] ;
wire \slave_data_rdata_to_inter[13] ;
wire \slave_data_rdata_to_inter[14] ;
wire \slave_data_rdata_to_inter[15] ;
wire \slave_data_rdata_to_inter[16] ;
wire \slave_data_rdata_to_inter[17] ;
wire \slave_data_rdata_to_inter[18] ;
wire \slave_data_rdata_to_inter[19] ;
wire \slave_data_rdata_to_inter[1] ;
wire \slave_data_rdata_to_inter[20] ;
wire \slave_data_rdata_to_inter[21] ;
wire \slave_data_rdata_to_inter[22] ;
wire \slave_data_rdata_to_inter[23] ;
wire \slave_data_rdata_to_inter[24] ;
wire \slave_data_rdata_to_inter[25] ;
wire \slave_data_rdata_to_inter[26] ;
wire \slave_data_rdata_to_inter[27] ;
wire \slave_data_rdata_to_inter[28] ;
wire \slave_data_rdata_to_inter[29] ;
wire \slave_data_rdata_to_inter[2] ;
wire \slave_data_rdata_to_inter[30] ;
wire \slave_data_rdata_to_inter[31] ;
wire \slave_data_rdata_to_inter[32] ;
wire \slave_data_rdata_to_inter[33] ;
wire \slave_data_rdata_to_inter[34] ;
wire \slave_data_rdata_to_inter[35] ;
wire \slave_data_rdata_to_inter[36] ;
wire \slave_data_rdata_to_inter[37] ;
wire \slave_data_rdata_to_inter[38] ;
wire \slave_data_rdata_to_inter[39] ;
wire \slave_data_rdata_to_inter[3] ;
wire \slave_data_rdata_to_inter[40] ;
wire \slave_data_rdata_to_inter[41] ;
wire \slave_data_rdata_to_inter[42] ;
wire \slave_data_rdata_to_inter[43] ;
wire \slave_data_rdata_to_inter[44] ;
wire \slave_data_rdata_to_inter[45] ;
wire \slave_data_rdata_to_inter[46] ;
wire \slave_data_rdata_to_inter[47] ;
wire \slave_data_rdata_to_inter[48] ;
wire \slave_data_rdata_to_inter[49] ;
wire \slave_data_rdata_to_inter[4] ;
wire \slave_data_rdata_to_inter[50] ;
wire \slave_data_rdata_to_inter[51] ;
wire \slave_data_rdata_to_inter[52] ;
wire \slave_data_rdata_to_inter[53] ;
wire \slave_data_rdata_to_inter[54] ;
wire \slave_data_rdata_to_inter[55] ;
wire \slave_data_rdata_to_inter[56] ;
wire \slave_data_rdata_to_inter[57] ;
wire \slave_data_rdata_to_inter[58] ;
wire \slave_data_rdata_to_inter[59] ;
wire \slave_data_rdata_to_inter[5] ;
wire \slave_data_rdata_to_inter[60] ;
wire \slave_data_rdata_to_inter[61] ;
wire \slave_data_rdata_to_inter[62] ;
wire \slave_data_rdata_to_inter[63] ;
wire \slave_data_rdata_to_inter[64] ;
wire \slave_data_rdata_to_inter[65] ;
wire \slave_data_rdata_to_inter[66] ;
wire \slave_data_rdata_to_inter[67] ;
wire \slave_data_rdata_to_inter[68] ;
wire \slave_data_rdata_to_inter[69] ;
wire \slave_data_rdata_to_inter[6] ;
wire \slave_data_rdata_to_inter[70] ;
wire \slave_data_rdata_to_inter[71] ;
wire \slave_data_rdata_to_inter[72] ;
wire \slave_data_rdata_to_inter[73] ;
wire \slave_data_rdata_to_inter[74] ;
wire \slave_data_rdata_to_inter[75] ;
wire \slave_data_rdata_to_inter[76] ;
wire \slave_data_rdata_to_inter[77] ;
wire \slave_data_rdata_to_inter[78] ;
wire \slave_data_rdata_to_inter[79] ;
wire \slave_data_rdata_to_inter[7] ;
wire \slave_data_rdata_to_inter[80] ;
wire \slave_data_rdata_to_inter[81] ;
wire \slave_data_rdata_to_inter[82] ;
wire \slave_data_rdata_to_inter[83] ;
wire \slave_data_rdata_to_inter[84] ;
wire \slave_data_rdata_to_inter[85] ;
wire \slave_data_rdata_to_inter[86] ;
wire \slave_data_rdata_to_inter[87] ;
wire \slave_data_rdata_to_inter[88] ;
wire \slave_data_rdata_to_inter[89] ;
wire \slave_data_rdata_to_inter[8] ;
wire \slave_data_rdata_to_inter[90] ;
wire \slave_data_rdata_to_inter[91] ;
wire \slave_data_rdata_to_inter[92] ;
wire \slave_data_rdata_to_inter[93] ;
wire \slave_data_rdata_to_inter[94] ;
wire \slave_data_rdata_to_inter[95] ;
wire \slave_data_rdata_to_inter[9] ;
wire \slave_data_rdata_to_inter_ro[0] ;
wire \slave_data_rdata_to_inter_ro[10] ;
wire \slave_data_rdata_to_inter_ro[11] ;
wire \slave_data_rdata_to_inter_ro[12] ;
wire \slave_data_rdata_to_inter_ro[13] ;
wire \slave_data_rdata_to_inter_ro[14] ;
wire \slave_data_rdata_to_inter_ro[15] ;
wire \slave_data_rdata_to_inter_ro[16] ;
wire \slave_data_rdata_to_inter_ro[17] ;
wire \slave_data_rdata_to_inter_ro[18] ;
wire \slave_data_rdata_to_inter_ro[19] ;
wire \slave_data_rdata_to_inter_ro[1] ;
wire \slave_data_rdata_to_inter_ro[20] ;
wire \slave_data_rdata_to_inter_ro[21] ;
wire \slave_data_rdata_to_inter_ro[22] ;
wire \slave_data_rdata_to_inter_ro[23] ;
wire \slave_data_rdata_to_inter_ro[24] ;
wire \slave_data_rdata_to_inter_ro[25] ;
wire \slave_data_rdata_to_inter_ro[26] ;
wire \slave_data_rdata_to_inter_ro[27] ;
wire \slave_data_rdata_to_inter_ro[28] ;
wire \slave_data_rdata_to_inter_ro[29] ;
wire \slave_data_rdata_to_inter_ro[2] ;
wire \slave_data_rdata_to_inter_ro[30] ;
wire \slave_data_rdata_to_inter_ro[31] ;
wire \slave_data_rdata_to_inter_ro[32] ;
wire \slave_data_rdata_to_inter_ro[33] ;
wire \slave_data_rdata_to_inter_ro[34] ;
wire \slave_data_rdata_to_inter_ro[35] ;
wire \slave_data_rdata_to_inter_ro[36] ;
wire \slave_data_rdata_to_inter_ro[37] ;
wire \slave_data_rdata_to_inter_ro[38] ;
wire \slave_data_rdata_to_inter_ro[39] ;
wire \slave_data_rdata_to_inter_ro[3] ;
wire \slave_data_rdata_to_inter_ro[40] ;
wire \slave_data_rdata_to_inter_ro[41] ;
wire \slave_data_rdata_to_inter_ro[42] ;
wire \slave_data_rdata_to_inter_ro[43] ;
wire \slave_data_rdata_to_inter_ro[44] ;
wire \slave_data_rdata_to_inter_ro[45] ;
wire \slave_data_rdata_to_inter_ro[46] ;
wire \slave_data_rdata_to_inter_ro[47] ;
wire \slave_data_rdata_to_inter_ro[48] ;
wire \slave_data_rdata_to_inter_ro[49] ;
wire \slave_data_rdata_to_inter_ro[4] ;
wire \slave_data_rdata_to_inter_ro[50] ;
wire \slave_data_rdata_to_inter_ro[51] ;
wire \slave_data_rdata_to_inter_ro[52] ;
wire \slave_data_rdata_to_inter_ro[53] ;
wire \slave_data_rdata_to_inter_ro[54] ;
wire \slave_data_rdata_to_inter_ro[55] ;
wire \slave_data_rdata_to_inter_ro[56] ;
wire \slave_data_rdata_to_inter_ro[57] ;
wire \slave_data_rdata_to_inter_ro[58] ;
wire \slave_data_rdata_to_inter_ro[59] ;
wire \slave_data_rdata_to_inter_ro[5] ;
wire \slave_data_rdata_to_inter_ro[60] ;
wire \slave_data_rdata_to_inter_ro[61] ;
wire \slave_data_rdata_to_inter_ro[62] ;
wire \slave_data_rdata_to_inter_ro[63] ;
wire \slave_data_rdata_to_inter_ro[6] ;
wire \slave_data_rdata_to_inter_ro[7] ;
wire \slave_data_rdata_to_inter_ro[8] ;
wire \slave_data_rdata_to_inter_ro[9] ;
wire \slave_data_req_to_inter[0] ;
wire \slave_data_req_to_inter[1] ;
wire \slave_data_req_to_inter[2] ;
wire \slave_data_req_to_inter_ro[0] ;
wire \slave_data_req_to_inter_ro[1] ;
wire \slave_data_rvalid[0] ;
wire \slave_data_rvalid[1] ;
wire \slave_data_rvalid[2] ;
wire slave_data_rvalid_peri1_i;
wire \slave_data_rvalid_read[0] ;
wire \slave_data_rvalid_read[1] ;
wire \slave_data_rvalid_read[2] ;
wire \slave_data_rvalid_write[0] ;
wire \slave_data_rvalid_write[1] ;
wire \slave_data_rvalid_write[2] ;
wire \slave_data_wdata_to_inter[0] ;
wire \slave_data_wdata_to_inter[10] ;
wire \slave_data_wdata_to_inter[11] ;
wire \slave_data_wdata_to_inter[12] ;
wire \slave_data_wdata_to_inter[13] ;
wire \slave_data_wdata_to_inter[14] ;
wire \slave_data_wdata_to_inter[15] ;
wire \slave_data_wdata_to_inter[16] ;
wire \slave_data_wdata_to_inter[17] ;
wire \slave_data_wdata_to_inter[18] ;
wire \slave_data_wdata_to_inter[19] ;
wire \slave_data_wdata_to_inter[1] ;
wire \slave_data_wdata_to_inter[20] ;
wire \slave_data_wdata_to_inter[21] ;
wire \slave_data_wdata_to_inter[22] ;
wire \slave_data_wdata_to_inter[23] ;
wire \slave_data_wdata_to_inter[24] ;
wire \slave_data_wdata_to_inter[25] ;
wire \slave_data_wdata_to_inter[26] ;
wire \slave_data_wdata_to_inter[27] ;
wire \slave_data_wdata_to_inter[28] ;
wire \slave_data_wdata_to_inter[29] ;
wire \slave_data_wdata_to_inter[2] ;
wire \slave_data_wdata_to_inter[30] ;
wire \slave_data_wdata_to_inter[31] ;
wire \slave_data_wdata_to_inter[32] ;
wire \slave_data_wdata_to_inter[33] ;
wire \slave_data_wdata_to_inter[34] ;
wire \slave_data_wdata_to_inter[35] ;
wire \slave_data_wdata_to_inter[36] ;
wire \slave_data_wdata_to_inter[37] ;
wire \slave_data_wdata_to_inter[38] ;
wire \slave_data_wdata_to_inter[39] ;
wire \slave_data_wdata_to_inter[3] ;
wire \slave_data_wdata_to_inter[40] ;
wire \slave_data_wdata_to_inter[41] ;
wire \slave_data_wdata_to_inter[42] ;
wire \slave_data_wdata_to_inter[43] ;
wire \slave_data_wdata_to_inter[44] ;
wire \slave_data_wdata_to_inter[45] ;
wire \slave_data_wdata_to_inter[46] ;
wire \slave_data_wdata_to_inter[47] ;
wire \slave_data_wdata_to_inter[48] ;
wire \slave_data_wdata_to_inter[49] ;
wire \slave_data_wdata_to_inter[4] ;
wire \slave_data_wdata_to_inter[50] ;
wire \slave_data_wdata_to_inter[51] ;
wire \slave_data_wdata_to_inter[52] ;
wire \slave_data_wdata_to_inter[53] ;
wire \slave_data_wdata_to_inter[54] ;
wire \slave_data_wdata_to_inter[55] ;
wire \slave_data_wdata_to_inter[56] ;
wire \slave_data_wdata_to_inter[57] ;
wire \slave_data_wdata_to_inter[58] ;
wire \slave_data_wdata_to_inter[59] ;
wire \slave_data_wdata_to_inter[5] ;
wire \slave_data_wdata_to_inter[60] ;
wire \slave_data_wdata_to_inter[61] ;
wire \slave_data_wdata_to_inter[62] ;
wire \slave_data_wdata_to_inter[63] ;
wire \slave_data_wdata_to_inter[64] ;
wire \slave_data_wdata_to_inter[65] ;
wire \slave_data_wdata_to_inter[66] ;
wire \slave_data_wdata_to_inter[67] ;
wire \slave_data_wdata_to_inter[68] ;
wire \slave_data_wdata_to_inter[69] ;
wire \slave_data_wdata_to_inter[6] ;
wire \slave_data_wdata_to_inter[70] ;
wire \slave_data_wdata_to_inter[71] ;
wire \slave_data_wdata_to_inter[72] ;
wire \slave_data_wdata_to_inter[73] ;
wire \slave_data_wdata_to_inter[74] ;
wire \slave_data_wdata_to_inter[75] ;
wire \slave_data_wdata_to_inter[76] ;
wire \slave_data_wdata_to_inter[77] ;
wire \slave_data_wdata_to_inter[78] ;
wire \slave_data_wdata_to_inter[79] ;
wire \slave_data_wdata_to_inter[7] ;
wire \slave_data_wdata_to_inter[80] ;
wire \slave_data_wdata_to_inter[81] ;
wire \slave_data_wdata_to_inter[82] ;
wire \slave_data_wdata_to_inter[83] ;
wire \slave_data_wdata_to_inter[84] ;
wire \slave_data_wdata_to_inter[85] ;
wire \slave_data_wdata_to_inter[86] ;
wire \slave_data_wdata_to_inter[87] ;
wire \slave_data_wdata_to_inter[88] ;
wire \slave_data_wdata_to_inter[89] ;
wire \slave_data_wdata_to_inter[8] ;
wire \slave_data_wdata_to_inter[90] ;
wire \slave_data_wdata_to_inter[91] ;
wire \slave_data_wdata_to_inter[92] ;
wire \slave_data_wdata_to_inter[93] ;
wire \slave_data_wdata_to_inter[94] ;
wire \slave_data_wdata_to_inter[95] ;
wire \slave_data_wdata_to_inter[9] ;
wire \slave_data_we_to_inter[0] ;
wire \slave_data_we_to_inter[1] ;
wire \slave_data_we_to_inter[2] ;
output txd_uart;
output txd_uart_to_mem;
input wb_clk_i;
input wb_rst_i;
output wbs_ack_o;
input [31:0] wbs_adr_i;
input wbs_cyc_i;
input [31:0] wbs_dat_i;
output [31:0] wbs_dat_o;
input [3:0] wbs_sel_i;
input wbs_stb_i;
input wbs_we_i;
sky130_fd_sc_hd__inv_2 _203_ (
.a(wb_rst_i),
.y(_012_)
);
sky130_fd_sc_hd__buf_1 _204_ (
.a(_012_),
.x(reset_ni)
);
sky130_fd_sc_hd__inv_2 _205_ (
.a(\slave_data_we_to_inter[1] ),
.y(_001_)
);
sky130_fd_sc_hd__and3_2 _206_ (
.a(reset_ni),
.b(\slave_data_req_to_inter[1] ),
.c(_001_),
.x(_013_)
);
sky130_fd_sc_hd__buf_1 _207_ (
.a(_013_),
.x(_010_)
);
sky130_fd_sc_hd__and3_2 _208_ (
.a(reset_ni),
.b(\slave_data_req_to_inter[0] ),
.c(\slave_data_we_to_inter[0] ),
.x(_014_)
);
sky130_fd_sc_hd__buf_1 _209_ (
.a(_014_),
.x(_009_)
);
sky130_fd_sc_hd__and3_2 _210_ (
.a(reset_ni),
.b(\slave_data_req_to_inter[1] ),
.c(\slave_data_we_to_inter[1] ),
.x(_015_)
);
sky130_fd_sc_hd__buf_1 _211_ (
.a(_015_),
.x(_008_)
);
sky130_fd_sc_hd__and4b_2 _212_ (
.a_n(\slave_data_we_to_inter[2] ),
.b(slave_data_rvalid_peri1_i),
.c(_012_),
.d(\slave_data_req_to_inter[2] ),
.x(_016_)
);
sky130_fd_sc_hd__buf_1 _213_ (
.a(_016_),
.x(_007_)
);
sky130_fd_sc_hd__and4_2 _214_ (
.a(_012_),
.b(slave_data_rvalid_peri1_i),
.c(\slave_data_we_to_inter[2] ),
.d(\slave_data_req_to_inter[2] ),
.x(_017_)
);
sky130_fd_sc_hd__buf_1 _215_ (
.a(_017_),
.x(_006_)
);
sky130_fd_sc_hd__inv_2 _216_ (
.a(\slave_data_req_to_inter[0] ),
.y(_002_)
);
sky130_fd_sc_hd__inv_2 _217_ (
.a(\slave_data_req_to_inter_ro[0] ),
.y(_003_)
);
sky130_fd_sc_hd__inv_2 _218_ (
.a(\slave_data_req_to_inter[1] ),
.y(_004_)
);
sky130_fd_sc_hd__inv_2 _219_ (
.a(\slave_data_req_to_inter_ro[1] ),
.y(_005_)
);
sky130_fd_sc_hd__inv_2 _220_ (
.a(\slave_data_we_to_inter[0] ),
.y(_000_)
);
sky130_fd_sc_hd__and2_2 _221_ (
.a(wbs_cyc_i),
.b(wbs_stb_i),
.x(_018_)
);
sky130_fd_sc_hd__buf_1 _222_ (
.a(_018_),
.x(ext_data_req_i)
);
sky130_fd_sc_hd__or2_2 _223_ (
.a(\slave_data_rvalid_read[0] ),
.b(\slave_data_rvalid_write[0] ),
.x(_019_)
);
sky130_fd_sc_hd__buf_1 _224_ (
.a(_019_),
.x(\slave_data_rvalid[0] )
);
sky130_fd_sc_hd__or2_2 _225_ (
.a(\slave_data_rvalid_read[1] ),
.b(\slave_data_rvalid_write[1] ),
.x(_020_)
);
sky130_fd_sc_hd__buf_1 _226_ (
.a(_020_),
.x(\slave_data_rvalid[1] )
);
sky130_fd_sc_hd__or2_2 _227_ (
.a(\slave_data_rvalid_read[2] ),
.b(\slave_data_rvalid_write[2] ),
.x(_021_)
);
sky130_fd_sc_hd__buf_1 _228_ (
.a(_021_),
.x(\slave_data_rvalid[2] )
);
sky130_fd_sc_hd__and3_2 _229_ (
.a(_012_),
.b(\slave_data_req_to_inter[0] ),
.c(_000_),
.x(_022_)
);
sky130_fd_sc_hd__buf_1 _230_ (
.a(_022_),
.x(_011_)
);
sky130_fd_sc_hd__conb_1 _231_ (
.hi(_105_)
);
sky130_fd_sc_hd__conb_1 _232_ (
.hi(_106_)
);
sky130_fd_sc_hd__conb_1 _233_ (
.hi(_107_)
);
sky130_fd_sc_hd__conb_1 _234_ (
.hi(_108_)
);
sky130_fd_sc_hd__conb_1 _235_ (
.hi(_109_)
);
sky130_fd_sc_hd__conb_1 _236_ (
.hi(_110_)
);
sky130_fd_sc_hd__conb_1 _237_ (
.hi(_111_)
);
sky130_fd_sc_hd__conb_1 _238_ (
.lo(_112_)
);
sky130_fd_sc_hd__conb_1 _239_ (
.lo(_113_)
);
sky130_fd_sc_hd__conb_1 _240_ (
.lo(_114_)
);
sky130_fd_sc_hd__conb_1 _241_ (
.lo(_115_)
);
sky130_fd_sc_hd__conb_1 _242_ (
.lo(_116_)
);
sky130_fd_sc_hd__conb_1 _243_ (
.lo(_117_)
);
sky130_fd_sc_hd__conb_1 _244_ (
.lo(_118_)
);
sky130_fd_sc_hd__conb_1 _245_ (
.lo(_119_)
);
sky130_fd_sc_hd__conb_1 _246_ (
.lo(_120_)
);
sky130_fd_sc_hd__conb_1 _247_ (
.lo(_121_)
);
sky130_fd_sc_hd__conb_1 _248_ (
.lo(_122_)
);
sky130_fd_sc_hd__conb_1 _249_ (
.lo(_123_)
);
sky130_fd_sc_hd__conb_1 _250_ (
.lo(_124_)
);
sky130_fd_sc_hd__conb_1 _251_ (
.lo(_125_)
);
sky130_fd_sc_hd__conb_1 _252_ (
.lo(_126_)
);
sky130_fd_sc_hd__conb_1 _253_ (
.lo(_127_)
);
sky130_fd_sc_hd__conb_1 _254_ (
.lo(_128_)
);
sky130_fd_sc_hd__conb_1 _255_ (
.lo(_129_)
);
sky130_fd_sc_hd__conb_1 _256_ (
.lo(_130_)
);
sky130_fd_sc_hd__conb_1 _257_ (
.lo(_131_)
);
sky130_fd_sc_hd__conb_1 _258_ (
.lo(_132_)
);
sky130_fd_sc_hd__conb_1 _259_ (
.lo(_133_)
);
sky130_fd_sc_hd__conb_1 _260_ (
.lo(_134_)
);
sky130_fd_sc_hd__conb_1 _261_ (
.lo(_135_)
);
sky130_fd_sc_hd__conb_1 _262_ (
.lo(_136_)
);
sky130_fd_sc_hd__conb_1 _263_ (
.lo(_137_)
);
sky130_fd_sc_hd__conb_1 _264_ (
.lo(_138_)
);
sky130_fd_sc_hd__conb_1 _265_ (
.lo(_139_)
);
sky130_fd_sc_hd__conb_1 _266_ (
.lo(_140_)
);
sky130_fd_sc_hd__conb_1 _267_ (
.lo(_141_)
);
sky130_fd_sc_hd__conb_1 _268_ (
.lo(_142_)
);
sky130_fd_sc_hd__conb_1 _269_ (
.lo(_143_)
);
sky130_fd_sc_hd__conb_1 _270_ (
.lo(_144_)
);
sky130_fd_sc_hd__conb_1 _271_ (
.lo(_145_)
);
sky130_fd_sc_hd__conb_1 _272_ (
.lo(_146_)
);
sky130_fd_sc_hd__conb_1 _273_ (
.lo(_147_)
);
sky130_fd_sc_hd__conb_1 _274_ (
.lo(_148_)
);
sky130_fd_sc_hd__conb_1 _275_ (
.lo(_149_)
);
sky130_fd_sc_hd__conb_1 _276_ (
.lo(_150_)
);
sky130_fd_sc_hd__conb_1 _277_ (
.lo(_151_)
);
sky130_fd_sc_hd__conb_1 _278_ (
.lo(_152_)
);
sky130_fd_sc_hd__conb_1 _279_ (
.lo(_153_)
);
sky130_fd_sc_hd__conb_1 _280_ (
.lo(_154_)
);
sky130_fd_sc_hd__conb_1 _281_ (
.lo(_155_)
);
sky130_fd_sc_hd__conb_1 _282_ (
.lo(_156_)
);
sky130_fd_sc_hd__conb_1 _283_ (
.lo(_157_)
);
sky130_fd_sc_hd__conb_1 _284_ (
.lo(_158_)
);
sky130_fd_sc_hd__conb_1 _285_ (
.lo(_159_)
);
sky130_fd_sc_hd__conb_1 _286_ (
.lo(_160_)
);
sky130_fd_sc_hd__conb_1 _287_ (
.lo(_161_)
);
sky130_fd_sc_hd__conb_1 _288_ (
.lo(_162_)
);
sky130_fd_sc_hd__conb_1 _289_ (
.lo(_163_)
);
sky130_fd_sc_hd__conb_1 _290_ (
.lo(_164_)
);
sky130_fd_sc_hd__conb_1 _291_ (
.lo(_165_)
);
sky130_fd_sc_hd__conb_1 _292_ (
.lo(_166_)
);
sky130_fd_sc_hd__conb_1 _293_ (
.lo(_167_)
);
sky130_fd_sc_hd__conb_1 _294_ (
.lo(_168_)
);
sky130_fd_sc_hd__conb_1 _295_ (
.lo(_169_)
);
sky130_fd_sc_hd__conb_1 _296_ (
.lo(_170_)
);
sky130_fd_sc_hd__conb_1 _297_ (
.lo(_171_)
);
sky130_fd_sc_hd__conb_1 _298_ (
.lo(_172_)
);
sky130_fd_sc_hd__conb_1 _299_ (
.lo(_173_)
);
sky130_fd_sc_hd__conb_1 _300_ (
.lo(_174_)
);
sky130_fd_sc_hd__conb_1 _301_ (
.lo(_175_)
);
sky130_fd_sc_hd__conb_1 _302_ (
.lo(_176_)
);
sky130_fd_sc_hd__conb_1 _303_ (
.lo(_177_)
);
sky130_fd_sc_hd__conb_1 _304_ (
.lo(_178_)
);
sky130_fd_sc_hd__conb_1 _305_ (
.lo(_179_)
);
sky130_fd_sc_hd__conb_1 _306_ (
.lo(_180_)
);
sky130_fd_sc_hd__conb_1 _307_ (
.lo(_181_)
);
sky130_fd_sc_hd__conb_1 _308_ (
.lo(_182_)
);
sky130_fd_sc_hd__conb_1 _309_ (
.lo(_183_)
);
sky130_fd_sc_hd__conb_1 _310_ (
.lo(_184_)
);
sky130_fd_sc_hd__conb_1 _311_ (
.lo(_185_)
);
sky130_fd_sc_hd__conb_1 _312_ (
.lo(_186_)
);
sky130_fd_sc_hd__conb_1 _313_ (
.lo(_187_)
);
sky130_fd_sc_hd__conb_1 _314_ (
.lo(_188_)
);
sky130_fd_sc_hd__conb_1 _315_ (
.lo(_189_)
);
sky130_fd_sc_hd__conb_1 _316_ (
.lo(_190_)
);
sky130_fd_sc_hd__conb_1 _317_ (
.lo(_191_)
);
sky130_fd_sc_hd__conb_1 _318_ (
.lo(_192_)
);
sky130_fd_sc_hd__conb_1 _319_ (
.lo(_193_)
);
sky130_fd_sc_hd__conb_1 _320_ (
.lo(_194_)
);
sky130_fd_sc_hd__conb_1 _321_ (
.lo(_195_)
);
sky130_fd_sc_hd__conb_1 _322_ (
.lo(_196_)
);
sky130_fd_sc_hd__conb_1 _323_ (
.lo(_197_)
);
sky130_fd_sc_hd__conb_1 _324_ (
.lo(_198_)
);
sky130_fd_sc_hd__conb_1 _325_ (
.lo(_199_)
);
sky130_fd_sc_hd__conb_1 _326_ (
.lo(_200_)
);
sky130_fd_sc_hd__conb_1 _327_ (
.lo(_201_)
);
sky130_fd_sc_hd__dfxtp_2 _328_ (
.clk(wb_clk_i),
.d(_006_),
.q(\slave_data_rvalid_write[2] )
);
sky130_fd_sc_hd__dfxtp_2 _329_ (
.clk(wb_clk_i),
.d(_007_),
.q(\slave_data_rvalid_read[2] )
);
sky130_fd_sc_hd__dfxtp_2 _330_ (
.clk(wb_clk_i),
.d(_008_),
.q(\slave_data_rvalid_write[1] )
);
sky130_fd_sc_hd__dfxtp_2 _331_ (
.clk(wb_clk_i),
.d(_009_),
.q(\slave_data_rvalid_write[0] )
);
sky130_fd_sc_hd__dfxtp_2 _332_ (
.clk(wb_clk_i),
.d(_010_),
.q(\slave_data_rvalid_read[1] )
);
sky130_fd_sc_hd__dfxtp_2 _333_ (
.clk(wb_clk_i),
.d(_011_),
.q(\slave_data_rvalid_read[0] )
);
ibex_core ibex_core_1 (
.boot_addr_i({ _143_, _142_, _141_, _140_, _139_, _138_, _137_, _136_, _135_, _134_, _133_, _132_, _131_, _130_, _129_, _128_, _127_, _126_, _125_, _124_, _123_, _122_, _121_, _120_, _119_, _118_, _117_, _116_, _115_, _114_, _113_, _112_ }),
.clk_i(wb_clk_i),
.cluster_id_i({ _149_, _148_, _147_, _146_, _145_, _144_ }),
.core_id_i({ _153_, _152_, _151_, _150_ }),
.data_addr_o({ _095_, _094_, _093_, _092_, _091_, _090_, _089_, _088_, _087_, _086_, _104_, _103_, _102_, _101_, _100_, _099_, _098_, _097_, _096_, _085_, \master_data_addr_to_inter[11] , \master_data_addr_to_inter[10] , \master_data_addr_to_inter[9] , \master_data_addr_to_inter[8] , \master_data_addr_to_inter[7] , \master_data_addr_to_inter[6] , \master_data_addr_to_inter[5] , \master_data_addr_to_inter[4] , \master_data_addr_to_inter[3] , \master_data_addr_to_inter[2] , \master_data_addr_to_inter[1] , \master_data_addr_to_inter[0] }),
.data_be_o({ \master_data_be_to_inter[3] , \master_data_be_to_inter[2] , \master_data_be_to_inter[1] , \master_data_be_to_inter[0] }),
.data_err_i(_154_),
.data_gnt_i(\master_data_gnt_to_inter[0] ),
.data_rdata_i({ \master_data_rdata_to_inter[31] , \master_data_rdata_to_inter[30] , \master_data_rdata_to_inter[29] , \master_data_rdata_to_inter[28] , \master_data_rdata_to_inter[27] , \master_data_rdata_to_inter[26] , \master_data_rdata_to_inter[25] , \master_data_rdata_to_inter[24] , \master_data_rdata_to_inter[23] , \master_data_rdata_to_inter[22] , \master_data_rdata_to_inter[21] , \master_data_rdata_to_inter[20] , \master_data_rdata_to_inter[19] , \master_data_rdata_to_inter[18] , \master_data_rdata_to_inter[17] , \master_data_rdata_to_inter[16] , \master_data_rdata_to_inter[15] , \master_data_rdata_to_inter[14] , \master_data_rdata_to_inter[13] , \master_data_rdata_to_inter[12] , \master_data_rdata_to_inter[11] , \master_data_rdata_to_inter[10] , \master_data_rdata_to_inter[9] , \master_data_rdata_to_inter[8] , \master_data_rdata_to_inter[7] , \master_data_rdata_to_inter[6] , \master_data_rdata_to_inter[5] , \master_data_rdata_to_inter[4] , \master_data_rdata_to_inter[3] , \master_data_rdata_to_inter[2] , \master_data_rdata_to_inter[1] , \master_data_rdata_to_inter[0] }),
.data_req_o(\master_data_req_to_inter[0] ),
.data_rvalid_i(\master_data_rvalid_to_inter[0] ),
.data_wdata_o({ \master_data_wdata_to_inter[31] , \master_data_wdata_to_inter[30] , \master_data_wdata_to_inter[29] , \master_data_wdata_to_inter[28] , \master_data_wdata_to_inter[27] , \master_data_wdata_to_inter[26] , \master_data_wdata_to_inter[25] , \master_data_wdata_to_inter[24] , \master_data_wdata_to_inter[23] , \master_data_wdata_to_inter[22] , \master_data_wdata_to_inter[21] , \master_data_wdata_to_inter[20] , \master_data_wdata_to_inter[19] , \master_data_wdata_to_inter[18] , \master_data_wdata_to_inter[17] , \master_data_wdata_to_inter[16] , \master_data_wdata_to_inter[15] , \master_data_wdata_to_inter[14] , \master_data_wdata_to_inter[13] , \master_data_wdata_to_inter[12] , \master_data_wdata_to_inter[11] , \master_data_wdata_to_inter[10] , \master_data_wdata_to_inter[9] , \master_data_wdata_to_inter[8] , \master_data_wdata_to_inter[7] , \master_data_wdata_to_inter[6] , \master_data_wdata_to_inter[5] , \master_data_wdata_to_inter[4] , \master_data_wdata_to_inter[3] , \master_data_wdata_to_inter[2] , \master_data_wdata_to_inter[1] , \master_data_wdata_to_inter[0] }),
.data_we_o(\master_data_we_to_inter[0] ),
.debug_req_i(debug_req_1_i),
.efpga_delay_o(efpga_delay_1_o),
.efpga_en_o(efpga_en_1_o),
.efpga_fpga_done_i(efpga_fpga_done_1_i),
.efpga_operand_a_o(efpga_operand_a_1_o),
.efpga_operand_b_o(efpga_operand_b_1_o),
.efpga_operator_o(efpga_operator_1_o),
.efpga_result_a_i(efpga_result_a_1_i),
.efpga_result_b_i(efpga_result_b_1_i),
.efpga_result_c_i(efpga_result_c_1_i),
.efpga_write_strobe_o(efpga_write_strobe_1_o),
.ext_perf_counters_i(_155_),
.fetch_enable_i(fetch_enable_1_i),
.instr_addr_o({ _076_, _074_, _073_, _072_, _071_, _070_, _069_, _068_, _067_, _066_, _065_, _084_, _083_, _082_, _081_, _080_, _079_, _078_, _077_, _075_, _064_, \master_data_addr_to_inter_ro[10] , \master_data_addr_to_inter_ro[9] , \master_data_addr_to_inter_ro[8] , \master_data_addr_to_inter_ro[7] , \master_data_addr_to_inter_ro[6] , \master_data_addr_to_inter_ro[5] , \master_data_addr_to_inter_ro[4] , \master_data_addr_to_inter_ro[3] , \master_data_addr_to_inter_ro[2] , \master_data_addr_to_inter_ro[1] , \master_data_addr_to_inter_ro[0] }),
.instr_gnt_i(\master_data_gnt_to_inter_ro[0] ),
.instr_rdata_i({ \master_data_rdata_to_inter_ro[31] , \master_data_rdata_to_inter_ro[30] , \master_data_rdata_to_inter_ro[29] , \master_data_rdata_to_inter_ro[28] , \master_data_rdata_to_inter_ro[27] , \master_data_rdata_to_inter_ro[26] , \master_data_rdata_to_inter_ro[25] , \master_data_rdata_to_inter_ro[24] , \master_data_rdata_to_inter_ro[23] , \master_data_rdata_to_inter_ro[22] , \master_data_rdata_to_inter_ro[21] , \master_data_rdata_to_inter_ro[20] , \master_data_rdata_to_inter_ro[19] , \master_data_rdata_to_inter_ro[18] , \master_data_rdata_to_inter_ro[17] , \master_data_rdata_to_inter_ro[16] , \master_data_rdata_to_inter_ro[15] , \master_data_rdata_to_inter_ro[14] , \master_data_rdata_to_inter_ro[13] , \master_data_rdata_to_inter_ro[12] , \master_data_rdata_to_inter_ro[11] , \master_data_rdata_to_inter_ro[10] , \master_data_rdata_to_inter_ro[9] , \master_data_rdata_to_inter_ro[8] , \master_data_rdata_to_inter_ro[7] , \master_data_rdata_to_inter_ro[6] , \master_data_rdata_to_inter_ro[5] , \master_data_rdata_to_inter_ro[4] , \master_data_rdata_to_inter_ro[3] , \master_data_rdata_to_inter_ro[2] , \master_data_rdata_to_inter_ro[1] , \master_data_rdata_to_inter_ro[0] }),
.instr_req_o(\master_data_req_to_inter_ro[0] ),
.instr_rvalid_i(\master_data_rvalid_to_inter_ro[0] ),
.irq_ack_o(irq_ack_1_o),
.irq_i(irq_1_i),
.irq_id_i(irq_id_1_i),
.irq_id_o(irq_id_1_o),
.rst_ni(reset_ni),
.test_en_i(_105_)
);
ibex_core ibex_core_2 (
.boot_addr_i({ _187_, _186_, _185_, _184_, _183_, _182_, _181_, _180_, _179_, _178_, _177_, _176_, _175_, _174_, _173_, _172_, _171_, _170_, _169_, _168_, _167_, _166_, _165_, _164_, _163_, _162_, _161_, _160_, _159_, _158_, _157_, _156_ }),
.clk_i(wb_clk_i),
.cluster_id_i({ _193_, _192_, _191_, _190_, _189_, _188_ }),
.core_id_i({ _196_, _195_, _194_, _106_ }),
.data_addr_o({ _054_, _053_, _052_, _051_, _050_, _049_, _048_, _047_, _046_, _045_, _063_, _062_, _061_, _060_, _059_, _058_, _057_, _056_, _055_, _044_, \master_data_addr_to_inter[23] , \master_data_addr_to_inter[22] , \master_data_addr_to_inter[21] , \master_data_addr_to_inter[20] , \master_data_addr_to_inter[19] , \master_data_addr_to_inter[18] , \master_data_addr_to_inter[17] , \master_data_addr_to_inter[16] , \master_data_addr_to_inter[15] , \master_data_addr_to_inter[14] , \master_data_addr_to_inter[13] , \master_data_addr_to_inter[12] }),
.data_be_o({ \master_data_be_to_inter[7] , \master_data_be_to_inter[6] , \master_data_be_to_inter[5] , \master_data_be_to_inter[4] }),
.data_err_i(_197_),
.data_gnt_i(\master_data_gnt_to_inter[1] ),
.data_rdata_i({ \master_data_rdata_to_inter[63] , \master_data_rdata_to_inter[62] , \master_data_rdata_to_inter[61] , \master_data_rdata_to_inter[60] , \master_data_rdata_to_inter[59] , \master_data_rdata_to_inter[58] , \master_data_rdata_to_inter[57] , \master_data_rdata_to_inter[56] , \master_data_rdata_to_inter[55] , \master_data_rdata_to_inter[54] , \master_data_rdata_to_inter[53] , \master_data_rdata_to_inter[52] , \master_data_rdata_to_inter[51] , \master_data_rdata_to_inter[50] , \master_data_rdata_to_inter[49] , \master_data_rdata_to_inter[48] , \master_data_rdata_to_inter[47] , \master_data_rdata_to_inter[46] , \master_data_rdata_to_inter[45] , \master_data_rdata_to_inter[44] , \master_data_rdata_to_inter[43] , \master_data_rdata_to_inter[42] , \master_data_rdata_to_inter[41] , \master_data_rdata_to_inter[40] , \master_data_rdata_to_inter[39] , \master_data_rdata_to_inter[38] , \master_data_rdata_to_inter[37] , \master_data_rdata_to_inter[36] , \master_data_rdata_to_inter[35] , \master_data_rdata_to_inter[34] , \master_data_rdata_to_inter[33] , \master_data_rdata_to_inter[32] }),
.data_req_o(\master_data_req_to_inter[1] ),
.data_rvalid_i(\master_data_rvalid_to_inter[1] ),
.data_wdata_o({ \master_data_wdata_to_inter[63] , \master_data_wdata_to_inter[62] , \master_data_wdata_to_inter[61] , \master_data_wdata_to_inter[60] , \master_data_wdata_to_inter[59] , \master_data_wdata_to_inter[58] , \master_data_wdata_to_inter[57] , \master_data_wdata_to_inter[56] , \master_data_wdata_to_inter[55] , \master_data_wdata_to_inter[54] , \master_data_wdata_to_inter[53] , \master_data_wdata_to_inter[52] , \master_data_wdata_to_inter[51] , \master_data_wdata_to_inter[50] , \master_data_wdata_to_inter[49] , \master_data_wdata_to_inter[48] , \master_data_wdata_to_inter[47] , \master_data_wdata_to_inter[46] , \master_data_wdata_to_inter[45] , \master_data_wdata_to_inter[44] , \master_data_wdata_to_inter[43] , \master_data_wdata_to_inter[42] , \master_data_wdata_to_inter[41] , \master_data_wdata_to_inter[40] , \master_data_wdata_to_inter[39] , \master_data_wdata_to_inter[38] , \master_data_wdata_to_inter[37] , \master_data_wdata_to_inter[36] , \master_data_wdata_to_inter[35] , \master_data_wdata_to_inter[34] , \master_data_wdata_to_inter[33] , \master_data_wdata_to_inter[32] }),
.data_we_o(\master_data_we_to_inter[1] ),
.debug_req_i(debug_req_2_i),
.efpga_delay_o(efpga_delay_2_o),
.efpga_en_o(efpga_en_2_o),
.efpga_fpga_done_i(efpga_fpga_done_2_i),
.efpga_operand_a_o(efpga_operand_a_2_o),
.efpga_operand_b_o(efpga_operand_b_2_o),
.efpga_operator_o(efpga_operator_2_o),
.efpga_result_a_i(efpga_result_a_2_i),
.efpga_result_b_i(efpga_result_b_2_i),
.efpga_result_c_i(efpga_result_c_2_i),
.efpga_write_strobe_o(efpga_write_strobe_2_o),
.ext_perf_counters_i(_198_),
.fetch_enable_i(fetch_enable_2_i),
.instr_addr_o({ _035_, _033_, _032_, _031_, _030_, _029_, _028_, _027_, _026_, _025_, _024_, _043_, _042_, _041_, _040_, _039_, _038_, _037_, _036_, _034_, _023_, \master_data_addr_to_inter_ro[21] , \master_data_addr_to_inter_ro[20] , \master_data_addr_to_inter_ro[19] , \master_data_addr_to_inter_ro[18] , \master_data_addr_to_inter_ro[17] , \master_data_addr_to_inter_ro[16] , \master_data_addr_to_inter_ro[15] , \master_data_addr_to_inter_ro[14] , \master_data_addr_to_inter_ro[13] , \master_data_addr_to_inter_ro[12] , \master_data_addr_to_inter_ro[11] }),
.instr_gnt_i(\master_data_gnt_to_inter_ro[1] ),
.instr_rdata_i({ \master_data_rdata_to_inter_ro[63] , \master_data_rdata_to_inter_ro[62] , \master_data_rdata_to_inter_ro[61] , \master_data_rdata_to_inter_ro[60] , \master_data_rdata_to_inter_ro[59] , \master_data_rdata_to_inter_ro[58] , \master_data_rdata_to_inter_ro[57] , \master_data_rdata_to_inter_ro[56] , \master_data_rdata_to_inter_ro[55] , \master_data_rdata_to_inter_ro[54] , \master_data_rdata_to_inter_ro[53] , \master_data_rdata_to_inter_ro[52] , \master_data_rdata_to_inter_ro[51] , \master_data_rdata_to_inter_ro[50] , \master_data_rdata_to_inter_ro[49] , \master_data_rdata_to_inter_ro[48] , \master_data_rdata_to_inter_ro[47] , \master_data_rdata_to_inter_ro[46] , \master_data_rdata_to_inter_ro[45] , \master_data_rdata_to_inter_ro[44] , \master_data_rdata_to_inter_ro[43] , \master_data_rdata_to_inter_ro[42] , \master_data_rdata_to_inter_ro[41] , \master_data_rdata_to_inter_ro[40] , \master_data_rdata_to_inter_ro[39] , \master_data_rdata_to_inter_ro[38] , \master_data_rdata_to_inter_ro[37] , \master_data_rdata_to_inter_ro[36] , \master_data_rdata_to_inter_ro[35] , \master_data_rdata_to_inter_ro[34] , \master_data_rdata_to_inter_ro[33] , \master_data_rdata_to_inter_ro[32] }),
.instr_req_o(\master_data_req_to_inter_ro[1] ),
.instr_rvalid_i(\master_data_rvalid_to_inter_ro[1] ),
.irq_ack_o(irq_ack_2_o),
.irq_i(irq_2_i),
.irq_id_i(irq_id_2_i),
.irq_id_o(irq_id_2_o),
.rst_ni(reset_ni),
.test_en_i(_107_)
);
\$paramod$0a362f9b0fdb70e06c940ab2149ff94bc090a42d\inter inter_i (
.clk(wb_clk_i),
.master_data_addr_i({ \master_data_addr_to_inter[47] , \master_data_addr_to_inter[46] , \master_data_addr_to_inter[45] , \master_data_addr_to_inter[44] , \master_data_addr_to_inter[43] , \master_data_addr_to_inter[42] , \master_data_addr_to_inter[41] , \master_data_addr_to_inter[40] , \master_data_addr_to_inter[39] , \master_data_addr_to_inter[38] , \master_data_addr_to_inter[37] , \master_data_addr_to_inter[36] , wbs_dat_i[11:0], \master_data_addr_to_inter[23] , \master_data_addr_to_inter[22] , \master_data_addr_to_inter[21] , \master_data_addr_to_inter[20] , \master_data_addr_to_inter[19] , \master_data_addr_to_inter[18] , \master_data_addr_to_inter[17] , \master_data_addr_to_inter[16] , \master_data_addr_to_inter[15] , \master_data_addr_to_inter[14] , \master_data_addr_to_inter[13] , \master_data_addr_to_inter[12] , \master_data_addr_to_inter[11] , \master_data_addr_to_inter[10] , \master_data_addr_to_inter[9] , \master_data_addr_to_inter[8] , \master_data_addr_to_inter[7] , \master_data_addr_to_inter[6] , \master_data_addr_to_inter[5] , \master_data_addr_to_inter[4] , \master_data_addr_to_inter[3] , \master_data_addr_to_inter[2] , \master_data_addr_to_inter[1] , \master_data_addr_to_inter[0] }),
.master_data_be_i({ \master_data_be_to_inter[15] , \master_data_be_to_inter[14] , \master_data_be_to_inter[13] , \master_data_be_to_inter[12] , _201_, _200_, _199_, wbs_stb_i, \master_data_be_to_inter[7] , \master_data_be_to_inter[6] , \master_data_be_to_inter[5] , \master_data_be_to_inter[4] , \master_data_be_to_inter[3] , \master_data_be_to_inter[2] , \master_data_be_to_inter[1] , \master_data_be_to_inter[0] }),
.master_data_gnt_o({ \master_data_gnt_to_inter[3] , \master_data_gnt_to_inter[2] , \master_data_gnt_to_inter[1] , \master_data_gnt_to_inter[0] }),
.master_data_rdata_o({ \master_data_rdata_to_inter[127] , \master_data_rdata_to_inter[126] , \master_data_rdata_to_inter[125] , \master_data_rdata_to_inter[124] , \master_data_rdata_to_inter[123] , \master_data_rdata_to_inter[122] , \master_data_rdata_to_inter[121] , \master_data_rdata_to_inter[120] , \master_data_rdata_to_inter[119] , \master_data_rdata_to_inter[118] , \master_data_rdata_to_inter[117] , \master_data_rdata_to_inter[116] , \master_data_rdata_to_inter[115] , \master_data_rdata_to_inter[114] , \master_data_rdata_to_inter[113] , \master_data_rdata_to_inter[112] , \master_data_rdata_to_inter[111] , \master_data_rdata_to_inter[110] , \master_data_rdata_to_inter[109] , \master_data_rdata_to_inter[108] , \master_data_rdata_to_inter[107] , \master_data_rdata_to_inter[106] , \master_data_rdata_to_inter[105] , \master_data_rdata_to_inter[104] , \master_data_rdata_to_inter[103] , \master_data_rdata_to_inter[102] , \master_data_rdata_to_inter[101] , \master_data_rdata_to_inter[100] , \master_data_rdata_to_inter[99] , \master_data_rdata_to_inter[98] , \master_data_rdata_to_inter[97] , \master_data_rdata_to_inter[96] , wbs_dat_o, \master_data_rdata_to_inter[63] , \master_data_rdata_to_inter[62] , \master_data_rdata_to_inter[61] , \master_data_rdata_to_inter[60] , \master_data_rdata_to_inter[59] , \master_data_rdata_to_inter[58] , \master_data_rdata_to_inter[57] , \master_data_rdata_to_inter[56] , \master_data_rdata_to_inter[55] , \master_data_rdata_to_inter[54] , \master_data_rdata_to_inter[53] , \master_data_rdata_to_inter[52] , \master_data_rdata_to_inter[51] , \master_data_rdata_to_inter[50] , \master_data_rdata_to_inter[49] , \master_data_rdata_to_inter[48] , \master_data_rdata_to_inter[47] , \master_data_rdata_to_inter[46] , \master_data_rdata_to_inter[45] , \master_data_rdata_to_inter[44] , \master_data_rdata_to_inter[43] , \master_data_rdata_to_inter[42] , \master_data_rdata_to_inter[41] , \master_data_rdata_to_inter[40] , \master_data_rdata_to_inter[39] , \master_data_rdata_to_inter[38] , \master_data_rdata_to_inter[37] , \master_data_rdata_to_inter[36] , \master_data_rdata_to_inter[35] , \master_data_rdata_to_inter[34] , \master_data_rdata_to_inter[33] , \master_data_rdata_to_inter[32] , \master_data_rdata_to_inter[31] , \master_data_rdata_to_inter[30] , \master_data_rdata_to_inter[29] , \master_data_rdata_to_inter[28] , \master_data_rdata_to_inter[27] , \master_data_rdata_to_inter[26] , \master_data_rdata_to_inter[25] , \master_data_rdata_to_inter[24] , \master_data_rdata_to_inter[23] , \master_data_rdata_to_inter[22] , \master_data_rdata_to_inter[21] , \master_data_rdata_to_inter[20] , \master_data_rdata_to_inter[19] , \master_data_rdata_to_inter[18] , \master_data_rdata_to_inter[17] , \master_data_rdata_to_inter[16] , \master_data_rdata_to_inter[15] , \master_data_rdata_to_inter[14] , \master_data_rdata_to_inter[13] , \master_data_rdata_to_inter[12] , \master_data_rdata_to_inter[11] , \master_data_rdata_to_inter[10] , \master_data_rdata_to_inter[9] , \master_data_rdata_to_inter[8] , \master_data_rdata_to_inter[7] , \master_data_rdata_to_inter[6] , \master_data_rdata_to_inter[5] , \master_data_rdata_to_inter[4] , \master_data_rdata_to_inter[3] , \master_data_rdata_to_inter[2] , \master_data_rdata_to_inter[1] , \master_data_rdata_to_inter[0] }),
.master_data_req_i({ \master_data_req_to_inter[3] , ext_data_req_i, \master_data_req_to_inter[1] , \master_data_req_to_inter[0] }),
.master_data_rvalid_o({ \master_data_rvalid_to_inter[3] , wbs_ack_o, \master_data_rvalid_to_inter[1] , \master_data_rvalid_to_inter[0] }),
.master_data_wdata_i({ \master_data_wdata_to_inter[127] , \master_data_wdata_to_inter[126] , \master_data_wdata_to_inter[125] , \master_data_wdata_to_inter[124] , \master_data_wdata_to_inter[123] , \master_data_wdata_to_inter[122] , \master_data_wdata_to_inter[121] , \master_data_wdata_to_inter[120] , \master_data_wdata_to_inter[119] , \master_data_wdata_to_inter[118] , \master_data_wdata_to_inter[117] , \master_data_wdata_to_inter[116] , \master_data_wdata_to_inter[115] , \master_data_wdata_to_inter[114] , \master_data_wdata_to_inter[113] , \master_data_wdata_to_inter[112] , \master_data_wdata_to_inter[111] , \master_data_wdata_to_inter[110] , \master_data_wdata_to_inter[109] , \master_data_wdata_to_inter[108] , \master_data_wdata_to_inter[107] , \master_data_wdata_to_inter[106] , \master_data_wdata_to_inter[105] , \master_data_wdata_to_inter[104] , \master_data_wdata_to_inter[103] , \master_data_wdata_to_inter[102] , \master_data_wdata_to_inter[101] , \master_data_wdata_to_inter[100] , \master_data_wdata_to_inter[99] , \master_data_wdata_to_inter[98] , \master_data_wdata_to_inter[97] , \master_data_wdata_to_inter[96] , wbs_dat_i, \master_data_wdata_to_inter[63] , \master_data_wdata_to_inter[62] , \master_data_wdata_to_inter[61] , \master_data_wdata_to_inter[60] , \master_data_wdata_to_inter[59] , \master_data_wdata_to_inter[58] , \master_data_wdata_to_inter[57] , \master_data_wdata_to_inter[56] , \master_data_wdata_to_inter[55] , \master_data_wdata_to_inter[54] , \master_data_wdata_to_inter[53] , \master_data_wdata_to_inter[52] , \master_data_wdata_to_inter[51] , \master_data_wdata_to_inter[50] , \master_data_wdata_to_inter[49] , \master_data_wdata_to_inter[48] , \master_data_wdata_to_inter[47] , \master_data_wdata_to_inter[46] , \master_data_wdata_to_inter[45] , \master_data_wdata_to_inter[44] , \master_data_wdata_to_inter[43] , \master_data_wdata_to_inter[42] , \master_data_wdata_to_inter[41] , \master_data_wdata_to_inter[40] , \master_data_wdata_to_inter[39] , \master_data_wdata_to_inter[38] , \master_data_wdata_to_inter[37] , \master_data_wdata_to_inter[36] , \master_data_wdata_to_inter[35] , \master_data_wdata_to_inter[34] , \master_data_wdata_to_inter[33] , \master_data_wdata_to_inter[32] , \master_data_wdata_to_inter[31] , \master_data_wdata_to_inter[30] , \master_data_wdata_to_inter[29] , \master_data_wdata_to_inter[28] , \master_data_wdata_to_inter[27] , \master_data_wdata_to_inter[26] , \master_data_wdata_to_inter[25] , \master_data_wdata_to_inter[24] , \master_data_wdata_to_inter[23] , \master_data_wdata_to_inter[22] , \master_data_wdata_to_inter[21] , \master_data_wdata_to_inter[20] , \master_data_wdata_to_inter[19] , \master_data_wdata_to_inter[18] , \master_data_wdata_to_inter[17] , \master_data_wdata_to_inter[16] , \master_data_wdata_to_inter[15] , \master_data_wdata_to_inter[14] , \master_data_wdata_to_inter[13] , \master_data_wdata_to_inter[12] , \master_data_wdata_to_inter[11] , \master_data_wdata_to_inter[10] , \master_data_wdata_to_inter[9] , \master_data_wdata_to_inter[8] , \master_data_wdata_to_inter[7] , \master_data_wdata_to_inter[6] , \master_data_wdata_to_inter[5] , \master_data_wdata_to_inter[4] , \master_data_wdata_to_inter[3] , \master_data_wdata_to_inter[2] , \master_data_wdata_to_inter[1] , \master_data_wdata_to_inter[0] }),
.master_data_we_i({ _202_, wbs_we_i, \master_data_we_to_inter[1] , \master_data_we_to_inter[0] }),
.reset(wb_rst_i),
.slave_data_addr_o({ \slave_data_addr_to_inter[29] , \slave_data_addr_to_inter[28] , \slave_data_addr_to_inter[27] , \slave_data_addr_to_inter[26] , \slave_data_addr_to_inter[25] , \slave_data_addr_to_inter[24] , \slave_data_addr_to_inter[23] , \slave_data_addr_to_inter[22] , \slave_data_addr_to_inter[21] , \slave_data_addr_to_inter[20] , \slave_data_addr_to_inter[19] , \slave_data_addr_to_inter[18] , \slave_data_addr_to_inter[17] , \slave_data_addr_to_inter[16] , \slave_data_addr_to_inter[15] , \slave_data_addr_to_inter[14] , \slave_data_addr_to_inter[13] , \slave_data_addr_to_inter[12] , \slave_data_addr_to_inter[11] , \slave_data_addr_to_inter[10] , \slave_data_addr_to_inter[9] , \slave_data_addr_to_inter[8] , \slave_data_addr_to_inter[7] , \slave_data_addr_to_inter[6] , \slave_data_addr_to_inter[5] , \slave_data_addr_to_inter[4] , \slave_data_addr_to_inter[3] , \slave_data_addr_to_inter[2] , \slave_data_addr_to_inter[1] , \slave_data_addr_to_inter[0] }),
.slave_data_be_o({ \slave_data_be_to_inter[11] , \slave_data_be_to_inter[10] , \slave_data_be_to_inter[9] , \slave_data_be_to_inter[8] , \slave_data_be_to_inter[7] , \slave_data_be_to_inter[6] , \slave_data_be_to_inter[5] , \slave_data_be_to_inter[4] , \slave_data_be_to_inter[3] , \slave_data_be_to_inter[2] , \slave_data_be_to_inter[1] , \slave_data_be_to_inter[0] }),
.slave_data_gnt_i({ slave_data_gnt_peri1_i, _109_, _108_ }),
.slave_data_rdata_i({ \slave_data_rdata_to_inter[95] , \slave_data_rdata_to_inter[94] , \slave_data_rdata_to_inter[93] , \slave_data_rdata_to_inter[92] , \slave_data_rdata_to_inter[91] , \slave_data_rdata_to_inter[90] , \slave_data_rdata_to_inter[89] , \slave_data_rdata_to_inter[88] , \slave_data_rdata_to_inter[87] , \slave_data_rdata_to_inter[86] , \slave_data_rdata_to_inter[85] , \slave_data_rdata_to_inter[84] , \slave_data_rdata_to_inter[83] , \slave_data_rdata_to_inter[82] , \slave_data_rdata_to_inter[81] , \slave_data_rdata_to_inter[80] , \slave_data_rdata_to_inter[79] , \slave_data_rdata_to_inter[78] , \slave_data_rdata_to_inter[77] , \slave_data_rdata_to_inter[76] , \slave_data_rdata_to_inter[75] , \slave_data_rdata_to_inter[74] , \slave_data_rdata_to_inter[73] , \slave_data_rdata_to_inter[72] , \slave_data_rdata_to_inter[71] , \slave_data_rdata_to_inter[70] , \slave_data_rdata_to_inter[69] , \slave_data_rdata_to_inter[68] , \slave_data_rdata_to_inter[67] , \slave_data_rdata_to_inter[66] , \slave_data_rdata_to_inter[65] , \slave_data_rdata_to_inter[64] , \slave_data_rdata_to_inter[63] , \slave_data_rdata_to_inter[62] , \slave_data_rdata_to_inter[61] , \slave_data_rdata_to_inter[60] , \slave_data_rdata_to_inter[59] , \slave_data_rdata_to_inter[58] , \slave_data_rdata_to_inter[57] , \slave_data_rdata_to_inter[56] , \slave_data_rdata_to_inter[55] , \slave_data_rdata_to_inter[54] , \slave_data_rdata_to_inter[53] , \slave_data_rdata_to_inter[52] , \slave_data_rdata_to_inter[51] , \slave_data_rdata_to_inter[50] , \slave_data_rdata_to_inter[49] , \slave_data_rdata_to_inter[48] , \slave_data_rdata_to_inter[47] , \slave_data_rdata_to_inter[46] , \slave_data_rdata_to_inter[45] , \slave_data_rdata_to_inter[44] , \slave_data_rdata_to_inter[43] , \slave_data_rdata_to_inter[42] , \slave_data_rdata_to_inter[41] , \slave_data_rdata_to_inter[40] , \slave_data_rdata_to_inter[39] , \slave_data_rdata_to_inter[38] , \slave_data_rdata_to_inter[37] , \slave_data_rdata_to_inter[36] , \slave_data_rdata_to_inter[35] , \slave_data_rdata_to_inter[34] , \slave_data_rdata_to_inter[33] , \slave_data_rdata_to_inter[32] , \slave_data_rdata_to_inter[31] , \slave_data_rdata_to_inter[30] , \slave_data_rdata_to_inter[29] , \slave_data_rdata_to_inter[28] , \slave_data_rdata_to_inter[27] , \slave_data_rdata_to_inter[26] , \slave_data_rdata_to_inter[25] , \slave_data_rdata_to_inter[24] , \slave_data_rdata_to_inter[23] , \slave_data_rdata_to_inter[22] , \slave_data_rdata_to_inter[21] , \slave_data_rdata_to_inter[20] , \slave_data_rdata_to_inter[19] , \slave_data_rdata_to_inter[18] , \slave_data_rdata_to_inter[17] , \slave_data_rdata_to_inter[16] , \slave_data_rdata_to_inter[15] , \slave_data_rdata_to_inter[14] , \slave_data_rdata_to_inter[13] , \slave_data_rdata_to_inter[12] , \slave_data_rdata_to_inter[11] , \slave_data_rdata_to_inter[10] , \slave_data_rdata_to_inter[9] , \slave_data_rdata_to_inter[8] , \slave_data_rdata_to_inter[7] , \slave_data_rdata_to_inter[6] , \slave_data_rdata_to_inter[5] , \slave_data_rdata_to_inter[4] , \slave_data_rdata_to_inter[3] , \slave_data_rdata_to_inter[2] , \slave_data_rdata_to_inter[1] , \slave_data_rdata_to_inter[0] }),
.slave_data_req_o({ \slave_data_req_to_inter[2] , \slave_data_req_to_inter[1] , \slave_data_req_to_inter[0] }),
.slave_data_rvalid_i({ \slave_data_rvalid[2] , \slave_data_rvalid[1] , \slave_data_rvalid[0] }),
.slave_data_wdata_o({ \slave_data_wdata_to_inter[95] , \slave_data_wdata_to_inter[94] , \slave_data_wdata_to_inter[93] , \slave_data_wdata_to_inter[92] , \slave_data_wdata_to_inter[91] , \slave_data_wdata_to_inter[90] , \slave_data_wdata_to_inter[89] , \slave_data_wdata_to_inter[88] , \slave_data_wdata_to_inter[87] , \slave_data_wdata_to_inter[86] , \slave_data_wdata_to_inter[85] , \slave_data_wdata_to_inter[84] , \slave_data_wdata_to_inter[83] , \slave_data_wdata_to_inter[82] , \slave_data_wdata_to_inter[81] , \slave_data_wdata_to_inter[80] , \slave_data_wdata_to_inter[79] , \slave_data_wdata_to_inter[78] , \slave_data_wdata_to_inter[77] , \slave_data_wdata_to_inter[76] , \slave_data_wdata_to_inter[75] , \slave_data_wdata_to_inter[74] , \slave_data_wdata_to_inter[73] , \slave_data_wdata_to_inter[72] , \slave_data_wdata_to_inter[71] , \slave_data_wdata_to_inter[70] , \slave_data_wdata_to_inter[69] , \slave_data_wdata_to_inter[68] , \slave_data_wdata_to_inter[67] , \slave_data_wdata_to_inter[66] , \slave_data_wdata_to_inter[65] , \slave_data_wdata_to_inter[64] , \slave_data_wdata_to_inter[63] , \slave_data_wdata_to_inter[62] , \slave_data_wdata_to_inter[61] , \slave_data_wdata_to_inter[60] , \slave_data_wdata_to_inter[59] , \slave_data_wdata_to_inter[58] , \slave_data_wdata_to_inter[57] , \slave_data_wdata_to_inter[56] , \slave_data_wdata_to_inter[55] , \slave_data_wdata_to_inter[54] , \slave_data_wdata_to_inter[53] , \slave_data_wdata_to_inter[52] , \slave_data_wdata_to_inter[51] , \slave_data_wdata_to_inter[50] , \slave_data_wdata_to_inter[49] , \slave_data_wdata_to_inter[48] , \slave_data_wdata_to_inter[47] , \slave_data_wdata_to_inter[46] , \slave_data_wdata_to_inter[45] , \slave_data_wdata_to_inter[44] , \slave_data_wdata_to_inter[43] , \slave_data_wdata_to_inter[42] , \slave_data_wdata_to_inter[41] , \slave_data_wdata_to_inter[40] , \slave_data_wdata_to_inter[39] , \slave_data_wdata_to_inter[38] , \slave_data_wdata_to_inter[37] , \slave_data_wdata_to_inter[36] , \slave_data_wdata_to_inter[35] , \slave_data_wdata_to_inter[34] , \slave_data_wdata_to_inter[33] , \slave_data_wdata_to_inter[32] , \slave_data_wdata_to_inter[31] , \slave_data_wdata_to_inter[30] , \slave_data_wdata_to_inter[29] , \slave_data_wdata_to_inter[28] , \slave_data_wdata_to_inter[27] , \slave_data_wdata_to_inter[26] , \slave_data_wdata_to_inter[25] , \slave_data_wdata_to_inter[24] , \slave_data_wdata_to_inter[23] , \slave_data_wdata_to_inter[22] , \slave_data_wdata_to_inter[21] , \slave_data_wdata_to_inter[20] , \slave_data_wdata_to_inter[19] , \slave_data_wdata_to_inter[18] , \slave_data_wdata_to_inter[17] , \slave_data_wdata_to_inter[16] , \slave_data_wdata_to_inter[15] , \slave_data_wdata_to_inter[14] , \slave_data_wdata_to_inter[13] , \slave_data_wdata_to_inter[12] , \slave_data_wdata_to_inter[11] , \slave_data_wdata_to_inter[10] , \slave_data_wdata_to_inter[9] , \slave_data_wdata_to_inter[8] , \slave_data_wdata_to_inter[7] , \slave_data_wdata_to_inter[6] , \slave_data_wdata_to_inter[5] , \slave_data_wdata_to_inter[4] , \slave_data_wdata_to_inter[3] , \slave_data_wdata_to_inter[2] , \slave_data_wdata_to_inter[1] , \slave_data_wdata_to_inter[0] }),
.slave_data_we_o({ \slave_data_we_to_inter[2] , \slave_data_we_to_inter[1] , \slave_data_we_to_inter[0] })
);
inter_read inter_read_i (
.clk(wb_clk_i),
.master_data_addr_i({ \master_data_addr_to_inter_ro[21] , \master_data_addr_to_inter_ro[20] , \master_data_addr_to_inter_ro[19] , \master_data_addr_to_inter_ro[18] , \master_data_addr_to_inter_ro[17] , \master_data_addr_to_inter_ro[16] , \master_data_addr_to_inter_ro[15] , \master_data_addr_to_inter_ro[14] , \master_data_addr_to_inter_ro[13] , \master_data_addr_to_inter_ro[12] , \master_data_addr_to_inter_ro[11] , \master_data_addr_to_inter_ro[10] , \master_data_addr_to_inter_ro[9] , \master_data_addr_to_inter_ro[8] , \master_data_addr_to_inter_ro[7] , \master_data_addr_to_inter_ro[6] , \master_data_addr_to_inter_ro[5] , \master_data_addr_to_inter_ro[4] , \master_data_addr_to_inter_ro[3] , \master_data_addr_to_inter_ro[2] , \master_data_addr_to_inter_ro[1] , \master_data_addr_to_inter_ro[0] }),
.master_data_gnt_o({ \master_data_gnt_to_inter_ro[1] , \master_data_gnt_to_inter_ro[0] }),
.master_data_rdata_o({ \master_data_rdata_to_inter_ro[63] , \master_data_rdata_to_inter_ro[62] , \master_data_rdata_to_inter_ro[61] , \master_data_rdata_to_inter_ro[60] , \master_data_rdata_to_inter_ro[59] , \master_data_rdata_to_inter_ro[58] , \master_data_rdata_to_inter_ro[57] , \master_data_rdata_to_inter_ro[56] , \master_data_rdata_to_inter_ro[55] , \master_data_rdata_to_inter_ro[54] , \master_data_rdata_to_inter_ro[53] , \master_data_rdata_to_inter_ro[52] , \master_data_rdata_to_inter_ro[51] , \master_data_rdata_to_inter_ro[50] , \master_data_rdata_to_inter_ro[49] , \master_data_rdata_to_inter_ro[48] , \master_data_rdata_to_inter_ro[47] , \master_data_rdata_to_inter_ro[46] , \master_data_rdata_to_inter_ro[45] , \master_data_rdata_to_inter_ro[44] , \master_data_rdata_to_inter_ro[43] , \master_data_rdata_to_inter_ro[42] , \master_data_rdata_to_inter_ro[41] , \master_data_rdata_to_inter_ro[40] , \master_data_rdata_to_inter_ro[39] , \master_data_rdata_to_inter_ro[38] , \master_data_rdata_to_inter_ro[37] , \master_data_rdata_to_inter_ro[36] , \master_data_rdata_to_inter_ro[35] , \master_data_rdata_to_inter_ro[34] , \master_data_rdata_to_inter_ro[33] , \master_data_rdata_to_inter_ro[32] , \master_data_rdata_to_inter_ro[31] , \master_data_rdata_to_inter_ro[30] , \master_data_rdata_to_inter_ro[29] , \master_data_rdata_to_inter_ro[28] , \master_data_rdata_to_inter_ro[27] , \master_data_rdata_to_inter_ro[26] , \master_data_rdata_to_inter_ro[25] , \master_data_rdata_to_inter_ro[24] , \master_data_rdata_to_inter_ro[23] , \master_data_rdata_to_inter_ro[22] , \master_data_rdata_to_inter_ro[21] , \master_data_rdata_to_inter_ro[20] , \master_data_rdata_to_inter_ro[19] , \master_data_rdata_to_inter_ro[18] , \master_data_rdata_to_inter_ro[17] , \master_data_rdata_to_inter_ro[16] , \master_data_rdata_to_inter_ro[15] , \master_data_rdata_to_inter_ro[14] , \master_data_rdata_to_inter_ro[13] , \master_data_rdata_to_inter_ro[12] , \master_data_rdata_to_inter_ro[11] , \master_data_rdata_to_inter_ro[10] , \master_data_rdata_to_inter_ro[9] , \master_data_rdata_to_inter_ro[8] , \master_data_rdata_to_inter_ro[7] , \master_data_rdata_to_inter_ro[6] , \master_data_rdata_to_inter_ro[5] , \master_data_rdata_to_inter_ro[4] , \master_data_rdata_to_inter_ro[3] , \master_data_rdata_to_inter_ro[2] , \master_data_rdata_to_inter_ro[1] , \master_data_rdata_to_inter_ro[0] }),
.master_data_req_i({ \master_data_req_to_inter_ro[1] , \master_data_req_to_inter_ro[0] }),
.master_data_rvalid_o({ \master_data_rvalid_to_inter_ro[1] , \master_data_rvalid_to_inter_ro[0] }),
.reset(wb_rst_i),
.slave_data_addr_o({ \slave_data_addr_to_inter_ro[19] , \slave_data_addr_to_inter_ro[18] , \slave_data_addr_to_inter_ro[17] , \slave_data_addr_to_inter_ro[16] , \slave_data_addr_to_inter_ro[15] , \slave_data_addr_to_inter_ro[14] , \slave_data_addr_to_inter_ro[13] , \slave_data_addr_to_inter_ro[12] , \slave_data_addr_to_inter_ro[11] , \slave_data_addr_to_inter_ro[10] , \slave_data_addr_to_inter_ro[9] , \slave_data_addr_to_inter_ro[8] , \slave_data_addr_to_inter_ro[7] , \slave_data_addr_to_inter_ro[6] , \slave_data_addr_to_inter_ro[5] , \slave_data_addr_to_inter_ro[4] , \slave_data_addr_to_inter_ro[3] , \slave_data_addr_to_inter_ro[2] , \slave_data_addr_to_inter_ro[1] , \slave_data_addr_to_inter_ro[0] }),
.slave_data_gnt_i({ _111_, _110_ }),
.slave_data_rdata_i({ \slave_data_rdata_to_inter_ro[63] , \slave_data_rdata_to_inter_ro[62] , \slave_data_rdata_to_inter_ro[61] , \slave_data_rdata_to_inter_ro[60] , \slave_data_rdata_to_inter_ro[59] , \slave_data_rdata_to_inter_ro[58] , \slave_data_rdata_to_inter_ro[57] , \slave_data_rdata_to_inter_ro[56] , \slave_data_rdata_to_inter_ro[55] , \slave_data_rdata_to_inter_ro[54] , \slave_data_rdata_to_inter_ro[53] , \slave_data_rdata_to_inter_ro[52] , \slave_data_rdata_to_inter_ro[51] , \slave_data_rdata_to_inter_ro[50] , \slave_data_rdata_to_inter_ro[49] , \slave_data_rdata_to_inter_ro[48] , \slave_data_rdata_to_inter_ro[47] , \slave_data_rdata_to_inter_ro[46] , \slave_data_rdata_to_inter_ro[45] , \slave_data_rdata_to_inter_ro[44] , \slave_data_rdata_to_inter_ro[43] , \slave_data_rdata_to_inter_ro[42] , \slave_data_rdata_to_inter_ro[41] , \slave_data_rdata_to_inter_ro[40] , \slave_data_rdata_to_inter_ro[39] , \slave_data_rdata_to_inter_ro[38] , \slave_data_rdata_to_inter_ro[37] , \slave_data_rdata_to_inter_ro[36] , \slave_data_rdata_to_inter_ro[35] , \slave_data_rdata_to_inter_ro[34] , \slave_data_rdata_to_inter_ro[33] , \slave_data_rdata_to_inter_ro[32] , \slave_data_rdata_to_inter_ro[31] , \slave_data_rdata_to_inter_ro[30] , \slave_data_rdata_to_inter_ro[29] , \slave_data_rdata_to_inter_ro[28] , \slave_data_rdata_to_inter_ro[27] , \slave_data_rdata_to_inter_ro[26] , \slave_data_rdata_to_inter_ro[25] , \slave_data_rdata_to_inter_ro[24] , \slave_data_rdata_to_inter_ro[23] , \slave_data_rdata_to_inter_ro[22] , \slave_data_rdata_to_inter_ro[21] , \slave_data_rdata_to_inter_ro[20] , \slave_data_rdata_to_inter_ro[19] , \slave_data_rdata_to_inter_ro[18] , \slave_data_rdata_to_inter_ro[17] , \slave_data_rdata_to_inter_ro[16] , \slave_data_rdata_to_inter_ro[15] , \slave_data_rdata_to_inter_ro[14] , \slave_data_rdata_to_inter_ro[13] , \slave_data_rdata_to_inter_ro[12] , \slave_data_rdata_to_inter_ro[11] , \slave_data_rdata_to_inter_ro[10] , \slave_data_rdata_to_inter_ro[9] , \slave_data_rdata_to_inter_ro[8] , \slave_data_rdata_to_inter_ro[7] , \slave_data_rdata_to_inter_ro[6] , \slave_data_rdata_to_inter_ro[5] , \slave_data_rdata_to_inter_ro[4] , \slave_data_rdata_to_inter_ro[3] , \slave_data_rdata_to_inter_ro[2] , \slave_data_rdata_to_inter_ro[1] , \slave_data_rdata_to_inter_ro[0] }),
.slave_data_req_o({ \slave_data_req_to_inter_ro[1] , \slave_data_req_to_inter_ro[0] })
);
\$paramod$f2486c22f8156b191d4f2958486e3c6079702f1e\peripheral peripheral1 (
.clk(wb_clk_i),
.data_req_i(\slave_data_req_to_inter[2] ),
.reset(wb_rst_i),
.rxd_uart(rxd_uart),
.slave_data_addr_i({ \slave_data_addr_to_inter[29] , \slave_data_addr_to_inter[28] , \slave_data_addr_to_inter[27] , \slave_data_addr_to_inter[26] , \slave_data_addr_to_inter[25] , \slave_data_addr_to_inter[24] , \slave_data_addr_to_inter[23] , \slave_data_addr_to_inter[22] , \slave_data_addr_to_inter[21] , \slave_data_addr_to_inter[20] }),
.slave_data_be_i({ \slave_data_be_to_inter[11] , \slave_data_be_to_inter[10] , \slave_data_be_to_inter[9] , \slave_data_be_to_inter[8] }),
.slave_data_gnt_o(slave_data_gnt_peri1_i),
.slave_data_rdata_o({ \slave_data_rdata_to_inter[95] , \slave_data_rdata_to_inter[94] , \slave_data_rdata_to_inter[93] , \slave_data_rdata_to_inter[92] , \slave_data_rdata_to_inter[91] , \slave_data_rdata_to_inter[90] , \slave_data_rdata_to_inter[89] , \slave_data_rdata_to_inter[88] , \slave_data_rdata_to_inter[87] , \slave_data_rdata_to_inter[86] , \slave_data_rdata_to_inter[85] , \slave_data_rdata_to_inter[84] , \slave_data_rdata_to_inter[83] , \slave_data_rdata_to_inter[82] , \slave_data_rdata_to_inter[81] , \slave_data_rdata_to_inter[80] , \slave_data_rdata_to_inter[79] , \slave_data_rdata_to_inter[78] , \slave_data_rdata_to_inter[77] , \slave_data_rdata_to_inter[76] , \slave_data_rdata_to_inter[75] , \slave_data_rdata_to_inter[74] , \slave_data_rdata_to_inter[73] , \slave_data_rdata_to_inter[72] , \slave_data_rdata_to_inter[71] , \slave_data_rdata_to_inter[70] , \slave_data_rdata_to_inter[69] , \slave_data_rdata_to_inter[68] , \slave_data_rdata_to_inter[67] , \slave_data_rdata_to_inter[66] , \slave_data_rdata_to_inter[65] , \slave_data_rdata_to_inter[64] }),
.slave_data_rvalid_o(slave_data_rvalid_peri1_i),
.slave_data_wdata_i({ \slave_data_wdata_to_inter[95] , \slave_data_wdata_to_inter[94] , \slave_data_wdata_to_inter[93] , \slave_data_wdata_to_inter[92] , \slave_data_wdata_to_inter[91] , \slave_data_wdata_to_inter[90] , \slave_data_wdata_to_inter[89] , \slave_data_wdata_to_inter[88] , \slave_data_wdata_to_inter[87] , \slave_data_wdata_to_inter[86] , \slave_data_wdata_to_inter[85] , \slave_data_wdata_to_inter[84] , \slave_data_wdata_to_inter[83] , \slave_data_wdata_to_inter[82] , \slave_data_wdata_to_inter[81] , \slave_data_wdata_to_inter[80] , \slave_data_wdata_to_inter[79] , \slave_data_wdata_to_inter[78] , \slave_data_wdata_to_inter[77] , \slave_data_wdata_to_inter[76] , \slave_data_wdata_to_inter[75] , \slave_data_wdata_to_inter[74] , \slave_data_wdata_to_inter[73] , \slave_data_wdata_to_inter[72] , \slave_data_wdata_to_inter[71] , \slave_data_wdata_to_inter[70] , \slave_data_wdata_to_inter[69] , \slave_data_wdata_to_inter[68] , \slave_data_wdata_to_inter[67] , \slave_data_wdata_to_inter[66] , \slave_data_wdata_to_inter[65] , \slave_data_wdata_to_inter[64] }),
.slave_data_we_i(\slave_data_we_to_inter[2] ),
.txd_uart(txd_uart)
);
sky130_sram_1kbyte_1rw1r_32x256_8 sram_1_i (
.addr0({ \slave_data_addr_to_inter[9] , \slave_data_addr_to_inter[8] , \slave_data_addr_to_inter[7] , \slave_data_addr_to_inter[6] , \slave_data_addr_to_inter[5] , \slave_data_addr_to_inter[4] , \slave_data_addr_to_inter[3] , \slave_data_addr_to_inter[2] , \slave_data_addr_to_inter[1] , \slave_data_addr_to_inter[0] }),
.addr1({ \slave_data_addr_to_inter_ro[9] , \slave_data_addr_to_inter_ro[8] , \slave_data_addr_to_inter_ro[7] , \slave_data_addr_to_inter_ro[6] , \slave_data_addr_to_inter_ro[5] , \slave_data_addr_to_inter_ro[4] , \slave_data_addr_to_inter_ro[3] , \slave_data_addr_to_inter_ro[2] , \slave_data_addr_to_inter_ro[1] , \slave_data_addr_to_inter_ro[0] }),
.clk0(wb_clk_i),
.clk1(wb_clk_i),
.csb0(_002_),
.csb1(_003_),
.din0({ \slave_data_wdata_to_inter[31] , \slave_data_wdata_to_inter[30] , \slave_data_wdata_to_inter[29] , \slave_data_wdata_to_inter[28] , \slave_data_wdata_to_inter[27] , \slave_data_wdata_to_inter[26] , \slave_data_wdata_to_inter[25] , \slave_data_wdata_to_inter[24] , \slave_data_wdata_to_inter[23] , \slave_data_wdata_to_inter[22] , \slave_data_wdata_to_inter[21] , \slave_data_wdata_to_inter[20] , \slave_data_wdata_to_inter[19] , \slave_data_wdata_to_inter[18] , \slave_data_wdata_to_inter[17] , \slave_data_wdata_to_inter[16] , \slave_data_wdata_to_inter[15] , \slave_data_wdata_to_inter[14] , \slave_data_wdata_to_inter[13] , \slave_data_wdata_to_inter[12] , \slave_data_wdata_to_inter[11] , \slave_data_wdata_to_inter[10] , \slave_data_wdata_to_inter[9] , \slave_data_wdata_to_inter[8] , \slave_data_wdata_to_inter[7] , \slave_data_wdata_to_inter[6] , \slave_data_wdata_to_inter[5] , \slave_data_wdata_to_inter[4] , \slave_data_wdata_to_inter[3] , \slave_data_wdata_to_inter[2] , \slave_data_wdata_to_inter[1] , \slave_data_wdata_to_inter[0] }),
.dout0({ \slave_data_rdata_to_inter[31] , \slave_data_rdata_to_inter[30] , \slave_data_rdata_to_inter[29] , \slave_data_rdata_to_inter[28] , \slave_data_rdata_to_inter[27] , \slave_data_rdata_to_inter[26] , \slave_data_rdata_to_inter[25] , \slave_data_rdata_to_inter[24] , \slave_data_rdata_to_inter[23] , \slave_data_rdata_to_inter[22] , \slave_data_rdata_to_inter[21] , \slave_data_rdata_to_inter[20] , \slave_data_rdata_to_inter[19] , \slave_data_rdata_to_inter[18] , \slave_data_rdata_to_inter[17] , \slave_data_rdata_to_inter[16] , \slave_data_rdata_to_inter[15] , \slave_data_rdata_to_inter[14] , \slave_data_rdata_to_inter[13] , \slave_data_rdata_to_inter[12] , \slave_data_rdata_to_inter[11] , \slave_data_rdata_to_inter[10] , \slave_data_rdata_to_inter[9] , \slave_data_rdata_to_inter[8] , \slave_data_rdata_to_inter[7] , \slave_data_rdata_to_inter[6] , \slave_data_rdata_to_inter[5] , \slave_data_rdata_to_inter[4] , \slave_data_rdata_to_inter[3] , \slave_data_rdata_to_inter[2] , \slave_data_rdata_to_inter[1] , \slave_data_rdata_to_inter[0] }),
.dout1({ \slave_data_rdata_to_inter_ro[31] , \slave_data_rdata_to_inter_ro[30] , \slave_data_rdata_to_inter_ro[29] , \slave_data_rdata_to_inter_ro[28] , \slave_data_rdata_to_inter_ro[27] , \slave_data_rdata_to_inter_ro[26] , \slave_data_rdata_to_inter_ro[25] , \slave_data_rdata_to_inter_ro[24] , \slave_data_rdata_to_inter_ro[23] , \slave_data_rdata_to_inter_ro[22] , \slave_data_rdata_to_inter_ro[21] , \slave_data_rdata_to_inter_ro[20] , \slave_data_rdata_to_inter_ro[19] , \slave_data_rdata_to_inter_ro[18] , \slave_data_rdata_to_inter_ro[17] , \slave_data_rdata_to_inter_ro[16] , \slave_data_rdata_to_inter_ro[15] , \slave_data_rdata_to_inter_ro[14] , \slave_data_rdata_to_inter_ro[13] , \slave_data_rdata_to_inter_ro[12] , \slave_data_rdata_to_inter_ro[11] , \slave_data_rdata_to_inter_ro[10] , \slave_data_rdata_to_inter_ro[9] , \slave_data_rdata_to_inter_ro[8] , \slave_data_rdata_to_inter_ro[7] , \slave_data_rdata_to_inter_ro[6] , \slave_data_rdata_to_inter_ro[5] , \slave_data_rdata_to_inter_ro[4] , \slave_data_rdata_to_inter_ro[3] , \slave_data_rdata_to_inter_ro[2] , \slave_data_rdata_to_inter_ro[1] , \slave_data_rdata_to_inter_ro[0] }),
.web0(_000_),
.wmask0({ \slave_data_be_to_inter[3] , \slave_data_be_to_inter[2] , \slave_data_be_to_inter[1] , \slave_data_be_to_inter[0] })
);
sky130_sram_1kbyte_1rw1r_32x256_8 sram_2_i (
.addr0({ \slave_data_addr_to_inter[19] , \slave_data_addr_to_inter[18] , \slave_data_addr_to_inter[17] , \slave_data_addr_to_inter[16] , \slave_data_addr_to_inter[15] , \slave_data_addr_to_inter[14] , \slave_data_addr_to_inter[13] , \slave_data_addr_to_inter[12] , \slave_data_addr_to_inter[11] , \slave_data_addr_to_inter[10] }),
.addr1({ \slave_data_addr_to_inter_ro[19] , \slave_data_addr_to_inter_ro[18] , \slave_data_addr_to_inter_ro[17] , \slave_data_addr_to_inter_ro[16] , \slave_data_addr_to_inter_ro[15] , \slave_data_addr_to_inter_ro[14] , \slave_data_addr_to_inter_ro[13] , \slave_data_addr_to_inter_ro[12] , \slave_data_addr_to_inter_ro[11] , \slave_data_addr_to_inter_ro[10] }),
.clk0(wb_clk_i),
.clk1(wb_clk_i),
.csb0(_004_),
.csb1(_005_),
.din0({ \slave_data_wdata_to_inter[63] , \slave_data_wdata_to_inter[62] , \slave_data_wdata_to_inter[61] , \slave_data_wdata_to_inter[60] , \slave_data_wdata_to_inter[59] , \slave_data_wdata_to_inter[58] , \slave_data_wdata_to_inter[57] , \slave_data_wdata_to_inter[56] , \slave_data_wdata_to_inter[55] , \slave_data_wdata_to_inter[54] , \slave_data_wdata_to_inter[53] , \slave_data_wdata_to_inter[52] , \slave_data_wdata_to_inter[51] , \slave_data_wdata_to_inter[50] , \slave_data_wdata_to_inter[49] , \slave_data_wdata_to_inter[48] , \slave_data_wdata_to_inter[47] , \slave_data_wdata_to_inter[46] , \slave_data_wdata_to_inter[45] , \slave_data_wdata_to_inter[44] , \slave_data_wdata_to_inter[43] , \slave_data_wdata_to_inter[42] , \slave_data_wdata_to_inter[41] , \slave_data_wdata_to_inter[40] , \slave_data_wdata_to_inter[39] , \slave_data_wdata_to_inter[38] , \slave_data_wdata_to_inter[37] , \slave_data_wdata_to_inter[36] , \slave_data_wdata_to_inter[35] , \slave_data_wdata_to_inter[34] , \slave_data_wdata_to_inter[33] , \slave_data_wdata_to_inter[32] }),
.dout0({ \slave_data_rdata_to_inter[63] , \slave_data_rdata_to_inter[62] , \slave_data_rdata_to_inter[61] , \slave_data_rdata_to_inter[60] , \slave_data_rdata_to_inter[59] , \slave_data_rdata_to_inter[58] , \slave_data_rdata_to_inter[57] , \slave_data_rdata_to_inter[56] , \slave_data_rdata_to_inter[55] , \slave_data_rdata_to_inter[54] , \slave_data_rdata_to_inter[53] , \slave_data_rdata_to_inter[52] , \slave_data_rdata_to_inter[51] , \slave_data_rdata_to_inter[50] , \slave_data_rdata_to_inter[49] , \slave_data_rdata_to_inter[48] , \slave_data_rdata_to_inter[47] , \slave_data_rdata_to_inter[46] , \slave_data_rdata_to_inter[45] , \slave_data_rdata_to_inter[44] , \slave_data_rdata_to_inter[43] , \slave_data_rdata_to_inter[42] , \slave_data_rdata_to_inter[41] , \slave_data_rdata_to_inter[40] , \slave_data_rdata_to_inter[39] , \slave_data_rdata_to_inter[38] , \slave_data_rdata_to_inter[37] , \slave_data_rdata_to_inter[36] , \slave_data_rdata_to_inter[35] , \slave_data_rdata_to_inter[34] , \slave_data_rdata_to_inter[33] , \slave_data_rdata_to_inter[32] }),
.dout1({ \slave_data_rdata_to_inter_ro[63] , \slave_data_rdata_to_inter_ro[62] , \slave_data_rdata_to_inter_ro[61] , \slave_data_rdata_to_inter_ro[60] , \slave_data_rdata_to_inter_ro[59] , \slave_data_rdata_to_inter_ro[58] , \slave_data_rdata_to_inter_ro[57] , \slave_data_rdata_to_inter_ro[56] , \slave_data_rdata_to_inter_ro[55] , \slave_data_rdata_to_inter_ro[54] , \slave_data_rdata_to_inter_ro[53] , \slave_data_rdata_to_inter_ro[52] , \slave_data_rdata_to_inter_ro[51] , \slave_data_rdata_to_inter_ro[50] , \slave_data_rdata_to_inter_ro[49] , \slave_data_rdata_to_inter_ro[48] , \slave_data_rdata_to_inter_ro[47] , \slave_data_rdata_to_inter_ro[46] , \slave_data_rdata_to_inter_ro[45] , \slave_data_rdata_to_inter_ro[44] , \slave_data_rdata_to_inter_ro[43] , \slave_data_rdata_to_inter_ro[42] , \slave_data_rdata_to_inter_ro[41] , \slave_data_rdata_to_inter_ro[40] , \slave_data_rdata_to_inter_ro[39] , \slave_data_rdata_to_inter_ro[38] , \slave_data_rdata_to_inter_ro[37] , \slave_data_rdata_to_inter_ro[36] , \slave_data_rdata_to_inter_ro[35] , \slave_data_rdata_to_inter_ro[34] , \slave_data_rdata_to_inter_ro[33] , \slave_data_rdata_to_inter_ro[32] }),
.web0(_001_),
.wmask0({ \slave_data_be_to_inter[7] , \slave_data_be_to_inter[6] , \slave_data_be_to_inter[5] , \slave_data_be_to_inter[4] })
);
\$paramod\uart_to_mem\addr_width=s32'00000000000000000000000000001100 uart_to_mem_i (
.clk_i(wb_clk_i),
.data_addr_o({ \master_data_addr_to_inter[47] , \master_data_addr_to_inter[46] , \master_data_addr_to_inter[45] , \master_data_addr_to_inter[44] , \master_data_addr_to_inter[43] , \master_data_addr_to_inter[42] , \master_data_addr_to_inter[41] , \master_data_addr_to_inter[40] , \master_data_addr_to_inter[39] , \master_data_addr_to_inter[38] , \master_data_addr_to_inter[37] , \master_data_addr_to_inter[36] }),
.data_be_o({ \master_data_be_to_inter[15] , \master_data_be_to_inter[14] , \master_data_be_to_inter[13] , \master_data_be_to_inter[12] }),
.data_gnt_i(\master_data_gnt_to_inter[3] ),
.data_rdata_i({ \master_data_rdata_to_inter[127] , \master_data_rdata_to_inter[126] , \master_data_rdata_to_inter[125] , \master_data_rdata_to_inter[124] , \master_data_rdata_to_inter[123] , \master_data_rdata_to_inter[122] , \master_data_rdata_to_inter[121] , \master_data_rdata_to_inter[120] , \master_data_rdata_to_inter[119] , \master_data_rdata_to_inter[118] , \master_data_rdata_to_inter[117] , \master_data_rdata_to_inter[116] , \master_data_rdata_to_inter[115] , \master_data_rdata_to_inter[114] , \master_data_rdata_to_inter[113] , \master_data_rdata_to_inter[112] , \master_data_rdata_to_inter[111] , \master_data_rdata_to_inter[110] , \master_data_rdata_to_inter[109] , \master_data_rdata_to_inter[108] , \master_data_rdata_to_inter[107] , \master_data_rdata_to_inter[106] , \master_data_rdata_to_inter[105] , \master_data_rdata_to_inter[104] , \master_data_rdata_to_inter[103] , \master_data_rdata_to_inter[102] , \master_data_rdata_to_inter[101] , \master_data_rdata_to_inter[100] , \master_data_rdata_to_inter[99] , \master_data_rdata_to_inter[98] , \master_data_rdata_to_inter[97] , \master_data_rdata_to_inter[96] }),
.data_req_o(\master_data_req_to_inter[3] ),
.data_rvalid_i(\master_data_rvalid_to_inter[3] ),
.data_wdata_o({ \master_data_wdata_to_inter[127] , \master_data_wdata_to_inter[126] , \master_data_wdata_to_inter[125] , \master_data_wdata_to_inter[124] , \master_data_wdata_to_inter[123] , \master_data_wdata_to_inter[122] , \master_data_wdata_to_inter[121] , \master_data_wdata_to_inter[120] , \master_data_wdata_to_inter[119] , \master_data_wdata_to_inter[118] , \master_data_wdata_to_inter[117] , \master_data_wdata_to_inter[116] , \master_data_wdata_to_inter[115] , \master_data_wdata_to_inter[114] , \master_data_wdata_to_inter[113] , \master_data_wdata_to_inter[112] , \master_data_wdata_to_inter[111] , \master_data_wdata_to_inter[110] , \master_data_wdata_to_inter[109] , \master_data_wdata_to_inter[108] , \master_data_wdata_to_inter[107] , \master_data_wdata_to_inter[106] , \master_data_wdata_to_inter[105] , \master_data_wdata_to_inter[104] , \master_data_wdata_to_inter[103] , \master_data_wdata_to_inter[102] , \master_data_wdata_to_inter[101] , \master_data_wdata_to_inter[100] , \master_data_wdata_to_inter[99] , \master_data_wdata_to_inter[98] , \master_data_wdata_to_inter[97] , \master_data_wdata_to_inter[96] }),
.data_we_o(_202_),
.rst_i(wb_rst_i),
.rx_i(rxd_uart_to_mem),
.tx_o(txd_uart_to_mem),
.uart_error(error_uart_to_mem)
);
endmodule
module inter_read(clk, reset, master_data_req_i, master_data_addr_i, master_data_rdata_o, master_data_rvalid_o, master_data_gnt_o, slave_data_req_o, slave_data_addr_o, slave_data_rdata_i, slave_data_gnt_i);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
wire _145_;
wire _146_;
wire _147_;
wire _148_;
wire _149_;
wire _150_;
wire _151_;
wire _152_;
wire _153_;
wire _154_;
wire _155_;
wire _156_;
wire _157_;
wire _158_;
wire _159_;
wire _160_;
wire _161_;
wire _162_;
wire _163_;
wire _164_;
wire _165_;
wire _166_;
wire _167_;
wire _168_;
wire _169_;
wire arb_active;
wire \arbiter_grant[0] ;
wire \arbiter_grant[1] ;
wire \arbiter_grant[2] ;
wire \arbiter_grant[3] ;
wire \arbiter_request[0] ;
wire \arbiter_request[1] ;
wire \arbiter_request[2] ;
wire \arbiter_request[3] ;
input clk;
input [21:0] master_data_addr_i;
output [1:0] master_data_gnt_o;
output [63:0] master_data_rdata_o;
input [1:0] master_data_req_i;
output [1:0] master_data_rvalid_o;
input reset;
output [19:0] slave_data_addr_o;
input [1:0] slave_data_gnt_i;
input [63:0] slave_data_rdata_i;
output [1:0] slave_data_req_o;
sky130_fd_sc_hd__inv_2 _170_ (
.a(master_data_req_i[0]),
.y(_090_)
);
sky130_fd_sc_hd__nor2_2 _171_ (
.a(_090_),
.b(master_data_addr_i[10]),
.y(\arbiter_request[0] )
);
sky130_fd_sc_hd__inv_2 _172_ (
.a(master_data_req_i[1]),
.y(_091_)
);
sky130_fd_sc_hd__nor2_2 _173_ (
.a(_091_),
.b(master_data_addr_i[21]),
.y(\arbiter_request[1] )
);
sky130_fd_sc_hd__and3_2 _174_ (
.a(master_data_req_i[1]),
.b(slave_data_gnt_i[0]),
.c(\arbiter_grant[1] ),
.x(_092_)
);
sky130_fd_sc_hd__buf_1 _175_ (
.a(_092_),
.x(_000_)
);
sky130_fd_sc_hd__inv_2 _176_ (
.a(slave_data_gnt_i[1]),
.y(_093_)
);
sky130_fd_sc_hd__nor2_2 _177_ (
.a(_091_),
.b(_093_),
.y(_001_)
);
sky130_fd_sc_hd__inv_2 _178_ (
.a(\arbiter_grant[1] ),
.y(_094_)
);
sky130_fd_sc_hd__buf_1 _179_ (
.a(_094_),
.x(_095_)
);
sky130_fd_sc_hd__buf_1 _180_ (
.a(_095_),
.x(_096_)
);
sky130_fd_sc_hd__inv_2 _181_ (
.a(slave_data_rdata_i[0]),
.y(_097_)
);
sky130_fd_sc_hd__nor2_2 _182_ (
.a(_096_),
.b(_097_),
.y(_002_)
);
sky130_fd_sc_hd__inv_2 _183_ (
.a(slave_data_rdata_i[1]),
.y(_098_)
);
sky130_fd_sc_hd__nor2_2 _184_ (
.a(_096_),
.b(_098_),
.y(_003_)
);
sky130_fd_sc_hd__inv_2 _185_ (
.a(slave_data_rdata_i[2]),
.y(_099_)
);
sky130_fd_sc_hd__nor2_2 _186_ (
.a(_096_),
.b(_099_),
.y(_004_)
);
sky130_fd_sc_hd__inv_2 _187_ (
.a(slave_data_rdata_i[3]),
.y(_100_)
);
sky130_fd_sc_hd__nor2_2 _188_ (
.a(_096_),
.b(_100_),
.y(_005_)
);
sky130_fd_sc_hd__buf_1 _189_ (
.a(_095_),
.x(_101_)
);
sky130_fd_sc_hd__inv_2 _190_ (
.a(slave_data_rdata_i[4]),
.y(_102_)
);
sky130_fd_sc_hd__nor2_2 _191_ (
.a(_101_),
.b(_102_),
.y(_006_)
);
sky130_fd_sc_hd__inv_2 _192_ (
.a(slave_data_rdata_i[5]),
.y(_103_)
);
sky130_fd_sc_hd__nor2_2 _193_ (
.a(_101_),
.b(_103_),
.y(_007_)
);
sky130_fd_sc_hd__inv_2 _194_ (
.a(slave_data_rdata_i[6]),
.y(_104_)
);
sky130_fd_sc_hd__nor2_2 _195_ (
.a(_101_),
.b(_104_),
.y(_008_)
);
sky130_fd_sc_hd__inv_2 _196_ (
.a(slave_data_rdata_i[7]),
.y(_105_)
);
sky130_fd_sc_hd__nor2_2 _197_ (
.a(_101_),
.b(_105_),
.y(_009_)
);
sky130_fd_sc_hd__buf_1 _198_ (
.a(_095_),
.x(_106_)
);
sky130_fd_sc_hd__inv_2 _199_ (
.a(slave_data_rdata_i[8]),
.y(_107_)
);
sky130_fd_sc_hd__nor2_2 _200_ (
.a(_106_),
.b(_107_),
.y(_010_)
);
sky130_fd_sc_hd__inv_2 _201_ (
.a(slave_data_rdata_i[9]),
.y(_108_)
);
sky130_fd_sc_hd__nor2_2 _202_ (
.a(_106_),
.b(_108_),
.y(_011_)
);
sky130_fd_sc_hd__inv_2 _203_ (
.a(slave_data_rdata_i[10]),
.y(_109_)
);
sky130_fd_sc_hd__nor2_2 _204_ (
.a(_106_),
.b(_109_),
.y(_012_)
);
sky130_fd_sc_hd__inv_2 _205_ (
.a(slave_data_rdata_i[11]),
.y(_110_)
);
sky130_fd_sc_hd__nor2_2 _206_ (
.a(_106_),
.b(_110_),
.y(_013_)
);
sky130_fd_sc_hd__buf_1 _207_ (
.a(_095_),
.x(_111_)
);
sky130_fd_sc_hd__inv_2 _208_ (
.a(slave_data_rdata_i[12]),
.y(_112_)
);
sky130_fd_sc_hd__nor2_2 _209_ (
.a(_111_),
.b(_112_),
.y(_014_)
);
sky130_fd_sc_hd__inv_2 _210_ (
.a(slave_data_rdata_i[13]),
.y(_113_)
);
sky130_fd_sc_hd__nor2_2 _211_ (
.a(_111_),
.b(_113_),
.y(_015_)
);
sky130_fd_sc_hd__inv_2 _212_ (
.a(slave_data_rdata_i[14]),
.y(_114_)
);
sky130_fd_sc_hd__nor2_2 _213_ (
.a(_111_),
.b(_114_),
.y(_016_)
);
sky130_fd_sc_hd__inv_2 _214_ (
.a(slave_data_rdata_i[15]),
.y(_115_)
);
sky130_fd_sc_hd__nor2_2 _215_ (
.a(_111_),
.b(_115_),
.y(_017_)
);
sky130_fd_sc_hd__buf_1 _216_ (
.a(_094_),
.x(_116_)
);
sky130_fd_sc_hd__buf_1 _217_ (
.a(_116_),
.x(_117_)
);
sky130_fd_sc_hd__inv_2 _218_ (
.a(slave_data_rdata_i[16]),
.y(_118_)
);
sky130_fd_sc_hd__nor2_2 _219_ (
.a(_117_),
.b(_118_),
.y(_018_)
);
sky130_fd_sc_hd__inv_2 _220_ (
.a(slave_data_rdata_i[17]),
.y(_119_)
);
sky130_fd_sc_hd__nor2_2 _221_ (
.a(_117_),
.b(_119_),
.y(_019_)
);
sky130_fd_sc_hd__inv_2 _222_ (
.a(slave_data_rdata_i[18]),
.y(_120_)
);
sky130_fd_sc_hd__nor2_2 _223_ (
.a(_117_),
.b(_120_),
.y(_020_)
);
sky130_fd_sc_hd__inv_2 _224_ (
.a(slave_data_rdata_i[19]),
.y(_121_)
);
sky130_fd_sc_hd__nor2_2 _225_ (
.a(_117_),
.b(_121_),
.y(_021_)
);
sky130_fd_sc_hd__buf_1 _226_ (
.a(_116_),
.x(_122_)
);
sky130_fd_sc_hd__inv_2 _227_ (
.a(slave_data_rdata_i[20]),
.y(_123_)
);
sky130_fd_sc_hd__nor2_2 _228_ (
.a(_122_),
.b(_123_),
.y(_022_)
);
sky130_fd_sc_hd__inv_2 _229_ (
.a(slave_data_rdata_i[21]),
.y(_124_)
);
sky130_fd_sc_hd__nor2_2 _230_ (
.a(_122_),
.b(_124_),
.y(_023_)
);
sky130_fd_sc_hd__inv_2 _231_ (
.a(slave_data_rdata_i[22]),
.y(_125_)
);
sky130_fd_sc_hd__nor2_2 _232_ (
.a(_122_),
.b(_125_),
.y(_024_)
);
sky130_fd_sc_hd__inv_2 _233_ (
.a(slave_data_rdata_i[23]),
.y(_126_)
);
sky130_fd_sc_hd__nor2_2 _234_ (
.a(_122_),
.b(_126_),
.y(_025_)
);
sky130_fd_sc_hd__buf_1 _235_ (
.a(_116_),
.x(_127_)
);
sky130_fd_sc_hd__inv_2 _236_ (
.a(slave_data_rdata_i[24]),
.y(_128_)
);
sky130_fd_sc_hd__nor2_2 _237_ (
.a(_127_),
.b(_128_),
.y(_026_)
);
sky130_fd_sc_hd__inv_2 _238_ (
.a(slave_data_rdata_i[25]),
.y(_129_)
);
sky130_fd_sc_hd__nor2_2 _239_ (
.a(_127_),
.b(_129_),
.y(_027_)
);
sky130_fd_sc_hd__inv_2 _240_ (
.a(slave_data_rdata_i[26]),
.y(_130_)
);
sky130_fd_sc_hd__nor2_2 _241_ (
.a(_127_),
.b(_130_),
.y(_028_)
);
sky130_fd_sc_hd__inv_2 _242_ (
.a(slave_data_rdata_i[27]),
.y(_131_)
);
sky130_fd_sc_hd__nor2_2 _243_ (
.a(_127_),
.b(_131_),
.y(_029_)
);
sky130_fd_sc_hd__buf_1 _244_ (
.a(_116_),
.x(_132_)
);
sky130_fd_sc_hd__inv_2 _245_ (
.a(slave_data_rdata_i[28]),
.y(_133_)
);
sky130_fd_sc_hd__nor2_2 _246_ (
.a(_132_),
.b(_133_),
.y(_030_)
);
sky130_fd_sc_hd__inv_2 _247_ (
.a(slave_data_rdata_i[29]),
.y(_134_)
);
sky130_fd_sc_hd__nor2_2 _248_ (
.a(_132_),
.b(_134_),
.y(_031_)
);
sky130_fd_sc_hd__inv_2 _249_ (
.a(slave_data_rdata_i[30]),
.y(_135_)
);
sky130_fd_sc_hd__nor2_2 _250_ (
.a(_132_),
.b(_135_),
.y(_032_)
);
sky130_fd_sc_hd__inv_2 _251_ (
.a(slave_data_rdata_i[31]),
.y(_136_)
);
sky130_fd_sc_hd__nor2_2 _252_ (
.a(_132_),
.b(_136_),
.y(_033_)
);
sky130_fd_sc_hd__and3_2 _253_ (
.a(master_data_req_i[0]),
.b(slave_data_gnt_i[0]),
.c(\arbiter_grant[0] ),
.x(_137_)
);
sky130_fd_sc_hd__buf_1 _254_ (
.a(_137_),
.x(_034_)
);
sky130_fd_sc_hd__nor2_2 _255_ (
.a(_090_),
.b(_093_),
.y(_035_)
);
sky130_fd_sc_hd__inv_2 _256_ (
.a(\arbiter_grant[0] ),
.y(_138_)
);
sky130_fd_sc_hd__buf_1 _257_ (
.a(_138_),
.x(_139_)
);
sky130_fd_sc_hd__buf_1 _258_ (
.a(_139_),
.x(_140_)
);
sky130_fd_sc_hd__nor2_2 _259_ (
.a(_097_),
.b(_140_),
.y(_036_)
);
sky130_fd_sc_hd__nor2_2 _260_ (
.a(_098_),
.b(_140_),
.y(_037_)
);
sky130_fd_sc_hd__nor2_2 _261_ (
.a(_099_),
.b(_140_),
.y(_038_)
);
sky130_fd_sc_hd__nor2_2 _262_ (
.a(_100_),
.b(_140_),
.y(_039_)
);
sky130_fd_sc_hd__buf_1 _263_ (
.a(_138_),
.x(_141_)
);
sky130_fd_sc_hd__buf_1 _264_ (
.a(_141_),
.x(_142_)
);
sky130_fd_sc_hd__nor2_2 _265_ (
.a(_102_),
.b(_142_),
.y(_040_)
);
sky130_fd_sc_hd__nor2_2 _266_ (
.a(_103_),
.b(_142_),
.y(_041_)
);
sky130_fd_sc_hd__nor2_2 _267_ (
.a(_104_),
.b(_142_),
.y(_042_)
);
sky130_fd_sc_hd__nor2_2 _268_ (
.a(_105_),
.b(_142_),
.y(_043_)
);
sky130_fd_sc_hd__buf_1 _269_ (
.a(_141_),
.x(_143_)
);
sky130_fd_sc_hd__nor2_2 _270_ (
.a(_107_),
.b(_143_),
.y(_044_)
);
sky130_fd_sc_hd__nor2_2 _271_ (
.a(_108_),
.b(_143_),
.y(_045_)
);
sky130_fd_sc_hd__nor2_2 _272_ (
.a(_109_),
.b(_143_),
.y(_046_)
);
sky130_fd_sc_hd__nor2_2 _273_ (
.a(_110_),
.b(_143_),
.y(_047_)
);
sky130_fd_sc_hd__buf_1 _274_ (
.a(_141_),
.x(_144_)
);
sky130_fd_sc_hd__nor2_2 _275_ (
.a(_112_),
.b(_144_),
.y(_048_)
);
sky130_fd_sc_hd__nor2_2 _276_ (
.a(_113_),
.b(_144_),
.y(_049_)
);
sky130_fd_sc_hd__nor2_2 _277_ (
.a(_114_),
.b(_144_),
.y(_050_)
);
sky130_fd_sc_hd__nor2_2 _278_ (
.a(_115_),
.b(_144_),
.y(_051_)
);
sky130_fd_sc_hd__buf_1 _279_ (
.a(_141_),
.x(_145_)
);
sky130_fd_sc_hd__nor2_2 _280_ (
.a(_118_),
.b(_145_),
.y(_052_)
);
sky130_fd_sc_hd__nor2_2 _281_ (
.a(_119_),
.b(_145_),
.y(_053_)
);
sky130_fd_sc_hd__nor2_2 _282_ (
.a(_120_),
.b(_145_),
.y(_054_)
);
sky130_fd_sc_hd__nor2_2 _283_ (
.a(_121_),
.b(_145_),
.y(_055_)
);
sky130_fd_sc_hd__buf_1 _284_ (
.a(_138_),
.x(_146_)
);
sky130_fd_sc_hd__buf_1 _285_ (
.a(_146_),
.x(_147_)
);
sky130_fd_sc_hd__nor2_2 _286_ (
.a(_123_),
.b(_147_),
.y(_056_)
);
sky130_fd_sc_hd__nor2_2 _287_ (
.a(_124_),
.b(_147_),
.y(_057_)
);
sky130_fd_sc_hd__nor2_2 _288_ (
.a(_125_),
.b(_147_),
.y(_058_)
);
sky130_fd_sc_hd__nor2_2 _289_ (
.a(_126_),
.b(_147_),
.y(_059_)
);
sky130_fd_sc_hd__buf_1 _290_ (
.a(_146_),
.x(_148_)
);
sky130_fd_sc_hd__nor2_2 _291_ (
.a(_128_),
.b(_148_),
.y(_060_)
);
sky130_fd_sc_hd__nor2_2 _292_ (
.a(_129_),
.b(_148_),
.y(_061_)
);
sky130_fd_sc_hd__nor2_2 _293_ (
.a(_130_),
.b(_148_),
.y(_062_)
);
sky130_fd_sc_hd__nor2_2 _294_ (
.a(_131_),
.b(_148_),
.y(_063_)
);
sky130_fd_sc_hd__buf_1 _295_ (
.a(_146_),
.x(_149_)
);
sky130_fd_sc_hd__nor2_2 _296_ (
.a(_133_),
.b(_149_),
.y(_064_)
);
sky130_fd_sc_hd__nor2_2 _297_ (
.a(_134_),
.b(_149_),
.y(_065_)
);
sky130_fd_sc_hd__nor2_2 _298_ (
.a(_135_),
.b(_149_),
.y(_066_)
);
sky130_fd_sc_hd__nor2_2 _299_ (
.a(_136_),
.b(_149_),
.y(_067_)
);
sky130_fd_sc_hd__inv_2 _300_ (
.a(\arbiter_grant[2] ),
.y(_150_)
);
sky130_fd_sc_hd__buf_1 _301_ (
.a(_150_),
.x(_151_)
);
sky130_fd_sc_hd__nor2_2 _302_ (
.a(_090_),
.b(_151_),
.y(_068_)
);
sky130_fd_sc_hd__inv_2 _303_ (
.a(master_data_addr_i[0]),
.y(_152_)
);
sky130_fd_sc_hd__nor2_2 _304_ (
.a(_151_),
.b(_152_),
.y(_069_)
);
sky130_fd_sc_hd__inv_2 _305_ (
.a(master_data_addr_i[1]),
.y(_153_)
);
sky130_fd_sc_hd__nor2_2 _306_ (
.a(_151_),
.b(_153_),
.y(_070_)
);
sky130_fd_sc_hd__inv_2 _307_ (
.a(master_data_addr_i[2]),
.y(_154_)
);
sky130_fd_sc_hd__nor2_2 _308_ (
.a(_151_),
.b(_154_),
.y(_071_)
);
sky130_fd_sc_hd__buf_1 _309_ (
.a(_150_),
.x(_155_)
);
sky130_fd_sc_hd__buf_1 _310_ (
.a(_155_),
.x(_156_)
);
sky130_fd_sc_hd__inv_2 _311_ (
.a(master_data_addr_i[3]),
.y(_157_)
);
sky130_fd_sc_hd__nor2_2 _312_ (
.a(_156_),
.b(_157_),
.y(_072_)
);
sky130_fd_sc_hd__inv_2 _313_ (
.a(master_data_addr_i[4]),
.y(_158_)
);
sky130_fd_sc_hd__nor2_2 _314_ (
.a(_156_),
.b(_158_),
.y(_073_)
);
sky130_fd_sc_hd__inv_2 _315_ (
.a(master_data_addr_i[5]),
.y(_159_)
);
sky130_fd_sc_hd__nor2_2 _316_ (
.a(_156_),
.b(_159_),
.y(_074_)
);
sky130_fd_sc_hd__inv_2 _317_ (
.a(master_data_addr_i[6]),
.y(_160_)
);
sky130_fd_sc_hd__nor2_2 _318_ (
.a(_156_),
.b(_160_),
.y(_075_)
);
sky130_fd_sc_hd__inv_2 _319_ (
.a(master_data_addr_i[7]),
.y(_161_)
);
sky130_fd_sc_hd__nor2_2 _320_ (
.a(_155_),
.b(_161_),
.y(_076_)
);
sky130_fd_sc_hd__inv_2 _321_ (
.a(master_data_addr_i[8]),
.y(_162_)
);
sky130_fd_sc_hd__nor2_2 _322_ (
.a(_155_),
.b(_162_),
.y(_077_)
);
sky130_fd_sc_hd__inv_2 _323_ (
.a(master_data_addr_i[9]),
.y(_163_)
);
sky130_fd_sc_hd__nor2_2 _324_ (
.a(_155_),
.b(_163_),
.y(_078_)
);
sky130_fd_sc_hd__buf_1 _325_ (
.a(_138_),
.x(_164_)
);
sky130_fd_sc_hd__nor2_2 _326_ (
.a(_090_),
.b(_164_),
.y(_079_)
);
sky130_fd_sc_hd__nor2_2 _327_ (
.a(_164_),
.b(_152_),
.y(_080_)
);
sky130_fd_sc_hd__nor2_2 _328_ (
.a(_164_),
.b(_153_),
.y(_081_)
);
sky130_fd_sc_hd__nor2_2 _329_ (
.a(_164_),
.b(_154_),
.y(_082_)
);
sky130_fd_sc_hd__buf_1 _330_ (
.a(_146_),
.x(_165_)
);
sky130_fd_sc_hd__nor2_2 _331_ (
.a(_165_),
.b(_157_),
.y(_083_)
);
sky130_fd_sc_hd__nor2_2 _332_ (
.a(_165_),
.b(_158_),
.y(_084_)
);
sky130_fd_sc_hd__nor2_2 _333_ (
.a(_165_),
.b(_159_),
.y(_085_)
);
sky130_fd_sc_hd__nor2_2 _334_ (
.a(_165_),
.b(_160_),
.y(_086_)
);
sky130_fd_sc_hd__nor2_2 _335_ (
.a(_139_),
.b(_161_),
.y(_087_)
);
sky130_fd_sc_hd__nor2_2 _336_ (
.a(_139_),
.b(_162_),
.y(_088_)
);
sky130_fd_sc_hd__nor2_2 _337_ (
.a(_139_),
.b(_163_),
.y(_089_)
);
sky130_fd_sc_hd__and2_2 _338_ (
.a(master_data_req_i[0]),
.b(master_data_addr_i[10]),
.x(_166_)
);
sky130_fd_sc_hd__buf_1 _339_ (
.a(_166_),
.x(\arbiter_request[2] )
);
sky130_fd_sc_hd__and2_2 _340_ (
.a(master_data_req_i[1]),
.b(master_data_addr_i[21]),
.x(_167_)
);
sky130_fd_sc_hd__buf_1 _341_ (
.a(_167_),
.x(\arbiter_request[3] )
);
sky130_fd_sc_hd__or2_2 _342_ (
.a(\arbiter_grant[1] ),
.b(\arbiter_grant[3] ),
.x(_168_)
);
sky130_fd_sc_hd__buf_1 _343_ (
.a(_168_),
.x(master_data_rvalid_o[1])
);
sky130_fd_sc_hd__or2_2 _344_ (
.a(\arbiter_grant[0] ),
.b(\arbiter_grant[2] ),
.x(_169_)
);
sky130_fd_sc_hd__buf_1 _345_ (
.a(_169_),
.x(master_data_rvalid_o[0])
);
sky130_fd_sc_hd__mux2_1 _346_ (
.a0(_036_),
.a1(slave_data_rdata_i[32]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[0])
);
sky130_fd_sc_hd__mux2_1 _347_ (
.a0(_037_),
.a1(slave_data_rdata_i[33]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[1])
);
sky130_fd_sc_hd__mux2_1 _348_ (
.a0(_038_),
.a1(slave_data_rdata_i[34]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[2])
);
sky130_fd_sc_hd__mux2_1 _349_ (
.a0(_039_),
.a1(slave_data_rdata_i[35]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[3])
);
sky130_fd_sc_hd__mux2_1 _350_ (
.a0(_040_),
.a1(slave_data_rdata_i[36]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[4])
);
sky130_fd_sc_hd__mux2_1 _351_ (
.a0(_041_),
.a1(slave_data_rdata_i[37]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[5])
);
sky130_fd_sc_hd__mux2_1 _352_ (
.a0(_042_),
.a1(slave_data_rdata_i[38]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[6])
);
sky130_fd_sc_hd__mux2_1 _353_ (
.a0(_043_),
.a1(slave_data_rdata_i[39]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[7])
);
sky130_fd_sc_hd__mux2_1 _354_ (
.a0(_044_),
.a1(slave_data_rdata_i[40]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[8])
);
sky130_fd_sc_hd__mux2_1 _355_ (
.a0(_045_),
.a1(slave_data_rdata_i[41]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[9])
);
sky130_fd_sc_hd__mux2_1 _356_ (
.a0(_046_),
.a1(slave_data_rdata_i[42]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[10])
);
sky130_fd_sc_hd__mux2_1 _357_ (
.a0(_047_),
.a1(slave_data_rdata_i[43]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[11])
);
sky130_fd_sc_hd__mux2_1 _358_ (
.a0(_048_),
.a1(slave_data_rdata_i[44]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[12])
);
sky130_fd_sc_hd__mux2_1 _359_ (
.a0(_049_),
.a1(slave_data_rdata_i[45]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[13])
);
sky130_fd_sc_hd__mux2_1 _360_ (
.a0(_050_),
.a1(slave_data_rdata_i[46]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[14])
);
sky130_fd_sc_hd__mux2_1 _361_ (
.a0(_051_),
.a1(slave_data_rdata_i[47]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[15])
);
sky130_fd_sc_hd__mux2_1 _362_ (
.a0(_052_),
.a1(slave_data_rdata_i[48]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[16])
);
sky130_fd_sc_hd__mux2_1 _363_ (
.a0(_053_),
.a1(slave_data_rdata_i[49]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[17])
);
sky130_fd_sc_hd__mux2_1 _364_ (
.a0(_054_),
.a1(slave_data_rdata_i[50]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[18])
);
sky130_fd_sc_hd__mux2_1 _365_ (
.a0(_055_),
.a1(slave_data_rdata_i[51]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[19])
);
sky130_fd_sc_hd__mux2_1 _366_ (
.a0(_056_),
.a1(slave_data_rdata_i[52]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[20])
);
sky130_fd_sc_hd__mux2_1 _367_ (
.a0(_057_),
.a1(slave_data_rdata_i[53]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[21])
);
sky130_fd_sc_hd__mux2_1 _368_ (
.a0(_058_),
.a1(slave_data_rdata_i[54]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[22])
);
sky130_fd_sc_hd__mux2_1 _369_ (
.a0(_059_),
.a1(slave_data_rdata_i[55]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[23])
);
sky130_fd_sc_hd__mux2_1 _370_ (
.a0(_060_),
.a1(slave_data_rdata_i[56]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[24])
);
sky130_fd_sc_hd__mux2_1 _371_ (
.a0(_061_),
.a1(slave_data_rdata_i[57]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[25])
);
sky130_fd_sc_hd__mux2_1 _372_ (
.a0(_062_),
.a1(slave_data_rdata_i[58]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[26])
);
sky130_fd_sc_hd__mux2_1 _373_ (
.a0(_063_),
.a1(slave_data_rdata_i[59]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[27])
);
sky130_fd_sc_hd__mux2_1 _374_ (
.a0(_064_),
.a1(slave_data_rdata_i[60]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[28])
);
sky130_fd_sc_hd__mux2_1 _375_ (
.a0(_065_),
.a1(slave_data_rdata_i[61]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[29])
);
sky130_fd_sc_hd__mux2_1 _376_ (
.a0(_066_),
.a1(slave_data_rdata_i[62]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[30])
);
sky130_fd_sc_hd__mux2_1 _377_ (
.a0(_067_),
.a1(slave_data_rdata_i[63]),
.s(\arbiter_grant[2] ),
.x(master_data_rdata_o[31])
);
sky130_fd_sc_hd__mux2_1 _378_ (
.a0(_002_),
.a1(slave_data_rdata_i[32]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[32])
);
sky130_fd_sc_hd__mux2_1 _379_ (
.a0(_003_),
.a1(slave_data_rdata_i[33]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[33])
);
sky130_fd_sc_hd__mux2_1 _380_ (
.a0(_004_),
.a1(slave_data_rdata_i[34]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[34])
);
sky130_fd_sc_hd__mux2_1 _381_ (
.a0(_005_),
.a1(slave_data_rdata_i[35]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[35])
);
sky130_fd_sc_hd__mux2_1 _382_ (
.a0(_006_),
.a1(slave_data_rdata_i[36]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[36])
);
sky130_fd_sc_hd__mux2_1 _383_ (
.a0(_007_),
.a1(slave_data_rdata_i[37]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[37])
);
sky130_fd_sc_hd__mux2_1 _384_ (
.a0(_008_),
.a1(slave_data_rdata_i[38]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[38])
);
sky130_fd_sc_hd__mux2_1 _385_ (
.a0(_009_),
.a1(slave_data_rdata_i[39]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[39])
);
sky130_fd_sc_hd__mux2_1 _386_ (
.a0(_010_),
.a1(slave_data_rdata_i[40]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[40])
);
sky130_fd_sc_hd__mux2_1 _387_ (
.a0(_011_),
.a1(slave_data_rdata_i[41]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[41])
);
sky130_fd_sc_hd__mux2_1 _388_ (
.a0(_012_),
.a1(slave_data_rdata_i[42]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[42])
);
sky130_fd_sc_hd__mux2_1 _389_ (
.a0(_013_),
.a1(slave_data_rdata_i[43]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[43])
);
sky130_fd_sc_hd__mux2_1 _390_ (
.a0(_014_),
.a1(slave_data_rdata_i[44]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[44])
);
sky130_fd_sc_hd__mux2_1 _391_ (
.a0(_015_),
.a1(slave_data_rdata_i[45]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[45])
);
sky130_fd_sc_hd__mux2_1 _392_ (
.a0(_016_),
.a1(slave_data_rdata_i[46]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[46])
);
sky130_fd_sc_hd__mux2_1 _393_ (
.a0(_017_),
.a1(slave_data_rdata_i[47]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[47])
);
sky130_fd_sc_hd__mux2_1 _394_ (
.a0(_018_),
.a1(slave_data_rdata_i[48]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[48])
);
sky130_fd_sc_hd__mux2_1 _395_ (
.a0(_019_),
.a1(slave_data_rdata_i[49]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[49])
);
sky130_fd_sc_hd__mux2_1 _396_ (
.a0(_020_),
.a1(slave_data_rdata_i[50]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[50])
);
sky130_fd_sc_hd__mux2_1 _397_ (
.a0(_021_),
.a1(slave_data_rdata_i[51]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[51])
);
sky130_fd_sc_hd__mux2_1 _398_ (
.a0(_022_),
.a1(slave_data_rdata_i[52]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[52])
);
sky130_fd_sc_hd__mux2_1 _399_ (
.a0(_023_),
.a1(slave_data_rdata_i[53]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[53])
);
sky130_fd_sc_hd__mux2_1 _400_ (
.a0(_024_),
.a1(slave_data_rdata_i[54]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[54])
);
sky130_fd_sc_hd__mux2_1 _401_ (
.a0(_025_),
.a1(slave_data_rdata_i[55]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[55])
);
sky130_fd_sc_hd__mux2_1 _402_ (
.a0(_026_),
.a1(slave_data_rdata_i[56]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[56])
);
sky130_fd_sc_hd__mux2_1 _403_ (
.a0(_027_),
.a1(slave_data_rdata_i[57]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[57])
);
sky130_fd_sc_hd__mux2_1 _404_ (
.a0(_028_),
.a1(slave_data_rdata_i[58]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[58])
);
sky130_fd_sc_hd__mux2_1 _405_ (
.a0(_029_),
.a1(slave_data_rdata_i[59]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[59])
);
sky130_fd_sc_hd__mux2_1 _406_ (
.a0(_030_),
.a1(slave_data_rdata_i[60]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[60])
);
sky130_fd_sc_hd__mux2_1 _407_ (
.a0(_031_),
.a1(slave_data_rdata_i[61]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[61])
);
sky130_fd_sc_hd__mux2_1 _408_ (
.a0(_032_),
.a1(slave_data_rdata_i[62]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[62])
);
sky130_fd_sc_hd__mux2_1 _409_ (
.a0(_033_),
.a1(slave_data_rdata_i[63]),
.s(\arbiter_grant[3] ),
.x(master_data_rdata_o[63])
);
sky130_fd_sc_hd__mux2_1 _410_ (
.a0(_034_),
.a1(_035_),
.s(\arbiter_grant[2] ),
.x(master_data_gnt_o[0])
);
sky130_fd_sc_hd__mux2_1 _411_ (
.a0(_000_),
.a1(_001_),
.s(\arbiter_grant[3] ),
.x(master_data_gnt_o[1])
);
sky130_fd_sc_hd__mux2_1 _412_ (
.a0(_079_),
.a1(master_data_req_i[1]),
.s(\arbiter_grant[1] ),
.x(slave_data_req_o[0])
);
sky130_fd_sc_hd__mux2_1 _413_ (
.a0(_068_),
.a1(master_data_req_i[1]),
.s(\arbiter_grant[3] ),
.x(slave_data_req_o[1])
);
sky130_fd_sc_hd__mux2_1 _414_ (
.a0(_080_),
.a1(master_data_addr_i[11]),
.s(\arbiter_grant[1] ),
.x(slave_data_addr_o[0])
);
sky130_fd_sc_hd__mux2_1 _415_ (
.a0(_081_),
.a1(master_data_addr_i[12]),
.s(\arbiter_grant[1] ),
.x(slave_data_addr_o[1])
);
sky130_fd_sc_hd__mux2_1 _416_ (
.a0(_082_),
.a1(master_data_addr_i[13]),
.s(\arbiter_grant[1] ),
.x(slave_data_addr_o[2])
);
sky130_fd_sc_hd__mux2_1 _417_ (
.a0(_083_),
.a1(master_data_addr_i[14]),
.s(\arbiter_grant[1] ),
.x(slave_data_addr_o[3])
);
sky130_fd_sc_hd__mux2_1 _418_ (
.a0(_084_),
.a1(master_data_addr_i[15]),
.s(\arbiter_grant[1] ),
.x(slave_data_addr_o[4])
);
sky130_fd_sc_hd__mux2_1 _419_ (
.a0(_085_),
.a1(master_data_addr_i[16]),
.s(\arbiter_grant[1] ),
.x(slave_data_addr_o[5])
);
sky130_fd_sc_hd__mux2_1 _420_ (
.a0(_086_),
.a1(master_data_addr_i[17]),
.s(\arbiter_grant[1] ),
.x(slave_data_addr_o[6])
);
sky130_fd_sc_hd__mux2_1 _421_ (
.a0(_087_),
.a1(master_data_addr_i[18]),
.s(\arbiter_grant[1] ),
.x(slave_data_addr_o[7])
);
sky130_fd_sc_hd__mux2_1 _422_ (
.a0(_088_),
.a1(master_data_addr_i[19]),
.s(\arbiter_grant[1] ),
.x(slave_data_addr_o[8])
);
sky130_fd_sc_hd__mux2_1 _423_ (
.a0(_089_),
.a1(master_data_addr_i[20]),
.s(\arbiter_grant[1] ),
.x(slave_data_addr_o[9])
);
sky130_fd_sc_hd__mux2_1 _424_ (
.a0(_069_),
.a1(master_data_addr_i[11]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[10])
);
sky130_fd_sc_hd__mux2_1 _425_ (
.a0(_070_),
.a1(master_data_addr_i[12]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[11])
);
sky130_fd_sc_hd__mux2_1 _426_ (
.a0(_071_),
.a1(master_data_addr_i[13]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[12])
);
sky130_fd_sc_hd__mux2_1 _427_ (
.a0(_072_),
.a1(master_data_addr_i[14]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[13])
);
sky130_fd_sc_hd__mux2_1 _428_ (
.a0(_073_),
.a1(master_data_addr_i[15]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[14])
);
sky130_fd_sc_hd__mux2_1 _429_ (
.a0(_074_),
.a1(master_data_addr_i[16]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[15])
);
sky130_fd_sc_hd__mux2_1 _430_ (
.a0(_075_),
.a1(master_data_addr_i[17]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[16])
);
sky130_fd_sc_hd__mux2_1 _431_ (
.a0(_076_),
.a1(master_data_addr_i[18]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[17])
);
sky130_fd_sc_hd__mux2_1 _432_ (
.a0(_077_),
.a1(master_data_addr_i[19]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[18])
);
sky130_fd_sc_hd__mux2_1 _433_ (
.a0(_078_),
.a1(master_data_addr_i[20]),
.s(\arbiter_grant[3] ),
.x(slave_data_addr_o[19])
);
\$paramod\arbiter\num_ports=s32'00000000000000000000000000000010 \generate_arbiters[0].i_arb (
.active(arb_active),
.clk(clk),
.grant({ \arbiter_grant[1] , \arbiter_grant[0] }),
.request({ \arbiter_request[1] , \arbiter_request[0] }),
.rst(reset)
);
\$paramod\arbiter\num_ports=s32'00000000000000000000000000000010 \generate_arbiters[1].i_arb (
.active(arb_active),
.clk(clk),
.grant({ \arbiter_grant[3] , \arbiter_grant[2] }),
.request({ \arbiter_request[3] , \arbiter_request[2] }),
.rst(reset)
);
endmodule
module uart(clk, rst, rx, tx, transmit, tx_byte, received, rx_byte, is_receiving, is_transmitting, recv_error);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
wire _145_;
wire _146_;
wire _147_;
wire _148_;
wire _149_;
wire _150_;
wire _151_;
wire _152_;
wire _153_;
wire _154_;
wire _155_;
wire _156_;
wire _157_;
wire _158_;
wire _159_;
wire _160_;
wire _161_;
wire _162_;
wire _163_;
wire _164_;
wire _165_;
wire _166_;
wire _167_;
wire _168_;
wire _169_;
wire _170_;
wire _171_;
wire _172_;
wire _173_;
wire _174_;
wire _175_;
wire _176_;
wire _177_;
wire _178_;
wire _179_;
wire _180_;
wire _181_;
wire _182_;
wire _183_;
wire _184_;
wire _185_;
wire _186_;
wire _187_;
wire _188_;
wire _189_;
wire _190_;
wire _191_;
wire _192_;
wire _193_;
wire _194_;
wire _195_;
wire _196_;
wire _197_;
wire _198_;
wire _199_;
wire _200_;
wire _201_;
wire _202_;
wire _203_;
wire _204_;
wire _205_;
wire _206_;
wire _207_;
wire _208_;
wire _209_;
wire _210_;
wire _211_;
wire _212_;
wire _213_;
wire _214_;
wire _215_;
wire _216_;
wire _217_;
wire _218_;
wire _219_;
wire _220_;
wire _221_;
wire _222_;
wire _223_;
wire _224_;
wire _225_;
wire _226_;
wire _227_;
wire _228_;
wire _229_;
wire _230_;
wire _231_;
wire _232_;
wire _233_;
wire _234_;
wire _235_;
wire _236_;
wire _237_;
wire _238_;
wire _239_;
wire _240_;
wire _241_;
wire _242_;
wire _243_;
wire _244_;
wire _245_;
wire _246_;
wire _247_;
wire _248_;
wire _249_;
wire _250_;
wire _251_;
wire _252_;
wire _253_;
wire _254_;
wire _255_;
wire _256_;
wire _257_;
wire _258_;
wire _259_;
wire _260_;
wire _261_;
wire _262_;
wire _263_;
wire _264_;
wire _265_;
wire _266_;
wire _267_;
wire _268_;
wire _269_;
wire _270_;
wire _271_;
wire _272_;
wire _273_;
wire _274_;
wire _275_;
wire _276_;
wire _277_;
wire _278_;
wire _279_;
wire _280_;
wire _281_;
wire _282_;
wire _283_;
wire _284_;
wire _285_;
wire _286_;
wire _287_;
wire _288_;
wire _289_;
wire _290_;
wire _291_;
wire _292_;
wire _293_;
wire _294_;
wire _295_;
wire _296_;
wire _297_;
wire _298_;
wire _299_;
wire _300_;
wire _301_;
wire _302_;
wire _303_;
wire _304_;
wire _305_;
wire _306_;
wire _307_;
wire _308_;
wire _309_;
wire _310_;
wire _311_;
wire _312_;
wire _313_;
wire _314_;
wire _315_;
wire _316_;
wire _317_;
wire _318_;
wire _319_;
wire _320_;
wire _321_;
wire _322_;
wire _323_;
wire _324_;
wire _325_;
wire _326_;
wire _327_;
wire _328_;
wire _329_;
wire _330_;
wire _331_;
wire _332_;
wire _333_;
wire _334_;
wire _335_;
wire _336_;
wire _337_;
wire _338_;
wire _339_;
wire _340_;
wire _341_;
wire _342_;
wire _343_;
wire _344_;
wire _345_;
wire _346_;
wire _347_;
wire _348_;
wire _349_;
wire _350_;
wire _351_;
wire _352_;
wire _353_;
wire _354_;
wire _355_;
wire _356_;
wire _357_;
wire _358_;
wire _359_;
wire _360_;
wire _361_;
wire _362_;
wire _363_;
wire _364_;
wire _365_;
wire _366_;
wire _367_;
wire _368_;
wire _369_;
wire _370_;
wire _371_;
wire _372_;
wire _373_;
wire _374_;
wire _375_;
wire _376_;
wire _377_;
wire _378_;
wire _379_;
wire _380_;
wire _381_;
wire _382_;
wire _383_;
wire _384_;
wire _385_;
wire _386_;
wire _387_;
wire _388_;
wire _389_;
wire _390_;
wire _391_;
wire _392_;
wire _393_;
wire _394_;
wire _395_;
wire _396_;
wire _397_;
input clk;
output is_receiving;
output is_transmitting;
output received;
output recv_error;
wire \recv_state[0] ;
wire \recv_state[1] ;
wire \recv_state[2] ;
input rst;
input rx;
wire \rx_bits_remaining[0] ;
wire \rx_bits_remaining[1] ;
wire \rx_bits_remaining[2] ;
wire \rx_bits_remaining[3] ;
output [7:0] rx_byte;
wire \rx_clk_divider[0] ;
wire \rx_clk_divider[10] ;
wire \rx_clk_divider[1] ;
wire \rx_clk_divider[2] ;
wire \rx_clk_divider[3] ;
wire \rx_clk_divider[4] ;
wire \rx_clk_divider[5] ;
wire \rx_clk_divider[6] ;
wire \rx_clk_divider[7] ;
wire \rx_clk_divider[8] ;
wire \rx_clk_divider[9] ;
wire \rx_countdown[0] ;
wire \rx_countdown[1] ;
wire \rx_countdown[2] ;
wire \rx_countdown[3] ;
wire \rx_countdown[4] ;
wire \rx_countdown[5] ;
input transmit;
output tx;
wire \tx_bits_remaining[0] ;
wire \tx_bits_remaining[1] ;
wire \tx_bits_remaining[2] ;
wire \tx_bits_remaining[3] ;
input [7:0] tx_byte;
wire \tx_clk_divider[0] ;
wire \tx_clk_divider[10] ;
wire \tx_clk_divider[1] ;
wire \tx_clk_divider[2] ;
wire \tx_clk_divider[3] ;
wire \tx_clk_divider[4] ;
wire \tx_clk_divider[5] ;
wire \tx_clk_divider[6] ;
wire \tx_clk_divider[7] ;
wire \tx_clk_divider[8] ;
wire \tx_clk_divider[9] ;
wire \tx_countdown[0] ;
wire \tx_countdown[1] ;
wire \tx_countdown[2] ;
wire \tx_countdown[3] ;
wire \tx_countdown[4] ;
wire \tx_countdown[5] ;
wire \tx_data[0] ;
wire \tx_data[1] ;
wire \tx_data[2] ;
wire \tx_data[3] ;
wire \tx_data[4] ;
wire \tx_data[5] ;
wire \tx_data[6] ;
wire \tx_data[7] ;
wire tx_out;
wire \tx_state[0] ;
wire \tx_state[1] ;
sky130_fd_sc_hd__nand2b_2 _398_ (
.a_n(rst),
.b(\tx_state[0] ),
.y(_195_)
);
sky130_fd_sc_hd__or2b_2 _399_ (
.a(rst),
.b_n(\tx_state[1] ),
.x(_196_)
);
sky130_fd_sc_hd__inv_2 _400_ (
.a(_196_),
.y(_050_)
);
sky130_fd_sc_hd__or2_2 _401_ (
.a(_195_),
.b(_050_),
.x(_197_)
);
sky130_fd_sc_hd__inv_2 _402_ (
.a(_197_),
.y(_198_)
);
sky130_fd_sc_hd__buf_1 _403_ (
.a(_198_),
.x(_199_)
);
sky130_fd_sc_hd__buf_1 _404_ (
.a(_199_),
.x(_051_)
);
sky130_fd_sc_hd__buf_1 _405_ (
.a(_197_),
.x(_200_)
);
sky130_fd_sc_hd__or2_2 _406_ (
.a(\tx_bits_remaining[1] ),
.b(\tx_bits_remaining[0] ),
.x(_201_)
);
sky130_fd_sc_hd__inv_2 _407_ (
.a(\tx_bits_remaining[2] ),
.y(_202_)
);
sky130_fd_sc_hd__inv_2 _408_ (
.a(_201_),
.y(_203_)
);
sky130_fd_sc_hd__o22a_2 _409_ (
.a1(\tx_bits_remaining[2] ),
.a2(_201_),
.b1(_202_),
.b2(_203_),
.x(_204_)
);
sky130_fd_sc_hd__or3_2 _410_ (
.a(_058_),
.b(_064_),
.c(_062_),
.x(_205_)
);
sky130_fd_sc_hd__or4_2 _411_ (
.a(\tx_clk_divider[4] ),
.b(\tx_clk_divider[5] ),
.c(\tx_clk_divider[6] ),
.d(\tx_clk_divider[7] ),
.x(_206_)
);
sky130_fd_sc_hd__or2_2 _412_ (
.a(\tx_clk_divider[0] ),
.b(\tx_clk_divider[1] ),
.x(_207_)
);
sky130_fd_sc_hd__or3_2 _413_ (
.a(\tx_clk_divider[2] ),
.b(_207_),
.c(\tx_clk_divider[3] ),
.x(_208_)
);
sky130_fd_sc_hd__or2_2 _414_ (
.a(_206_),
.b(_208_),
.x(_209_)
);
sky130_fd_sc_hd__or3_2 _415_ (
.a(\tx_clk_divider[8] ),
.b(\tx_clk_divider[9] ),
.c(_209_),
.x(_210_)
);
sky130_fd_sc_hd__o2bb2a_2 _416_ (
.a1_n(\tx_clk_divider[10] ),
.a2_n(_210_),
.b1(\tx_clk_divider[10] ),
.b2(_210_),
.x(_211_)
);
sky130_fd_sc_hd__inv_2 _417_ (
.a(_211_),
.y(_091_)
);
sky130_fd_sc_hd__inv_2 _418_ (
.a(\tx_clk_divider[0] ),
.y(_212_)
);
sky130_fd_sc_hd__or4_2 _419_ (
.a(\tx_clk_divider[8] ),
.b(\tx_clk_divider[9] ),
.c(\tx_clk_divider[2] ),
.d(\tx_clk_divider[3] ),
.x(_213_)
);
sky130_fd_sc_hd__or4_2 _420_ (
.a(_212_),
.b(\tx_clk_divider[1] ),
.c(_213_),
.d(_206_),
.x(_214_)
);
sky130_fd_sc_hd__or2_2 _421_ (
.a(_091_),
.b(_214_),
.x(_215_)
);
sky130_fd_sc_hd__inv_2 _422_ (
.a(\tx_countdown[0] ),
.y(_216_)
);
sky130_fd_sc_hd__inv_2 _423_ (
.a(_215_),
.y(_217_)
);
sky130_fd_sc_hd__a22o_2 _424_ (
.a1(\tx_countdown[0] ),
.a2(_215_),
.b1(_216_),
.b2(_217_),
.x(_143_)
);
sky130_fd_sc_hd__or4_2 _425_ (
.a(_056_),
.b(_060_),
.c(_205_),
.d(_143_),
.x(_218_)
);
sky130_fd_sc_hd__buf_1 _426_ (
.a(_218_),
.x(_065_)
);
sky130_fd_sc_hd__inv_2 _427_ (
.a(_195_),
.y(_049_)
);
sky130_fd_sc_hd__or2_2 _428_ (
.a(_049_),
.b(_050_),
.x(_219_)
);
sky130_fd_sc_hd__buf_1 _429_ (
.a(_219_),
.x(_053_)
);
sky130_fd_sc_hd__or2_2 _430_ (
.a(transmit),
.b(_053_),
.x(_220_)
);
sky130_fd_sc_hd__and2_2 _431_ (
.a(_070_),
.b(_220_),
.x(_221_)
);
sky130_fd_sc_hd__a21bo_2 _432_ (
.a1(_198_),
.a2(_065_),
.b1_n(_221_),
.x(_222_)
);
sky130_fd_sc_hd__inv_2 _433_ (
.a(_222_),
.y(_223_)
);
sky130_fd_sc_hd__buf_1 _434_ (
.a(_223_),
.x(_224_)
);
sky130_fd_sc_hd__o32a_2 _435_ (
.a1(_200_),
.a2(_204_),
.a3(_222_),
.b1(_202_),
.b2(_224_),
.x(_225_)
);
sky130_fd_sc_hd__inv_2 _436_ (
.a(_225_),
.y(_193_)
);
sky130_fd_sc_hd__a21o_2 _437_ (
.a1(\tx_bits_remaining[1] ),
.a2(\tx_bits_remaining[0] ),
.b1(_203_),
.x(_226_)
);
sky130_fd_sc_hd__buf_1 _438_ (
.a(_222_),
.x(_227_)
);
sky130_fd_sc_hd__a32o_2 _439_ (
.a1(_199_),
.a2(_226_),
.a3(_224_),
.b1(\tx_bits_remaining[1] ),
.b2(_227_),
.x(_192_)
);
sky130_fd_sc_hd__nor2_2 _440_ (
.a(\tx_bits_remaining[0] ),
.b(_200_),
.y(_228_)
);
sky130_fd_sc_hd__buf_1 _441_ (
.a(_223_),
.x(_229_)
);
sky130_fd_sc_hd__o22a_2 _442_ (
.a1(_227_),
.a2(_228_),
.b1(\tx_bits_remaining[0] ),
.b2(_229_),
.x(_191_)
);
sky130_fd_sc_hd__inv_2 _443_ (
.a(\recv_state[1] ),
.y(_230_)
);
sky130_fd_sc_hd__or2_2 _444_ (
.a(_230_),
.b(rst),
.x(_231_)
);
sky130_fd_sc_hd__buf_1 _445_ (
.a(_231_),
.x(_164_)
);
sky130_fd_sc_hd__inv_2 _446_ (
.a(_164_),
.y(_030_)
);
sky130_fd_sc_hd__inv_2 _447_ (
.a(\recv_state[0] ),
.y(_232_)
);
sky130_fd_sc_hd__or2_2 _448_ (
.a(_232_),
.b(rst),
.x(_233_)
);
sky130_fd_sc_hd__buf_1 _449_ (
.a(_233_),
.x(_158_)
);
sky130_fd_sc_hd__or2_2 _450_ (
.a(_030_),
.b(_158_),
.x(_234_)
);
sky130_fd_sc_hd__or2_2 _451_ (
.a(\recv_state[2] ),
.b(_234_),
.x(_235_)
);
sky130_fd_sc_hd__inv_2 _452_ (
.a(_235_),
.y(_034_)
);
sky130_fd_sc_hd__or3_2 _453_ (
.a(_040_),
.b(_046_),
.c(_044_),
.x(_236_)
);
sky130_fd_sc_hd__or2_2 _454_ (
.a(\rx_clk_divider[0] ),
.b(\rx_clk_divider[1] ),
.x(_237_)
);
sky130_fd_sc_hd__or3_2 _455_ (
.a(\rx_clk_divider[2] ),
.b(\rx_clk_divider[3] ),
.c(_237_),
.x(_238_)
);
sky130_fd_sc_hd__or4_2 _456_ (
.a(\rx_clk_divider[5] ),
.b(\rx_clk_divider[6] ),
.c(\rx_clk_divider[4] ),
.d(\rx_clk_divider[7] ),
.x(_239_)
);
sky130_fd_sc_hd__or2_2 _457_ (
.a(_238_),
.b(_239_),
.x(_240_)
);
sky130_fd_sc_hd__or3_2 _458_ (
.a(\rx_clk_divider[8] ),
.b(\rx_clk_divider[9] ),
.c(_240_),
.x(_241_)
);
sky130_fd_sc_hd__o2bb2a_2 _459_ (
.a1_n(\rx_clk_divider[10] ),
.a2_n(_241_),
.b1(\rx_clk_divider[10] ),
.b2(_241_),
.x(_242_)
);
sky130_fd_sc_hd__inv_2 _460_ (
.a(_242_),
.y(_115_)
);
sky130_fd_sc_hd__inv_2 _461_ (
.a(\rx_clk_divider[0] ),
.y(_243_)
);
sky130_fd_sc_hd__or4_2 _462_ (
.a(\rx_clk_divider[8] ),
.b(\rx_clk_divider[9] ),
.c(\rx_clk_divider[2] ),
.d(\rx_clk_divider[3] ),
.x(_244_)
);
sky130_fd_sc_hd__or4_2 _463_ (
.a(_243_),
.b(\rx_clk_divider[1] ),
.c(_244_),
.d(_239_),
.x(_245_)
);
sky130_fd_sc_hd__or2_2 _464_ (
.a(_115_),
.b(_245_),
.x(_246_)
);
sky130_fd_sc_hd__o2bb2a_2 _465_ (
.a1_n(\rx_countdown[0] ),
.a2_n(_246_),
.b1(\rx_countdown[0] ),
.b2(_246_),
.x(_247_)
);
sky130_fd_sc_hd__buf_1 _466_ (
.a(_247_),
.x(_036_)
);
sky130_fd_sc_hd__inv_2 _467_ (
.a(_036_),
.y(_117_)
);
sky130_fd_sc_hd__or4_2 _468_ (
.a(_038_),
.b(_042_),
.c(_236_),
.d(_117_),
.x(_248_)
);
sky130_fd_sc_hd__buf_1 _469_ (
.a(_248_),
.x(_119_)
);
sky130_fd_sc_hd__inv_2 _470_ (
.a(_119_),
.y(_249_)
);
sky130_fd_sc_hd__buf_1 _471_ (
.a(_249_),
.x(_047_)
);
sky130_fd_sc_hd__inv_2 _472_ (
.a(_158_),
.y(_029_)
);
sky130_fd_sc_hd__inv_2 _473_ (
.a(\recv_state[2] ),
.y(_250_)
);
sky130_fd_sc_hd__or2_2 _474_ (
.a(_250_),
.b(rst),
.x(_251_)
);
sky130_fd_sc_hd__buf_1 _475_ (
.a(_251_),
.x(_032_)
);
sky130_fd_sc_hd__inv_2 _476_ (
.a(_032_),
.y(_031_)
);
sky130_fd_sc_hd__or3_2 _477_ (
.a(_029_),
.b(_031_),
.c(_164_),
.x(_252_)
);
sky130_fd_sc_hd__buf_1 _478_ (
.a(_252_),
.x(_253_)
);
sky130_fd_sc_hd__o21ai_2 _479_ (
.a1(_249_),
.a2(_253_),
.b1(_048_),
.y(_254_)
);
sky130_fd_sc_hd__a31o_2 _480_ (
.a1(rx),
.a2(_034_),
.a3(_047_),
.b1(_254_),
.x(_255_)
);
sky130_fd_sc_hd__buf_1 _481_ (
.a(_255_),
.x(_256_)
);
sky130_fd_sc_hd__or2_2 _482_ (
.a(\rx_bits_remaining[1] ),
.b(\rx_bits_remaining[0] ),
.x(_257_)
);
sky130_fd_sc_hd__inv_2 _483_ (
.a(_253_),
.y(_033_)
);
sky130_fd_sc_hd__o21ai_2 _484_ (
.a1(\rx_bits_remaining[2] ),
.a2(_257_),
.b1(\rx_bits_remaining[3] ),
.y(_258_)
);
sky130_fd_sc_hd__o311a_2 _485_ (
.a1(\rx_bits_remaining[2] ),
.a2(_257_),
.a3(\rx_bits_remaining[3] ),
.b1(_033_),
.c1(_258_),
.x(_259_)
);
sky130_fd_sc_hd__o2bb2ai_2 _486_ (
.a1_n(\rx_bits_remaining[3] ),
.a2_n(_256_),
.b1(_256_),
.b2(_259_),
.y(_190_)
);
sky130_fd_sc_hd__a2bb2oi_2 _487_ (
.a1_n(\rx_bits_remaining[2] ),
.a2_n(_257_),
.b1(\rx_bits_remaining[2] ),
.b2(_257_),
.y(_260_)
);
sky130_fd_sc_hd__nor2_2 _488_ (
.a(_253_),
.b(_260_),
.y(_261_)
);
sky130_fd_sc_hd__mux2_2 _489_ (
.a0(_261_),
.a1(\rx_bits_remaining[2] ),
.s(_255_),
.x(_262_)
);
sky130_fd_sc_hd__buf_1 _490_ (
.a(_262_),
.x(_189_)
);
sky130_fd_sc_hd__inv_2 _491_ (
.a(\rx_bits_remaining[1] ),
.y(_263_)
);
sky130_fd_sc_hd__inv_2 _492_ (
.a(\rx_bits_remaining[0] ),
.y(_264_)
);
sky130_fd_sc_hd__o221a_2 _493_ (
.a1(\rx_bits_remaining[1] ),
.a2(_264_),
.b1(_263_),
.b2(\rx_bits_remaining[0] ),
.c1(_033_),
.x(_265_)
);
sky130_fd_sc_hd__o2bb2a_2 _494_ (
.a1_n(_263_),
.a2_n(_256_),
.b1(_256_),
.b2(_265_),
.x(_188_)
);
sky130_fd_sc_hd__buf_1 _495_ (
.a(_253_),
.x(_266_)
);
sky130_fd_sc_hd__nor2_2 _496_ (
.a(\rx_bits_remaining[0] ),
.b(_266_),
.y(_267_)
);
sky130_fd_sc_hd__mux2_2 _497_ (
.a0(_267_),
.a1(\rx_bits_remaining[0] ),
.s(_255_),
.x(_268_)
);
sky130_fd_sc_hd__buf_1 _498_ (
.a(_268_),
.x(_187_)
);
sky130_fd_sc_hd__a32o_2 _499_ (
.a1(tx_byte[7]),
.a2(_200_),
.a3(_221_),
.b1(\tx_data[7] ),
.b2(_227_),
.x(_186_)
);
sky130_fd_sc_hd__buf_1 _500_ (
.a(_227_),
.x(_269_)
);
sky130_fd_sc_hd__o22a_2 _501_ (
.a1(_028_),
.a2(_269_),
.b1(\tx_data[6] ),
.b2(_229_),
.x(_185_)
);
sky130_fd_sc_hd__o22a_2 _502_ (
.a1(_027_),
.a2(_269_),
.b1(\tx_data[5] ),
.b2(_229_),
.x(_184_)
);
sky130_fd_sc_hd__buf_1 _503_ (
.a(_224_),
.x(_270_)
);
sky130_fd_sc_hd__o22a_2 _504_ (
.a1(_026_),
.a2(_269_),
.b1(\tx_data[4] ),
.b2(_270_),
.x(_183_)
);
sky130_fd_sc_hd__o22a_2 _505_ (
.a1(_025_),
.a2(_269_),
.b1(\tx_data[3] ),
.b2(_270_),
.x(_182_)
);
sky130_fd_sc_hd__buf_1 _506_ (
.a(_222_),
.x(_271_)
);
sky130_fd_sc_hd__o22a_2 _507_ (
.a1(_024_),
.a2(_271_),
.b1(\tx_data[2] ),
.b2(_270_),
.x(_181_)
);
sky130_fd_sc_hd__o22a_2 _508_ (
.a1(_023_),
.a2(_271_),
.b1(\tx_data[1] ),
.b2(_270_),
.x(_180_)
);
sky130_fd_sc_hd__a22o_2 _509_ (
.a1(\tx_data[0] ),
.a2(_271_),
.b1(_022_),
.b2(_224_),
.x(_179_)
);
sky130_fd_sc_hd__inv_2 _510_ (
.a(_066_),
.y(_272_)
);
sky130_fd_sc_hd__buf_1 _511_ (
.a(_198_),
.x(_273_)
);
sky130_fd_sc_hd__or3_2 _512_ (
.a(\tx_bits_remaining[2] ),
.b(_201_),
.c(\tx_bits_remaining[3] ),
.x(_274_)
);
sky130_fd_sc_hd__buf_1 _513_ (
.a(_274_),
.x(_068_)
);
sky130_fd_sc_hd__inv_2 _514_ (
.a(_068_),
.y(_067_)
);
sky130_fd_sc_hd__or2_2 _515_ (
.a(\tx_data[0] ),
.b(_067_),
.x(_275_)
);
sky130_fd_sc_hd__inv_2 _516_ (
.a(_220_),
.y(_276_)
);
sky130_fd_sc_hd__o21a_2 _517_ (
.a1(_066_),
.a2(_276_),
.b1(tx),
.x(_277_)
);
sky130_fd_sc_hd__a31o_2 _518_ (
.a1(_272_),
.a2(_273_),
.a3(_275_),
.b1(_277_),
.x(_178_)
);
sky130_fd_sc_hd__or2_2 _519_ (
.a(_119_),
.b(_252_),
.x(_278_)
);
sky130_fd_sc_hd__buf_1 _520_ (
.a(_278_),
.x(_279_)
);
sky130_fd_sc_hd__inv_2 _521_ (
.a(_278_),
.y(_280_)
);
sky130_fd_sc_hd__buf_1 _522_ (
.a(_280_),
.x(_281_)
);
sky130_fd_sc_hd__a22o_2 _523_ (
.a1(rx_byte[7]),
.a2(_279_),
.b1(rx),
.b2(_281_),
.x(_177_)
);
sky130_fd_sc_hd__a22o_2 _524_ (
.a1(rx_byte[6]),
.a2(_279_),
.b1(rx_byte[7]),
.b2(_281_),
.x(_176_)
);
sky130_fd_sc_hd__a22o_2 _525_ (
.a1(rx_byte[5]),
.a2(_279_),
.b1(rx_byte[6]),
.b2(_281_),
.x(_175_)
);
sky130_fd_sc_hd__a22o_2 _526_ (
.a1(rx_byte[4]),
.a2(_279_),
.b1(rx_byte[5]),
.b2(_281_),
.x(_174_)
);
sky130_fd_sc_hd__buf_1 _527_ (
.a(_278_),
.x(_282_)
);
sky130_fd_sc_hd__buf_1 _528_ (
.a(_280_),
.x(_283_)
);
sky130_fd_sc_hd__a22o_2 _529_ (
.a1(rx_byte[3]),
.a2(_282_),
.b1(rx_byte[4]),
.b2(_283_),
.x(_173_)
);
sky130_fd_sc_hd__a22o_2 _530_ (
.a1(rx_byte[2]),
.a2(_282_),
.b1(rx_byte[3]),
.b2(_283_),
.x(_172_)
);
sky130_fd_sc_hd__a22o_2 _531_ (
.a1(rx_byte[1]),
.a2(_282_),
.b1(rx_byte[2]),
.b2(_283_),
.x(_171_)
);
sky130_fd_sc_hd__a22o_2 _532_ (
.a1(rx_byte[0]),
.a2(_282_),
.b1(rx_byte[1]),
.b2(_283_),
.x(_170_)
);
sky130_fd_sc_hd__or3_2 _533_ (
.a(\recv_state[0] ),
.b(\recv_state[1] ),
.c(\recv_state[2] ),
.x(_284_)
);
sky130_fd_sc_hd__buf_1 _534_ (
.a(_284_),
.x(is_receiving)
);
sky130_fd_sc_hd__or2_2 _535_ (
.a(\tx_state[1] ),
.b(\tx_state[0] ),
.x(_285_)
);
sky130_fd_sc_hd__buf_1 _536_ (
.a(_285_),
.x(is_transmitting)
);
sky130_fd_sc_hd__inv_2 _537_ (
.a(_246_),
.y(_286_)
);
sky130_fd_sc_hd__buf_1 _538_ (
.a(_286_),
.x(_035_)
);
sky130_fd_sc_hd__or2_2 _539_ (
.a(\rx_countdown[0] ),
.b(\rx_countdown[1] ),
.x(_287_)
);
sky130_fd_sc_hd__a21bo_2 _540_ (
.a1(\rx_countdown[0] ),
.a2(\rx_countdown[1] ),
.b1_n(_287_),
.x(_037_)
);
sky130_fd_sc_hd__or2_2 _541_ (
.a(\rx_countdown[2] ),
.b(_287_),
.x(_288_)
);
sky130_fd_sc_hd__a21bo_2 _542_ (
.a1(\rx_countdown[2] ),
.a2(_287_),
.b1_n(_288_),
.x(_039_)
);
sky130_fd_sc_hd__or2_2 _543_ (
.a(\rx_countdown[3] ),
.b(_288_),
.x(_289_)
);
sky130_fd_sc_hd__a21bo_2 _544_ (
.a1(\rx_countdown[3] ),
.a2(_288_),
.b1_n(_289_),
.x(_041_)
);
sky130_fd_sc_hd__or2_2 _545_ (
.a(\rx_countdown[4] ),
.b(_289_),
.x(_290_)
);
sky130_fd_sc_hd__inv_2 _546_ (
.a(_290_),
.y(_291_)
);
sky130_fd_sc_hd__a21o_2 _547_ (
.a1(\rx_countdown[4] ),
.a2(_289_),
.b1(_291_),
.x(_043_)
);
sky130_fd_sc_hd__inv_2 _548_ (
.a(\rx_countdown[5] ),
.y(_292_)
);
sky130_fd_sc_hd__a22o_2 _549_ (
.a1(\rx_countdown[5] ),
.a2(_290_),
.b1(_292_),
.b2(_291_),
.x(_045_)
);
sky130_fd_sc_hd__inv_2 _550_ (
.a(_053_),
.y(_052_)
);
sky130_fd_sc_hd__buf_1 _551_ (
.a(_217_),
.x(_054_)
);
sky130_fd_sc_hd__or2_2 _552_ (
.a(\tx_countdown[0] ),
.b(\tx_countdown[1] ),
.x(_293_)
);
sky130_fd_sc_hd__a21bo_2 _553_ (
.a1(\tx_countdown[0] ),
.a2(\tx_countdown[1] ),
.b1_n(_293_),
.x(_055_)
);
sky130_fd_sc_hd__or2_2 _554_ (
.a(\tx_countdown[2] ),
.b(_293_),
.x(_294_)
);
sky130_fd_sc_hd__a21bo_2 _555_ (
.a1(\tx_countdown[2] ),
.a2(_293_),
.b1_n(_294_),
.x(_057_)
);
sky130_fd_sc_hd__or2_2 _556_ (
.a(\tx_countdown[3] ),
.b(_294_),
.x(_295_)
);
sky130_fd_sc_hd__a21bo_2 _557_ (
.a1(\tx_countdown[3] ),
.a2(_294_),
.b1_n(_295_),
.x(_059_)
);
sky130_fd_sc_hd__or2_2 _558_ (
.a(\tx_countdown[4] ),
.b(_295_),
.x(_296_)
);
sky130_fd_sc_hd__inv_2 _559_ (
.a(_296_),
.y(_297_)
);
sky130_fd_sc_hd__a21o_2 _560_ (
.a1(\tx_countdown[4] ),
.a2(_295_),
.b1(_297_),
.x(_061_)
);
sky130_fd_sc_hd__inv_2 _561_ (
.a(\tx_countdown[5] ),
.y(_298_)
);
sky130_fd_sc_hd__a22o_2 _562_ (
.a1(\tx_countdown[5] ),
.a2(_296_),
.b1(_298_),
.b2(_297_),
.x(_063_)
);
sky130_fd_sc_hd__or2_2 _563_ (
.a(_065_),
.b(_068_),
.x(_299_)
);
sky130_fd_sc_hd__buf_1 _564_ (
.a(_299_),
.x(_069_)
);
sky130_fd_sc_hd__and3_2 _565_ (
.a(_232_),
.b(\recv_state[1] ),
.c(\recv_state[2] ),
.x(_300_)
);
sky130_fd_sc_hd__buf_1 _566_ (
.a(_300_),
.x(received)
);
sky130_fd_sc_hd__and3_2 _567_ (
.a(\recv_state[0] ),
.b(_230_),
.c(\recv_state[2] ),
.x(_301_)
);
sky130_fd_sc_hd__buf_1 _568_ (
.a(_301_),
.x(recv_error)
);
sky130_fd_sc_hd__or2_2 _569_ (
.a(_212_),
.b(_054_),
.x(_302_)
);
sky130_fd_sc_hd__buf_1 _570_ (
.a(_302_),
.x(_071_)
);
sky130_fd_sc_hd__or2_2 _571_ (
.a(transmit),
.b(_071_),
.x(_303_)
);
sky130_fd_sc_hd__buf_1 _572_ (
.a(_303_),
.x(_072_)
);
sky130_fd_sc_hd__inv_2 _573_ (
.a(\tx_clk_divider[1] ),
.y(_304_)
);
sky130_fd_sc_hd__o22a_2 _574_ (
.a1(_212_),
.a2(\tx_clk_divider[1] ),
.b1(\tx_clk_divider[0] ),
.b2(_304_),
.x(_305_)
);
sky130_fd_sc_hd__or2_2 _575_ (
.a(_054_),
.b(_305_),
.x(_306_)
);
sky130_fd_sc_hd__buf_1 _576_ (
.a(_306_),
.x(_073_)
);
sky130_fd_sc_hd__or2_2 _577_ (
.a(transmit),
.b(_073_),
.x(_307_)
);
sky130_fd_sc_hd__buf_1 _578_ (
.a(_307_),
.x(_074_)
);
sky130_fd_sc_hd__a2bb2o_2 _579_ (
.a1_n(\tx_clk_divider[2] ),
.a2_n(_207_),
.b1(\tx_clk_divider[2] ),
.b2(_207_),
.x(_075_)
);
sky130_fd_sc_hd__inv_2 _580_ (
.a(transmit),
.y(_308_)
);
sky130_fd_sc_hd__buf_1 _581_ (
.a(_308_),
.x(_309_)
);
sky130_fd_sc_hd__and2_2 _582_ (
.a(_309_),
.b(_075_),
.x(_310_)
);
sky130_fd_sc_hd__buf_1 _583_ (
.a(_310_),
.x(_076_)
);
sky130_fd_sc_hd__o21a_2 _584_ (
.a1(\tx_clk_divider[2] ),
.a2(_207_),
.b1(\tx_clk_divider[3] ),
.x(_311_)
);
sky130_fd_sc_hd__buf_1 _585_ (
.a(_208_),
.x(_312_)
);
sky130_fd_sc_hd__or3b_2 _586_ (
.a(_054_),
.b(_311_),
.c_n(_312_),
.x(_313_)
);
sky130_fd_sc_hd__buf_1 _587_ (
.a(_313_),
.x(_077_)
);
sky130_fd_sc_hd__or2_2 _588_ (
.a(transmit),
.b(_077_),
.x(_314_)
);
sky130_fd_sc_hd__buf_1 _589_ (
.a(_314_),
.x(_078_)
);
sky130_fd_sc_hd__a2bb2o_2 _590_ (
.a1_n(\tx_clk_divider[4] ),
.a2_n(_312_),
.b1(\tx_clk_divider[4] ),
.b2(_312_),
.x(_079_)
);
sky130_fd_sc_hd__and2_2 _591_ (
.a(_309_),
.b(_079_),
.x(_315_)
);
sky130_fd_sc_hd__buf_1 _592_ (
.a(_315_),
.x(_080_)
);
sky130_fd_sc_hd__o21a_2 _593_ (
.a1(\tx_clk_divider[4] ),
.a2(_312_),
.b1(\tx_clk_divider[5] ),
.x(_316_)
);
sky130_fd_sc_hd__or3_2 _594_ (
.a(\tx_clk_divider[4] ),
.b(\tx_clk_divider[5] ),
.c(_208_),
.x(_317_)
);
sky130_fd_sc_hd__or3b_2 _595_ (
.a(_217_),
.b(_316_),
.c_n(_317_),
.x(_318_)
);
sky130_fd_sc_hd__buf_1 _596_ (
.a(_318_),
.x(_081_)
);
sky130_fd_sc_hd__or2_2 _597_ (
.a(transmit),
.b(_081_),
.x(_319_)
);
sky130_fd_sc_hd__buf_1 _598_ (
.a(_319_),
.x(_082_)
);
sky130_fd_sc_hd__or2_2 _599_ (
.a(\tx_clk_divider[6] ),
.b(_317_),
.x(_320_)
);
sky130_fd_sc_hd__a21bo_2 _600_ (
.a1(\tx_clk_divider[6] ),
.a2(_317_),
.b1_n(_320_),
.x(_321_)
);
sky130_fd_sc_hd__buf_1 _601_ (
.a(_321_),
.x(_083_)
);
sky130_fd_sc_hd__and2_2 _602_ (
.a(_309_),
.b(_083_),
.x(_322_)
);
sky130_fd_sc_hd__buf_1 _603_ (
.a(_322_),
.x(_084_)
);
sky130_fd_sc_hd__a21bo_2 _604_ (
.a1(\tx_clk_divider[7] ),
.a2(_320_),
.b1_n(_209_),
.x(_323_)
);
sky130_fd_sc_hd__buf_1 _605_ (
.a(_323_),
.x(_085_)
);
sky130_fd_sc_hd__and2_2 _606_ (
.a(_309_),
.b(_085_),
.x(_324_)
);
sky130_fd_sc_hd__buf_1 _607_ (
.a(_324_),
.x(_086_)
);
sky130_fd_sc_hd__nor2_2 _608_ (
.a(\tx_clk_divider[8] ),
.b(_209_),
.y(_325_)
);
sky130_fd_sc_hd__a21oi_2 _609_ (
.a1(\tx_clk_divider[8] ),
.a2(_209_),
.b1(_325_),
.y(_326_)
);
sky130_fd_sc_hd__inv_2 _610_ (
.a(_326_),
.y(_087_)
);
sky130_fd_sc_hd__nor2_2 _611_ (
.a(transmit),
.b(_326_),
.y(_088_)
);
sky130_fd_sc_hd__inv_2 _612_ (
.a(\tx_clk_divider[9] ),
.y(_327_)
);
sky130_fd_sc_hd__o21ai_2 _613_ (
.a1(_327_),
.a2(_325_),
.b1(_210_),
.y(_089_)
);
sky130_fd_sc_hd__and2_2 _614_ (
.a(_308_),
.b(_089_),
.x(_328_)
);
sky130_fd_sc_hd__buf_1 _615_ (
.a(_328_),
.x(_090_)
);
sky130_fd_sc_hd__nor2_2 _616_ (
.a(transmit),
.b(_211_),
.y(_092_)
);
sky130_fd_sc_hd__or2_2 _617_ (
.a(_243_),
.b(_035_),
.x(_329_)
);
sky130_fd_sc_hd__buf_1 _618_ (
.a(_329_),
.x(_093_)
);
sky130_fd_sc_hd__inv_2 _619_ (
.a(rx),
.y(_330_)
);
sky130_fd_sc_hd__buf_1 _620_ (
.a(_330_),
.x(_331_)
);
sky130_fd_sc_hd__buf_1 _621_ (
.a(_331_),
.x(_094_)
);
sky130_fd_sc_hd__buf_1 _622_ (
.a(_331_),
.x(_332_)
);
sky130_fd_sc_hd__or2_2 _623_ (
.a(_332_),
.b(_093_),
.x(_333_)
);
sky130_fd_sc_hd__buf_1 _624_ (
.a(_333_),
.x(_095_)
);
sky130_fd_sc_hd__a31o_2 _625_ (
.a1(_232_),
.a2(_230_),
.a3(_250_),
.b1(rst),
.x(_096_)
);
sky130_fd_sc_hd__inv_2 _626_ (
.a(\rx_clk_divider[1] ),
.y(_334_)
);
sky130_fd_sc_hd__o22a_2 _627_ (
.a1(_243_),
.a2(\rx_clk_divider[1] ),
.b1(\rx_clk_divider[0] ),
.b2(_334_),
.x(_335_)
);
sky130_fd_sc_hd__or2_2 _628_ (
.a(_035_),
.b(_335_),
.x(_336_)
);
sky130_fd_sc_hd__buf_1 _629_ (
.a(_336_),
.x(_097_)
);
sky130_fd_sc_hd__or2_2 _630_ (
.a(_332_),
.b(_097_),
.x(_337_)
);
sky130_fd_sc_hd__buf_1 _631_ (
.a(_337_),
.x(_098_)
);
sky130_fd_sc_hd__a2bb2o_2 _632_ (
.a1_n(\rx_clk_divider[2] ),
.a2_n(_237_),
.b1(\rx_clk_divider[2] ),
.b2(_237_),
.x(_099_)
);
sky130_fd_sc_hd__and2_2 _633_ (
.a(rx),
.b(_099_),
.x(_338_)
);
sky130_fd_sc_hd__buf_1 _634_ (
.a(_338_),
.x(_100_)
);
sky130_fd_sc_hd__o21a_2 _635_ (
.a1(\rx_clk_divider[2] ),
.a2(_237_),
.b1(\rx_clk_divider[3] ),
.x(_339_)
);
sky130_fd_sc_hd__or3b_2 _636_ (
.a(_286_),
.b(_339_),
.c_n(_238_),
.x(_340_)
);
sky130_fd_sc_hd__buf_1 _637_ (
.a(_340_),
.x(_101_)
);
sky130_fd_sc_hd__or2_2 _638_ (
.a(_332_),
.b(_101_),
.x(_341_)
);
sky130_fd_sc_hd__buf_1 _639_ (
.a(_341_),
.x(_102_)
);
sky130_fd_sc_hd__or2_2 _640_ (
.a(\rx_clk_divider[4] ),
.b(_238_),
.x(_342_)
);
sky130_fd_sc_hd__a21bo_2 _641_ (
.a1(\rx_clk_divider[4] ),
.a2(_238_),
.b1_n(_342_),
.x(_343_)
);
sky130_fd_sc_hd__buf_1 _642_ (
.a(_343_),
.x(_103_)
);
sky130_fd_sc_hd__and2_2 _643_ (
.a(rx),
.b(_103_),
.x(_344_)
);
sky130_fd_sc_hd__buf_1 _644_ (
.a(_344_),
.x(_104_)
);
sky130_fd_sc_hd__or2_2 _645_ (
.a(\rx_clk_divider[5] ),
.b(_342_),
.x(_345_)
);
sky130_fd_sc_hd__inv_2 _646_ (
.a(_345_),
.y(_346_)
);
sky130_fd_sc_hd__a211o_2 _647_ (
.a1(\rx_clk_divider[5] ),
.a2(_342_),
.b1(_346_),
.c1(_035_),
.x(_105_)
);
sky130_fd_sc_hd__or2_2 _648_ (
.a(_332_),
.b(_105_),
.x(_347_)
);
sky130_fd_sc_hd__buf_1 _649_ (
.a(_347_),
.x(_106_)
);
sky130_fd_sc_hd__or3_2 _650_ (
.a(\rx_clk_divider[5] ),
.b(\rx_clk_divider[6] ),
.c(_342_),
.x(_348_)
);
sky130_fd_sc_hd__a21bo_2 _651_ (
.a1(\rx_clk_divider[6] ),
.a2(_345_),
.b1_n(_348_),
.x(_349_)
);
sky130_fd_sc_hd__buf_1 _652_ (
.a(_349_),
.x(_107_)
);
sky130_fd_sc_hd__and2_2 _653_ (
.a(rx),
.b(_107_),
.x(_350_)
);
sky130_fd_sc_hd__buf_1 _654_ (
.a(_350_),
.x(_108_)
);
sky130_fd_sc_hd__a21bo_2 _655_ (
.a1(\rx_clk_divider[7] ),
.a2(_348_),
.b1_n(_240_),
.x(_351_)
);
sky130_fd_sc_hd__buf_1 _656_ (
.a(_351_),
.x(_109_)
);
sky130_fd_sc_hd__and2_2 _657_ (
.a(rx),
.b(_109_),
.x(_352_)
);
sky130_fd_sc_hd__buf_1 _658_ (
.a(_352_),
.x(_110_)
);
sky130_fd_sc_hd__nor2_2 _659_ (
.a(\rx_clk_divider[8] ),
.b(_240_),
.y(_353_)
);
sky130_fd_sc_hd__a21oi_2 _660_ (
.a1(\rx_clk_divider[8] ),
.a2(_240_),
.b1(_353_),
.y(_354_)
);
sky130_fd_sc_hd__inv_2 _661_ (
.a(_354_),
.y(_111_)
);
sky130_fd_sc_hd__nor2_2 _662_ (
.a(_094_),
.b(_354_),
.y(_112_)
);
sky130_fd_sc_hd__inv_2 _663_ (
.a(\rx_clk_divider[9] ),
.y(_355_)
);
sky130_fd_sc_hd__o21ai_2 _664_ (
.a1(_355_),
.a2(_353_),
.b1(_241_),
.y(_113_)
);
sky130_fd_sc_hd__and2_2 _665_ (
.a(rx),
.b(_113_),
.x(_356_)
);
sky130_fd_sc_hd__buf_1 _666_ (
.a(_356_),
.x(_114_)
);
sky130_fd_sc_hd__nor2_2 _667_ (
.a(_094_),
.b(_242_),
.y(_116_)
);
sky130_fd_sc_hd__buf_1 _668_ (
.a(_331_),
.x(_357_)
);
sky130_fd_sc_hd__or2_2 _669_ (
.a(_357_),
.b(_036_),
.x(_358_)
);
sky130_fd_sc_hd__buf_1 _670_ (
.a(_358_),
.x(_120_)
);
sky130_fd_sc_hd__buf_1 _671_ (
.a(_235_),
.x(_359_)
);
sky130_fd_sc_hd__buf_1 _672_ (
.a(_359_),
.x(_360_)
);
sky130_fd_sc_hd__inv_2 _673_ (
.a(_096_),
.y(_361_)
);
sky130_fd_sc_hd__or2_2 _674_ (
.a(_330_),
.b(_361_),
.x(_362_)
);
sky130_fd_sc_hd__and2_2 _675_ (
.a(_266_),
.b(_362_),
.x(_363_)
);
sky130_fd_sc_hd__o22ai_2 _676_ (
.a1(_121_),
.a2(_360_),
.b1(_036_),
.b2(_363_),
.y(_122_)
);
sky130_fd_sc_hd__inv_2 _677_ (
.a(_038_),
.y(_123_)
);
sky130_fd_sc_hd__or2_2 _678_ (
.a(_357_),
.b(_123_),
.x(_364_)
);
sky130_fd_sc_hd__buf_1 _679_ (
.a(_364_),
.x(_124_)
);
sky130_fd_sc_hd__or3_2 _680_ (
.a(_029_),
.b(_031_),
.c(_123_),
.x(_365_)
);
sky130_fd_sc_hd__o221ai_2 _681_ (
.a1(rx),
.a2(_361_),
.b1(_125_),
.b2(_360_),
.c1(_365_),
.y(_126_)
);
sky130_fd_sc_hd__inv_2 _682_ (
.a(_040_),
.y(_127_)
);
sky130_fd_sc_hd__nor2_2 _683_ (
.a(_094_),
.b(_040_),
.y(_128_)
);
sky130_fd_sc_hd__o21ai_2 _684_ (
.a1(_040_),
.a2(_047_),
.b1(_033_),
.y(_366_)
);
sky130_fd_sc_hd__o221ai_2 _685_ (
.a1(_127_),
.a2(_362_),
.b1(_129_),
.b2(_359_),
.c1(_366_),
.y(_130_)
);
sky130_fd_sc_hd__inv_2 _686_ (
.a(_042_),
.y(_131_)
);
sky130_fd_sc_hd__or2_2 _687_ (
.a(_357_),
.b(_131_),
.x(_367_)
);
sky130_fd_sc_hd__buf_1 _688_ (
.a(_367_),
.x(_132_)
);
sky130_fd_sc_hd__and2_2 _689_ (
.a(_250_),
.b(_133_),
.x(_368_)
);
sky130_fd_sc_hd__o22ai_2 _690_ (
.a1(_131_),
.a2(_363_),
.b1(_234_),
.b2(_368_),
.y(_134_)
);
sky130_fd_sc_hd__inv_2 _691_ (
.a(_044_),
.y(_135_)
);
sky130_fd_sc_hd__or2_2 _692_ (
.a(_357_),
.b(_135_),
.x(_369_)
);
sky130_fd_sc_hd__buf_1 _693_ (
.a(_369_),
.x(_136_)
);
sky130_fd_sc_hd__o22ai_2 _694_ (
.a1(_137_),
.a2(_360_),
.b1(_135_),
.b2(_363_),
.y(_138_)
);
sky130_fd_sc_hd__inv_2 _695_ (
.a(_046_),
.y(_139_)
);
sky130_fd_sc_hd__or2_2 _696_ (
.a(_331_),
.b(_139_),
.x(_370_)
);
sky130_fd_sc_hd__buf_1 _697_ (
.a(_370_),
.x(_140_)
);
sky130_fd_sc_hd__o22ai_2 _698_ (
.a1(_141_),
.a2(_360_),
.b1(_139_),
.b2(_363_),
.y(_142_)
);
sky130_fd_sc_hd__buf_1 _699_ (
.a(_276_),
.x(_371_)
);
sky130_fd_sc_hd__o21a_2 _700_ (
.a1(_051_),
.a2(_371_),
.b1(_143_),
.x(_144_)
);
sky130_fd_sc_hd__o21a_2 _701_ (
.a1(_051_),
.a2(_371_),
.b1(_056_),
.x(_145_)
);
sky130_fd_sc_hd__or2_2 _702_ (
.a(_058_),
.b(transmit),
.x(_372_)
);
sky130_fd_sc_hd__a22o_2 _703_ (
.a1(_146_),
.a2(_273_),
.b1(_052_),
.b2(_372_),
.x(_147_)
);
sky130_fd_sc_hd__a22o_2 _704_ (
.a1(_148_),
.a2(_273_),
.b1(_060_),
.b2(_276_),
.x(_149_)
);
sky130_fd_sc_hd__o21a_2 _705_ (
.a1(_051_),
.a2(_371_),
.b1(_062_),
.x(_150_)
);
sky130_fd_sc_hd__o21a_2 _706_ (
.a1(_273_),
.a2(_371_),
.b1(_064_),
.x(_151_)
);
sky130_fd_sc_hd__nor2_2 _707_ (
.a(_195_),
.b(_196_),
.y(_152_)
);
sky130_fd_sc_hd__a22o_2 _708_ (
.a1(_153_),
.a2(_199_),
.b1(transmit),
.b2(_052_),
.x(_154_)
);
sky130_fd_sc_hd__a32o_2 _709_ (
.a1(_195_),
.a2(_050_),
.a3(_065_),
.b1(_155_),
.b2(_199_),
.x(_156_)
);
sky130_fd_sc_hd__and3_2 _710_ (
.a(_030_),
.b(_029_),
.c(\recv_state[2] ),
.x(_373_)
);
sky130_fd_sc_hd__buf_1 _711_ (
.a(_373_),
.x(_157_)
);
sky130_fd_sc_hd__or4_2 _712_ (
.a(\rx_bits_remaining[1] ),
.b(_264_),
.c(\rx_bits_remaining[3] ),
.d(\rx_bits_remaining[2] ),
.x(_374_)
);
sky130_fd_sc_hd__buf_1 _713_ (
.a(_374_),
.x(_160_)
);
sky130_fd_sc_hd__or3_2 _714_ (
.a(_164_),
.b(_158_),
.c(\recv_state[2] ),
.x(_375_)
);
sky130_fd_sc_hd__o22a_2 _715_ (
.a1(rx),
.a2(_361_),
.b1(_159_),
.b2(_375_),
.x(_376_)
);
sky130_fd_sc_hd__o221ai_2 _716_ (
.a1(_161_),
.a2(_266_),
.b1(_162_),
.b2(_359_),
.c1(_376_),
.y(_163_)
);
sky130_fd_sc_hd__o221ai_2 _717_ (
.a1(_165_),
.a2(_375_),
.b1(_166_),
.b2(_359_),
.c1(_266_),
.y(_167_)
);
sky130_fd_sc_hd__and2_2 _718_ (
.a(_250_),
.b(_168_),
.x(_377_)
);
sky130_fd_sc_hd__or4_2 _719_ (
.a(\recv_state[0] ),
.b(\recv_state[1] ),
.c(_032_),
.d(_047_),
.x(_378_)
);
sky130_fd_sc_hd__o221ai_2 _720_ (
.a1(_119_),
.a2(_375_),
.b1(_234_),
.b2(_377_),
.c1(_378_),
.y(_169_)
);
sky130_fd_sc_hd__o21a_2 _721_ (
.a1(\tx_bits_remaining[2] ),
.a2(_201_),
.b1(\tx_bits_remaining[3] ),
.x(_379_)
);
sky130_fd_sc_hd__or3_2 _722_ (
.a(_200_),
.b(_067_),
.c(_379_),
.x(_380_)
);
sky130_fd_sc_hd__a22o_2 _723_ (
.a1(\tx_bits_remaining[3] ),
.a2(_271_),
.b1(_229_),
.b2(_380_),
.x(_194_)
);
sky130_fd_sc_hd__buf_2 _724_ (
.a(tx),
.x(tx_out)
);
sky130_fd_sc_hd__mux2_1 _725_ (
.a0(_033_),
.a1(_047_),
.s(_034_),
.x(_048_)
);
sky130_fd_sc_hd__mux2_1 _726_ (
.a0(_158_),
.a1(rx),
.s(_047_),
.x(_159_)
);
sky130_fd_sc_hd__mux2_1 _727_ (
.a0(_122_),
.a1(_117_),
.s(_118_),
.x(_389_)
);
sky130_fd_sc_hd__mux2_1 _728_ (
.a0(_126_),
.a1(_038_),
.s(_118_),
.x(_390_)
);
sky130_fd_sc_hd__mux2_1 _729_ (
.a0(_130_),
.a1(_040_),
.s(_118_),
.x(_391_)
);
sky130_fd_sc_hd__mux2_1 _730_ (
.a0(_134_),
.a1(_042_),
.s(_118_),
.x(_392_)
);
sky130_fd_sc_hd__mux2_1 _731_ (
.a0(_138_),
.a1(_044_),
.s(_118_),
.x(_393_)
);
sky130_fd_sc_hd__mux2_1 _732_ (
.a0(_142_),
.a1(_046_),
.s(_118_),
.x(_394_)
);
sky130_fd_sc_hd__mux2_1 _733_ (
.a0(_144_),
.a1(_143_),
.s(_050_),
.x(_381_)
);
sky130_fd_sc_hd__mux2_1 _734_ (
.a0(_145_),
.a1(_056_),
.s(_050_),
.x(_382_)
);
sky130_fd_sc_hd__mux2_1 _735_ (
.a0(_147_),
.a1(_058_),
.s(_050_),
.x(_383_)
);
sky130_fd_sc_hd__mux2_1 _736_ (
.a0(_149_),
.a1(_060_),
.s(_050_),
.x(_384_)
);
sky130_fd_sc_hd__mux2_1 _737_ (
.a0(_150_),
.a1(_062_),
.s(_050_),
.x(_385_)
);
sky130_fd_sc_hd__mux2_1 _738_ (
.a0(_151_),
.a1(_064_),
.s(_050_),
.x(_386_)
);
sky130_fd_sc_hd__mux2_1 _739_ (
.a0(_093_),
.a1(_095_),
.s(_096_),
.x(_000_)
);
sky130_fd_sc_hd__mux2_1 _740_ (
.a0(_097_),
.a1(_098_),
.s(_096_),
.x(_002_)
);
sky130_fd_sc_hd__mux2_1 _741_ (
.a0(_099_),
.a1(_100_),
.s(_096_),
.x(_003_)
);
sky130_fd_sc_hd__mux2_1 _742_ (
.a0(_101_),
.a1(_102_),
.s(_096_),
.x(_004_)
);
sky130_fd_sc_hd__mux2_1 _743_ (
.a0(_103_),
.a1(_104_),
.s(_096_),
.x(_005_)
);
sky130_fd_sc_hd__mux2_1 _744_ (
.a0(_105_),
.a1(_106_),
.s(_096_),
.x(_006_)
);
sky130_fd_sc_hd__mux2_1 _745_ (
.a0(_107_),
.a1(_108_),
.s(_096_),
.x(_007_)
);
sky130_fd_sc_hd__mux2_1 _746_ (
.a0(_109_),
.a1(_110_),
.s(_096_),
.x(_008_)
);
sky130_fd_sc_hd__mux2_1 _747_ (
.a0(_111_),
.a1(_112_),
.s(_096_),
.x(_009_)
);
sky130_fd_sc_hd__mux2_1 _748_ (
.a0(_113_),
.a1(_114_),
.s(_096_),
.x(_010_)
);
sky130_fd_sc_hd__mux2_1 _749_ (
.a0(_115_),
.a1(_116_),
.s(_096_),
.x(_001_)
);
sky130_fd_sc_hd__mux2_1 _750_ (
.a0(_071_),
.a1(_072_),
.s(_052_),
.x(_011_)
);
sky130_fd_sc_hd__mux2_1 _751_ (
.a0(_073_),
.a1(_074_),
.s(_052_),
.x(_013_)
);
sky130_fd_sc_hd__mux2_1 _752_ (
.a0(_075_),
.a1(_076_),
.s(_052_),
.x(_014_)
);
sky130_fd_sc_hd__mux2_1 _753_ (
.a0(_077_),
.a1(_078_),
.s(_052_),
.x(_015_)
);
sky130_fd_sc_hd__mux2_1 _754_ (
.a0(_079_),
.a1(_080_),
.s(_052_),
.x(_016_)
);
sky130_fd_sc_hd__mux2_1 _755_ (
.a0(_081_),
.a1(_082_),
.s(_052_),
.x(_017_)
);
sky130_fd_sc_hd__mux2_1 _756_ (
.a0(_083_),
.a1(_084_),
.s(_052_),
.x(_018_)
);
sky130_fd_sc_hd__mux2_1 _757_ (
.a0(_085_),
.a1(_086_),
.s(_052_),
.x(_019_)
);
sky130_fd_sc_hd__mux2_1 _758_ (
.a0(_087_),
.a1(_088_),
.s(_052_),
.x(_020_)
);
sky130_fd_sc_hd__mux2_1 _759_ (
.a0(_089_),
.a1(_090_),
.s(_052_),
.x(_021_)
);
sky130_fd_sc_hd__mux2_1 _760_ (
.a0(_091_),
.a1(_092_),
.s(_052_),
.x(_012_)
);
sky130_fd_sc_hd__mux2_1 _761_ (
.a0(tx_byte[0]),
.a1(\tx_data[1] ),
.s(_051_),
.x(_022_)
);
sky130_fd_sc_hd__mux2_1 _762_ (
.a0(tx_byte[1]),
.a1(\tx_data[2] ),
.s(_051_),
.x(_023_)
);
sky130_fd_sc_hd__mux2_1 _763_ (
.a0(tx_byte[2]),
.a1(\tx_data[3] ),
.s(_051_),
.x(_024_)
);
sky130_fd_sc_hd__mux2_1 _764_ (
.a0(tx_byte[3]),
.a1(\tx_data[4] ),
.s(_051_),
.x(_025_)
);
sky130_fd_sc_hd__mux2_1 _765_ (
.a0(tx_byte[4]),
.a1(\tx_data[5] ),
.s(_051_),
.x(_026_)
);
sky130_fd_sc_hd__mux2_1 _766_ (
.a0(tx_byte[5]),
.a1(\tx_data[6] ),
.s(_051_),
.x(_027_)
);
sky130_fd_sc_hd__mux2_1 _767_ (
.a0(tx_byte[6]),
.a1(\tx_data[7] ),
.s(_051_),
.x(_028_)
);
sky130_fd_sc_hd__mux2_1 _768_ (
.a0(_154_),
.a1(_049_),
.s(_152_),
.x(_387_)
);
sky130_fd_sc_hd__mux2_1 _769_ (
.a0(_156_),
.a1(_050_),
.s(_152_),
.x(_388_)
);
sky130_fd_sc_hd__mux2_1 _770_ (
.a0(_164_),
.a1(_094_),
.s(_047_),
.x(_165_)
);
sky130_fd_sc_hd__mux2_1 _771_ (
.a0(_068_),
.a1(_049_),
.s(_065_),
.x(_153_)
);
sky130_fd_sc_hd__mux2_1 _772_ (
.a0(_158_),
.a1(_094_),
.s(_047_),
.x(_162_)
);
sky130_fd_sc_hd__mux2_1 _773_ (
.a0(_158_),
.a1(_160_),
.s(_047_),
.x(_161_)
);
sky130_fd_sc_hd__mux2_1 _774_ (
.a0(\rx_countdown[2] ),
.a1(_039_),
.s(_035_),
.x(_040_)
);
sky130_fd_sc_hd__mux2_1 _775_ (
.a0(_163_),
.a1(_029_),
.s(_157_),
.x(_395_)
);
sky130_fd_sc_hd__mux2_1 _776_ (
.a0(_167_),
.a1(_030_),
.s(_157_),
.x(_396_)
);
sky130_fd_sc_hd__mux2_1 _777_ (
.a0(_169_),
.a1(_031_),
.s(_157_),
.x(_397_)
);
sky130_fd_sc_hd__mux2_1 _778_ (
.a0(_067_),
.a1(_050_),
.s(_065_),
.x(_155_)
);
sky130_fd_sc_hd__mux2_1 _779_ (
.a0(\rx_countdown[1] ),
.a1(_037_),
.s(_035_),
.x(_038_)
);
sky130_fd_sc_hd__mux2_1 _780_ (
.a0(_140_),
.a1(_139_),
.s(_119_),
.x(_141_)
);
sky130_fd_sc_hd__mux2_1 _781_ (
.a0(_068_),
.a1(_058_),
.s(_065_),
.x(_146_)
);
sky130_fd_sc_hd__mux2_1 _782_ (
.a0(_120_),
.a1(_036_),
.s(_119_),
.x(_121_)
);
sky130_fd_sc_hd__mux2_1 _783_ (
.a0(_067_),
.a1(_060_),
.s(_065_),
.x(_148_)
);
sky130_fd_sc_hd__mux2_1 _784_ (
.a0(_127_),
.a1(_128_),
.s(_047_),
.x(_129_)
);
sky130_fd_sc_hd__mux2_1 _785_ (
.a0(_124_),
.a1(_123_),
.s(_119_),
.x(_125_)
);
sky130_fd_sc_hd__mux2_1 _786_ (
.a0(_031_),
.a1(_030_),
.s(_029_),
.x(_118_)
);
sky130_fd_sc_hd__mux2_1 _787_ (
.a0(_132_),
.a1(_131_),
.s(_119_),
.x(_133_)
);
sky130_fd_sc_hd__mux2_1 _788_ (
.a0(_135_),
.a1(_136_),
.s(_047_),
.x(_137_)
);
sky130_fd_sc_hd__mux2_1 _789_ (
.a0(\rx_countdown[4] ),
.a1(_043_),
.s(_035_),
.x(_044_)
);
sky130_fd_sc_hd__mux2_1 _790_ (
.a0(\rx_countdown[5] ),
.a1(_045_),
.s(_035_),
.x(_046_)
);
sky130_fd_sc_hd__mux2_1 _791_ (
.a0(\rx_countdown[3] ),
.a1(_041_),
.s(_035_),
.x(_042_)
);
sky130_fd_sc_hd__mux2_1 _792_ (
.a0(_164_),
.a1(rx),
.s(_047_),
.x(_166_)
);
sky130_fd_sc_hd__mux2_1 _793_ (
.a0(_032_),
.a1(_094_),
.s(_047_),
.x(_168_)
);
sky130_fd_sc_hd__mux2_1 _794_ (
.a0(\tx_countdown[1] ),
.a1(_055_),
.s(_054_),
.x(_056_)
);
sky130_fd_sc_hd__mux2_1 _795_ (
.a0(\tx_countdown[2] ),
.a1(_057_),
.s(_054_),
.x(_058_)
);
sky130_fd_sc_hd__mux2_1 _796_ (
.a0(\tx_countdown[3] ),
.a1(_059_),
.s(_054_),
.x(_060_)
);
sky130_fd_sc_hd__mux2_1 _797_ (
.a0(\tx_countdown[4] ),
.a1(_061_),
.s(_054_),
.x(_062_)
);
sky130_fd_sc_hd__mux2_1 _798_ (
.a0(\tx_countdown[5] ),
.a1(_063_),
.s(_054_),
.x(_064_)
);
sky130_fd_sc_hd__mux2_1 _799_ (
.a0(_053_),
.a1(_065_),
.s(_051_),
.x(_066_)
);
sky130_fd_sc_hd__mux2_1 _800_ (
.a0(_052_),
.a1(_069_),
.s(_051_),
.x(_070_)
);
sky130_fd_sc_hd__dfxtp_2 _801_ (
.clk(clk),
.d(_000_),
.q(\rx_clk_divider[0] )
);
sky130_fd_sc_hd__dfxtp_2 _802_ (
.clk(clk),
.d(_002_),
.q(\rx_clk_divider[1] )
);
sky130_fd_sc_hd__dfxtp_2 _803_ (
.clk(clk),
.d(_003_),
.q(\rx_clk_divider[2] )
);
sky130_fd_sc_hd__dfxtp_2 _804_ (
.clk(clk),
.d(_004_),
.q(\rx_clk_divider[3] )
);
sky130_fd_sc_hd__dfxtp_2 _805_ (
.clk(clk),
.d(_005_),
.q(\rx_clk_divider[4] )
);
sky130_fd_sc_hd__dfxtp_2 _806_ (
.clk(clk),
.d(_006_),
.q(\rx_clk_divider[5] )
);
sky130_fd_sc_hd__dfxtp_2 _807_ (
.clk(clk),
.d(_007_),
.q(\rx_clk_divider[6] )
);
sky130_fd_sc_hd__dfxtp_2 _808_ (
.clk(clk),
.d(_008_),
.q(\rx_clk_divider[7] )
);
sky130_fd_sc_hd__dfxtp_2 _809_ (
.clk(clk),
.d(_009_),
.q(\rx_clk_divider[8] )
);
sky130_fd_sc_hd__dfxtp_2 _810_ (
.clk(clk),
.d(_010_),
.q(\rx_clk_divider[9] )
);
sky130_fd_sc_hd__dfxtp_2 _811_ (
.clk(clk),
.d(_001_),
.q(\rx_clk_divider[10] )
);
sky130_fd_sc_hd__dfxtp_2 _812_ (
.clk(clk),
.d(_011_),
.q(\tx_clk_divider[0] )
);
sky130_fd_sc_hd__dfxtp_2 _813_ (
.clk(clk),
.d(_013_),
.q(\tx_clk_divider[1] )
);
sky130_fd_sc_hd__dfxtp_2 _814_ (
.clk(clk),
.d(_014_),
.q(\tx_clk_divider[2] )
);
sky130_fd_sc_hd__dfxtp_2 _815_ (
.clk(clk),
.d(_015_),
.q(\tx_clk_divider[3] )
);
sky130_fd_sc_hd__dfxtp_2 _816_ (
.clk(clk),
.d(_016_),
.q(\tx_clk_divider[4] )
);
sky130_fd_sc_hd__dfxtp_2 _817_ (
.clk(clk),
.d(_017_),
.q(\tx_clk_divider[5] )
);
sky130_fd_sc_hd__dfxtp_2 _818_ (
.clk(clk),
.d(_018_),
.q(\tx_clk_divider[6] )
);
sky130_fd_sc_hd__dfxtp_2 _819_ (
.clk(clk),
.d(_019_),
.q(\tx_clk_divider[7] )
);
sky130_fd_sc_hd__dfxtp_2 _820_ (
.clk(clk),
.d(_020_),
.q(\tx_clk_divider[8] )
);
sky130_fd_sc_hd__dfxtp_2 _821_ (
.clk(clk),
.d(_021_),
.q(\tx_clk_divider[9] )
);
sky130_fd_sc_hd__dfxtp_2 _822_ (
.clk(clk),
.d(_012_),
.q(\tx_clk_divider[10] )
);
sky130_fd_sc_hd__dfxtp_2 _823_ (
.clk(clk),
.d(_395_),
.q(\recv_state[0] )
);
sky130_fd_sc_hd__dfxtp_2 _824_ (
.clk(clk),
.d(_396_),
.q(\recv_state[1] )
);
sky130_fd_sc_hd__dfxtp_2 _825_ (
.clk(clk),
.d(_397_),
.q(\recv_state[2] )
);
sky130_fd_sc_hd__dfxtp_2 _826_ (
.clk(clk),
.d(_389_),
.q(\rx_countdown[0] )
);
sky130_fd_sc_hd__dfxtp_2 _827_ (
.clk(clk),
.d(_390_),
.q(\rx_countdown[1] )
);
sky130_fd_sc_hd__dfxtp_2 _828_ (
.clk(clk),
.d(_391_),
.q(\rx_countdown[2] )
);
sky130_fd_sc_hd__dfxtp_2 _829_ (
.clk(clk),
.d(_392_),
.q(\rx_countdown[3] )
);
sky130_fd_sc_hd__dfxtp_2 _830_ (
.clk(clk),
.d(_393_),
.q(\rx_countdown[4] )
);
sky130_fd_sc_hd__dfxtp_2 _831_ (
.clk(clk),
.d(_394_),
.q(\rx_countdown[5] )
);
sky130_fd_sc_hd__dfxtp_2 _832_ (
.clk(clk),
.d(_387_),
.q(\tx_state[0] )
);
sky130_fd_sc_hd__dfxtp_2 _833_ (
.clk(clk),
.d(_388_),
.q(\tx_state[1] )
);
sky130_fd_sc_hd__dfxtp_2 _834_ (
.clk(clk),
.d(_381_),
.q(\tx_countdown[0] )
);
sky130_fd_sc_hd__dfxtp_2 _835_ (
.clk(clk),
.d(_382_),
.q(\tx_countdown[1] )
);
sky130_fd_sc_hd__dfxtp_2 _836_ (
.clk(clk),
.d(_383_),
.q(\tx_countdown[2] )
);
sky130_fd_sc_hd__dfxtp_2 _837_ (
.clk(clk),
.d(_384_),
.q(\tx_countdown[3] )
);
sky130_fd_sc_hd__dfxtp_2 _838_ (
.clk(clk),
.d(_385_),
.q(\tx_countdown[4] )
);
sky130_fd_sc_hd__dfxtp_2 _839_ (
.clk(clk),
.d(_386_),
.q(\tx_countdown[5] )
);
sky130_fd_sc_hd__dfxtp_2 _840_ (
.clk(clk),
.d(_170_),
.q(rx_byte[0])
);
sky130_fd_sc_hd__dfxtp_2 _841_ (
.clk(clk),
.d(_171_),
.q(rx_byte[1])
);
sky130_fd_sc_hd__dfxtp_2 _842_ (
.clk(clk),
.d(_172_),
.q(rx_byte[2])
);
sky130_fd_sc_hd__dfxtp_2 _843_ (
.clk(clk),
.d(_173_),
.q(rx_byte[3])
);
sky130_fd_sc_hd__dfxtp_2 _844_ (
.clk(clk),
.d(_174_),
.q(rx_byte[4])
);
sky130_fd_sc_hd__dfxtp_2 _845_ (
.clk(clk),
.d(_175_),
.q(rx_byte[5])
);
sky130_fd_sc_hd__dfxtp_2 _846_ (
.clk(clk),
.d(_176_),
.q(rx_byte[6])
);
sky130_fd_sc_hd__dfxtp_2 _847_ (
.clk(clk),
.d(_177_),
.q(rx_byte[7])
);
sky130_fd_sc_hd__dfxtp_2 _848_ (
.clk(clk),
.d(_178_),
.q(tx)
);
sky130_fd_sc_hd__dfxtp_2 _849_ (
.clk(clk),
.d(_179_),
.q(\tx_data[0] )
);
sky130_fd_sc_hd__dfxtp_2 _850_ (
.clk(clk),
.d(_180_),
.q(\tx_data[1] )
);
sky130_fd_sc_hd__dfxtp_2 _851_ (
.clk(clk),
.d(_181_),
.q(\tx_data[2] )
);
sky130_fd_sc_hd__dfxtp_2 _852_ (
.clk(clk),
.d(_182_),
.q(\tx_data[3] )
);
sky130_fd_sc_hd__dfxtp_2 _853_ (
.clk(clk),
.d(_183_),
.q(\tx_data[4] )
);
sky130_fd_sc_hd__dfxtp_2 _854_ (
.clk(clk),
.d(_184_),
.q(\tx_data[5] )
);
sky130_fd_sc_hd__dfxtp_2 _855_ (
.clk(clk),
.d(_185_),
.q(\tx_data[6] )
);
sky130_fd_sc_hd__dfxtp_2 _856_ (
.clk(clk),
.d(_186_),
.q(\tx_data[7] )
);
sky130_fd_sc_hd__dfxtp_2 _857_ (
.clk(clk),
.d(_187_),
.q(\rx_bits_remaining[0] )
);
sky130_fd_sc_hd__dfxtp_2 _858_ (
.clk(clk),
.d(_188_),
.q(\rx_bits_remaining[1] )
);
sky130_fd_sc_hd__dfxtp_2 _859_ (
.clk(clk),
.d(_189_),
.q(\rx_bits_remaining[2] )
);
sky130_fd_sc_hd__dfxtp_2 _860_ (
.clk(clk),
.d(_190_),
.q(\rx_bits_remaining[3] )
);
sky130_fd_sc_hd__dfxtp_2 _861_ (
.clk(clk),
.d(_191_),
.q(\tx_bits_remaining[0] )
);
sky130_fd_sc_hd__dfxtp_2 _862_ (
.clk(clk),
.d(_192_),
.q(\tx_bits_remaining[1] )
);
sky130_fd_sc_hd__dfxtp_2 _863_ (
.clk(clk),
.d(_193_),
.q(\tx_bits_remaining[2] )
);
sky130_fd_sc_hd__dfxtp_2 _864_ (
.clk(clk),
.d(_194_),
.q(\tx_bits_remaining[3] )
);
endmodule
|
/*
* Copyright 2018 ISP RAS (http://www.ispras.ru)
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
// IEEE Std 1364-2005
// 4. Data types
// 4.5 Implicit declarations
// If an identifier is used in the terminal list of a primitive instance or a module instance, and that
// identifier has not been declared previously in the scope where the instantiation appears or in any
// scope whose declarations can be directly referenced from the scope where the instantiation appears
// (see 12.7), then an implicit scalar net of default net type shall be assumed.
module test(a, b, x, res);
input wire a;
input wire b;
input wire [2:0] x;
wire [2:0] z;
output wire [3:0] res;
input_y yin(
.x (x),
.y (y), // y is implicitly declared using the default net type (1-bit wire)
.z (z)
);
output_y yout(
.a (a),
.b (b),
.y (y) // y is implicitly declared using the default net type (1-bit wire)
);
assign res = {y, z};
endmodule
module input_y(x, y, z);
input wire [2:0] x;
input wire y;
output reg [2:0] z;
always @(*) begin
if (y) z = x;
end
endmodule
module output_y(a, b, y);
input wire a;
input wire b;
output wire y;
assign y = a & b;
endmodule
|
// Copyright 2020-2022 F4PGA Authors
//
// Licensed under the Apache License, Version 2.0 (the "License");
// you may not use this file except in compliance with the License.
// You may obtain a copy of the License at
//
// http://www.apache.org/licenses/LICENSE-2.0
//
// Unless required by applicable law or agreed to in writing, software
// distributed under the License is distributed on an "AS IS" BASIS,
// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
// See the License for the specific language governing permissions and
// limitations under the License.
//
// SPDX-License-Identifier: Apache-2.0
`timescale 1ns/1ps
`define STRINGIFY(x) `"x`"
module TB;
localparam PERIOD = 50;
localparam ADDR_INCR = 1;
reg clk_a;
reg rce_a;
reg [`ADDR_WIDTH-1:0] ra_a;
wire [`DATA_WIDTH-1:0] rq_a;
reg wce_a;
reg [`ADDR_WIDTH-1:0] wa_a;
reg [`DATA_WIDTH-1:0] wd_a;
reg clk_b;
reg rce_b;
reg [`ADDR_WIDTH-1:0] ra_b;
wire [`DATA_WIDTH-1:0] rq_b;
reg wce_b;
reg [`ADDR_WIDTH-1:0] wa_b;
reg [`DATA_WIDTH-1:0] wd_b;
initial clk_a = 0;
initial clk_b = 0;
initial ra_a = 0;
initial ra_b = 0;
initial rce_a = 0;
initial rce_b = 0;
initial forever #(PERIOD / 2.0) clk_a = ~clk_a;
initial begin
#(PERIOD / 4.0);
forever #(PERIOD / 2.0) clk_b = ~clk_b;
end
initial begin
$dumpfile(`STRINGIFY(`VCD));
$dumpvars;
end
integer a;
integer b;
reg done_a;
reg done_b;
initial done_a = 1'b0;
initial done_b = 1'b0;
wire done_sim = done_a & done_b;
reg [`DATA_WIDTH-1:0] expected_a;
reg [`DATA_WIDTH-1:0] expected_b;
always @(posedge clk_a) begin
expected_a <= (a | (a << 20) | 20'h55000) & {`DATA_WIDTH{1'b1}};
end
always @(posedge clk_b) begin
expected_b <= (b | (b << 20) | 20'h55000) & {`DATA_WIDTH{1'b1}};
end
wire error_a = a != 0 ? rq_a !== expected_a : 0;
wire error_b = b != (1<<`ADDR_WIDTH) / 2 ? rq_b !== expected_b : 0;
integer error_a_cnt = 0;
integer error_b_cnt = 0;
always @ (posedge clk_a)
begin
if (error_a)
error_a_cnt <= error_a_cnt + 1'b1;
end
always @ (posedge clk_b)
begin
if (error_b)
error_b_cnt <= error_b_cnt + 1'b1;
end
// PORT A
initial #(1) begin
// Write data
for (a = 0; a < (1<<`ADDR_WIDTH) / 2; a = a + ADDR_INCR) begin
@(negedge clk_a) begin
wa_a = a;
wd_a = a | (a << 20) | 20'h55000;
wce_a = 1;
end
@(posedge clk_a) begin
#(PERIOD/10) wce_a = 0;
end
end
// Read data
for (a = 0; a < (1<<`ADDR_WIDTH) / 2; a = a + ADDR_INCR) begin
@(negedge clk_a) begin
ra_a = a;
rce_a = 1;
end
@(posedge clk_a) begin
#(PERIOD/10) rce_a = 0;
if ( rq_a !== expected_a) begin
$display("%d: PORT A: FAIL: mismatch act=%x exp=%x at %x", $time, rq_a, expected_a, a);
end else begin
$display("%d: PORT A: OK: act=%x exp=%x at %x", $time, rq_a, expected_a, a);
end
end
end
done_a = 1'b1;
end
// PORT B
initial #(1) begin
// Write data
for (b = (1<<`ADDR_WIDTH) / 2; b < (1<<`ADDR_WIDTH); b = b + ADDR_INCR) begin
@(negedge clk_b) begin
wa_b = b;
wd_b = b | (b << 20) | 20'h55000;
wce_b = 1;
end
@(posedge clk_b) begin
#(PERIOD/10) wce_b = 0;
end
end
// Read data
for (b = (1<<`ADDR_WIDTH) / 2; b < (1<<`ADDR_WIDTH); b = b + ADDR_INCR) begin
@(negedge clk_b) begin
ra_b = b;
rce_b = 1;
end
@(posedge clk_b) begin
#(PERIOD/10) rce_b = 0;
if ( rq_b !== expected_b) begin
$display("%d: PORT B: FAIL: mismatch act=%x exp=%x at %x", $time, rq_b, expected_b, b);
end else begin
$display("%d: PORT B: OK: act=%x exp=%x at %x", $time, rq_b, expected_b, b);
end
end
end
done_b = 1'b1;
end
// Scan for simulation finish
always @(posedge clk_a, posedge clk_b) begin
if (done_sim)
$finish_and_return( (error_a_cnt == 0 & error_b_cnt == 0) ? 0 : -1 );
end
case (`STRINGIFY(`TOP))
"BRAM_TDP_32x512": begin
BRAM_TDP_32x512 #() bram (
.clk_a(clk_a),
.rce_a(rce_a),
.ra_a(ra_a),
.rq_a(rq_a),
.wce_a(wce_a),
.wa_a(wa_a),
.wd_a(wd_a),
.clk_b(clk_b),
.rce_b(rce_b),
.ra_b(ra_b),
.rq_b(rq_b),
.wce_b(wce_b),
.wa_b(wa_b),
.wd_b(wd_b)
);
end
"BRAM_TDP_16x1024": begin
BRAM_TDP_16x1024 #() bram (
.clk_a(clk_a),
.rce_a(rce_a),
.ra_a(ra_a),
.rq_a(rq_a),
.wce_a(wce_a),
.wa_a(wa_a),
.wd_a(wd_a),
.clk_b(clk_b),
.rce_b(rce_b),
.ra_b(ra_b),
.rq_b(rq_b),
.wce_b(wce_b),
.wa_b(wa_b),
.wd_b(wd_b)
);
end
"BRAM_TDP_8x2048": begin
BRAM_TDP_8x2048 #() bram (
.clk_a(clk_a),
.rce_a(rce_a),
.ra_a(ra_a),
.rq_a(rq_a),
.wce_a(wce_a),
.wa_a(wa_a),
.wd_a(wd_a),
.clk_b(clk_b),
.rce_b(rce_b),
.ra_b(ra_b),
.rq_b(rq_b),
.wce_b(wce_b),
.wa_b(wa_b),
.wd_b(wd_b)
);
end
"BRAM_TDP_4x4096": begin
BRAM_TDP_4x4096 #() bram (
.clk_a(clk_a),
.rce_a(rce_a),
.ra_a(ra_a),
.rq_a(rq_a),
.wce_a(wce_a),
.wa_a(wa_a),
.wd_a(wd_a),
.clk_b(clk_b),
.rce_b(rce_b),
.ra_b(ra_b),
.rq_b(rq_b),
.wce_b(wce_b),
.wa_b(wa_b),
.wd_b(wd_b)
);
end
endcase
endmodule
|
// -*- verilog -*-
//
// USRP - Universal Software Radio Peripheral
//
// Copyright (C) 2003 Matt Ettus
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or
// (at your option) any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 51 Franklin Street, Boston, MA 02110-1301 USA
//
// Interface to Cypress FX2 bus
// A packet is 512 Bytes. Each fifo line is 2 bytes
// Fifo has 1024 or 2048 lines
module tx_buffer
( input usbclk,
input bus_reset, // Used here for the 257-Hack to fix the FX2 bug
input reset, // standard DSP-side reset
input [15:0] usbdata,
input wire WR,
output wire have_space,
output reg tx_underrun,
input wire [3:0] channels,
output reg [15:0] tx_i_0,
output reg [15:0] tx_q_0,
output reg [15:0] tx_i_1,
output reg [15:0] tx_q_1,
output reg [15:0] tx_i_2,
output reg [15:0] tx_q_2,
output reg [15:0] tx_i_3,
output reg [15:0] tx_q_3,
input txclk,
input txstrobe,
input clear_status,
output wire tx_empty,
output [11:0] debugbus
);
wire [11:0] txfifolevel;
reg [8:0] write_count;
wire tx_full;
wire [15:0] fifodata;
wire rdreq;
reg [3:0] load_next;
// DAC Side of FIFO
assign rdreq = ((load_next != channels) & !tx_empty);
always @(posedge txclk)
if(reset)
begin
{tx_i_0,tx_q_0,tx_i_1,tx_q_1,tx_i_2,tx_q_2,tx_i_3,tx_q_3}
<= #1 128'h0;
load_next <= #1 4'd0;
end
else
if(load_next != channels)
begin
load_next <= #1 load_next + 4'd1;
case(load_next)
4'd0 : tx_i_0 <= #1 tx_empty ? 16'd0 : fifodata;
4'd1 : tx_q_0 <= #1 tx_empty ? 16'd0 : fifodata;
4'd2 : tx_i_1 <= #1 tx_empty ? 16'd0 : fifodata;
4'd3 : tx_q_1 <= #1 tx_empty ? 16'd0 : fifodata;
4'd4 : tx_i_2 <= #1 tx_empty ? 16'd0 : fifodata;
4'd5 : tx_q_2 <= #1 tx_empty ? 16'd0 : fifodata;
4'd6 : tx_i_3 <= #1 tx_empty ? 16'd0 : fifodata;
4'd7 : tx_q_3 <= #1 tx_empty ? 16'd0 : fifodata;
endcase // case(load_next)
end // if (load_next != channels)
else if(txstrobe & (load_next == channels))
begin
load_next <= #1 4'd0;
end
// USB Side of FIFO
assign have_space = (txfifolevel <= (4095-256));
always @(posedge usbclk)
if(bus_reset) // Use bus reset because this is on usbclk
write_count <= #1 0;
else if(WR & ~write_count[8])
write_count <= #1 write_count + 9'd1;
else
write_count <= #1 WR ? write_count : 9'b0;
// Detect Underruns
always @(posedge txclk)
if(reset)
tx_underrun <= 1'b0;
else if(txstrobe & (load_next != channels))
tx_underrun <= 1'b1;
else if(clear_status)
tx_underrun <= 1'b0;
// FIFO
fifo_4k txfifo
( .data ( usbdata ),
.wrreq ( WR & ~write_count[8] ),
.wrclk ( usbclk ),
.q ( fifodata ),
.rdreq ( rdreq ),
.rdclk ( txclk ),
.aclr ( reset ), // asynch, so we can use either
.rdempty ( tx_empty ),
.rdusedw ( ),
.wrfull ( tx_full ),
.wrusedw ( txfifolevel )
);
// Debugging Aids
assign debugbus[0] = WR;
assign debugbus[1] = have_space;
assign debugbus[2] = tx_empty;
assign debugbus[3] = tx_full;
assign debugbus[4] = tx_underrun;
assign debugbus[5] = write_count[8];
assign debugbus[6] = txstrobe;
assign debugbus[7] = rdreq;
assign debugbus[11:8] = load_next;
endmodule // tx_buffer
|
/*
* mbus copyright 2015 regents of the university of michigan
*
* licensed under the apache license, version 2.0 (the "license");
* you may not use this file except in compliance with the license.
* you may obtain a copy of the license at
*
* http://www.apache.org/licenses/license-2.0
*
* unless required by applicable law or agreed to in writing, software
* distributed under the license is distributed on an "as is" basis,
* without warranties or conditions of any kind, either express or implied.
* see the license for the specific language governing permissions and
* limitations under the license.
*/
`define sd #1
`timescale 1ns/1ps
`include "include/mbus_def.v"
module tb_layer_ctrl();
`include "include/mbus_func.v"
parameter lc_int_depth=13;
parameter lc_mem_depth=65536;
parameter lc_rf_depth=256;
localparam bulk_mem_ctrl_reg_idx = 242;
localparam stream_ch0_reg0_idx = 236;
localparam stream_ch0_reg1_idx = 237;
localparam stream_ch0_reg2_idx = 238;
localparam stream_ch1_reg0_idx = 232;
localparam stream_ch1_reg1_idx = 233;
localparam stream_ch1_reg2_idx = 234;
reg clk, resetn;
wire sclk;
// n0 connections
reg [lc_int_depth-1:0] n0_int_vector;
wire [lc_int_depth-1:0] n0_clr_int;
// end of n0 connections
// n1 connections
reg [lc_int_depth-1:0] n1_int_vector;
wire [lc_int_depth-1:0] n1_clr_int;
// end of n1 connections
// n2 connections
reg [lc_int_depth-1:0] n2_int_vector;
wire [lc_int_depth-1:0] n2_clr_int;
// end of n2 connections
// n3 connections
reg [lc_int_depth-1:0] n3_int_vector;
wire [lc_int_depth-1:0] n3_clr_int;
// end of n3 connections
// c0 connections
reg [`addr_width-1:0] c0_tx_addr;
reg [`data_width-1:0] c0_tx_data;
reg c0_tx_req, c0_priority, c0_tx_pend, c0_tx_resp_ack, c0_req_int;
wire c0_tx_ack, c0_tx_succ, c0_tx_fail;
wire [`addr_width-1:0] c0_rx_addr;
wire [`data_width-1:0] c0_rx_data;
wire c0_rx_req, c0_rx_fail, c0_rx_pend, c0_rx_broadcast;
reg c0_rx_ack;
wire c0_lc_pwr_on, c0_lc_release_clk, c0_lc_release_rst, c0_lc_release_iso;
// end of c0 connections
// connection between nodes
wire w_n0n1, w_n1n2, w_n2n3, w_n3c0, w_c0n0;
wire w_n0_clk_out, w_n1_clk_out, w_n2_clk_out, w_n3_clk_out;
// testbench variables
reg [31:0] rand_dat, rand_dat2;
reg [4:0] state;
reg [5:0] word_counter;
reg [7:0] rf_read_length;
reg [7:0] rf_addr;
reg [29:0] mem_addr;
reg mem_ptr_set;
reg [1:0] mem_access_state;
reg [7:0] relay_addr;
reg [29:0] mem_relay_loc;
reg [7:0] rf_relay_loc;
reg [3:0] dest_short_addr;
reg [23:0] rf_data;
reg [31:0] mem_data;
reg [19:0] mem_read_length;
reg [3:0] enum_short_addr;
reg [19:0] long_addr;
reg [1:0] layer_number;
reg [lc_int_depth-1:0] int_vec;
reg [31:0] mem_w_data;
reg [3:0] functional_id;
reg [23:0] rf_w_data;
reg [1:0] stream_channel;
integer handle;
integer task_counter;
localparam tb_proc_up = 0;
localparam tb_query = 1;
localparam tb_enum = 2;
localparam tb_all_wakeup = 3;
localparam tb_rf_write = 4;
localparam tb_rf_read = 5;
localparam tb_mem_write = 6;
localparam tb_mem_read = 7;
localparam tb_sel_sleep_full_prefix = 8;
localparam tb_all_sleep = 9;
localparam tb_all_short_addr_invalid = 10;
localparam tb_single_interrupt = 11;
localparam tb_multiple_interrupt = 12;
localparam tb_single_mem_write = 13;
localparam tb_arbitrary_cmd = 14;
localparam tb_single_rf_write = 15;
localparam tb_short_mem_read = 16;
localparam tb_streaming = 17;
localparam tx_wait = 31;
reg c0_auto_rx_ack;
layer_wrapper #(.address(20'hbbbb0), .lc_int_depth(lc_int_depth)) layer0(
.clk(clk), .resetn(resetn),
.int_vector(n0_int_vector),
.clr_int_external(n0_clr_int),
// mbus
.clkin(sclk), .clkout(w_n0_clk_out), .din(w_c0n0), .dout(w_n0n1));
layer_wrapper #(.address(20'hbbbb1), .lc_int_depth(lc_int_depth)) layer1(
.clk(clk), .resetn(resetn),
.int_vector(n1_int_vector),
.clr_int_external(n1_clr_int),
// mbus
.clkin(w_n0_clk_out), .clkout(w_n1_clk_out), .din(w_n0n1), .dout(w_n1n2));
layer_wrapper #(.address(20'hbbbb2), .lc_int_depth(lc_int_depth)) layer2(
.clk(clk), .resetn(resetn),
.int_vector(n2_int_vector),
.clr_int_external(n2_clr_int),
// mbus
.clkin(w_n1_clk_out), .clkout(w_n2_clk_out), .din(w_n1n2), .dout(w_n2n3));
layer_wrapper #(.address(20'hbbbb2), .lc_int_depth(lc_int_depth)) layer3(
.clk(clk), .resetn(resetn),
.int_vector(n3_int_vector),
.clr_int_external(n3_clr_int),
// mbus
.clkin(w_n2_clk_out), .clkout(w_n3_clk_out), .din(w_n2n3), .dout(w_n3c0));
mbus_ctrl_layer_wrapper #(.address(20'haaaa0)) c0
(.clk_ext(clk), .clkin(w_n3_clk_out), .clkout(sclk), .resetn(resetn), .din(w_n3c0), .dout(w_c0n0),
.tx_addr(c0_tx_addr), .tx_data(c0_tx_data), .tx_req(c0_tx_req), .tx_ack(c0_tx_ack), .tx_pend(c0_tx_pend), .tx_priority(c0_priority),
.rx_addr(c0_rx_addr), .rx_data(c0_rx_data), .rx_req(c0_rx_req), .rx_ack(c0_rx_ack), .rx_fail(c0_rx_fail), .rx_pend(c0_rx_pend),
.tx_succ(c0_tx_succ), .tx_fail(c0_tx_fail), .tx_resp_ack(c0_tx_resp_ack), .rx_broadcast(c0_rx_broadcast),
.lc_power_on(c0_lc_pwr_on), .lc_release_clk(c0_lc_release_clk), .lc_release_rst(c0_lc_release_rst), .lc_release_iso(c0_lc_release_iso),
.req_int(c0_req_int));
`include "tasks.v"
initial
begin
task_counter = 0;
clk = 0;
resetn = 1;
mem_addr = 0;
mem_ptr_set = 0;
mem_access_state = 0;
mem_data = 0;
mem_relay_loc = 0;
mem_read_length = 0;
rf_addr = 0;
rf_data = 0;
rf_read_length = 0;
rf_relay_loc = 0;
relay_addr = 0;
enum_short_addr = 4'h2;
long_addr = 20'haaaa0;
layer_number = 0;
int_vec = 0;
mem_w_data = 0;
functional_id = 0;
stream_channel = 0;
@ (posedge clk);
@ (posedge clk);
@ (posedge clk);
`sd resetn = 0;
@ (posedge clk);
@ (posedge clk);
`sd resetn = 1;
@ (posedge clk);
@ (posedge clk);
//vcd dump section
//`ifdef apr
/*
`ifdef task4
$dumpfile("task4.vcd");
`elsif task5
$dumpfile("task5.vcd");
`endif
$dumpvars(0, tb_ulpb_node32);
*/
//`endif
//sdf annotation
`ifdef syn
$sdf_annotate("../syn/layer_ctrl_v2.dc.sdf", layer0.lc0);
$sdf_annotate("../syn/layer_ctrl_v2.dc.sdf", layer1.lc0);
$sdf_annotate("../syn/layer_ctrl_v2.dc.sdf", layer2.lc0);
$sdf_annotate("../syn/layer_ctrl_v2.dc.sdf", layer3.lc0);
`endif
/*
`elsif apr
$sdf_annotate("../apr/ulpb_ctrl_wrapper/ulpb_ctrl_wrapper.apr.sdf", c0);
$sdf_annotate("../apr/ulpb_node32_ab/ulpb_node32_ab.apr.sdf", n0);
$sdf_annotate("../apr/ulpb_node32_cd/ulpb_node32_cd.apr.sdf", n1);
$sdf_annotate("../apr/ulpb_node32_ef/ulpb_node32_ef.apr.sdf", n2);
`endif
*/
//************************
//testbench begins
//calls tasks from tasks.v
//***********************
task0();
end // initial begin
//changed to 400k for primetime calculations
always #1250 clk = ~clk;
`include "task_list.v"
always @ (posedge layer0.lc_pwr_on)
$fdisplay(handle, "n0 lc sleep");
always @ (posedge layer1.lc_pwr_on)
$fdisplay(handle, "n1 lc sleep");
always @ (posedge layer2.lc_pwr_on)
$fdisplay(handle, "n2 lc sleep");
always @ (posedge layer3.lc_pwr_on)
$fdisplay(handle, "n3 lc sleep");
always @ (posedge c0_lc_pwr_on)
$fdisplay(handle, "processor sleep");
always @ (negedge layer0.lc_pwr_on)
$fdisplay(handle, "n0 lc wakeup");
always @ (negedge layer1.lc_pwr_on)
$fdisplay(handle, "n1 lc wakeup");
always @ (negedge layer2.lc_pwr_on)
$fdisplay(handle, "n2 lc wakeup");
always @ (negedge layer3.lc_pwr_on)
$fdisplay(handle, "n3 lc wakeup");
always @ (negedge c0_lc_pwr_on)
$fdisplay(handle, "processor wakeup");
always @ (posedge clk or negedge resetn)
begin
if (~resetn)
begin
n0_int_vector <= 0;
n1_int_vector <= 0;
n2_int_vector <= 0;
n3_int_vector <= 0;
c0_tx_addr <= 0;
c0_tx_data <= 0;
c0_tx_pend <= 0;
c0_tx_req <= 0;
c0_priority <= 0;
c0_req_int <= 0;
c0_auto_rx_ack <= 1;
word_counter <= 0;
end
else
begin
if (c0_tx_ack) c0_tx_req <= 0;
if (c0_tx_fail & c0_tx_req) c0_tx_req <= 0;
end
end
// n0 interrupt control
wire [lc_int_depth-1:0] n0_int_clr_mask = (n0_clr_int & n0_int_vector);
always @ (posedge clk)
begin
if (n0_int_clr_mask)
n0_int_vector <= `sd (n0_int_vector & (~n0_int_clr_mask));
end
always @ (posedge layer0.rx_fail)
$fdisplay(handle, "n0 rx fail");
always @ (posedge layer0.rx_req)
begin
$fdisplay(handle, "n0 rx success");
//$fdisplay(handle, "n0 data out =\t32'h%h", layer0.rx_data);
end
always @ (posedge layer0.tx_succ)
$fdisplay(handle, "n0 tx success\n");
always @ (posedge layer0.tx_fail)
$fdisplay(handle, "n0 tx fail\n");
// end of n0 interrupt control
// n1 interrupt control
wire [lc_int_depth-1:0] n1_int_clr_mask = (n1_clr_int & n1_int_vector);
always @ (posedge clk)
begin
if (n1_int_clr_mask)
n1_int_vector <= `sd (n1_int_vector & (~n1_int_clr_mask));
end
always @ (posedge layer1.rx_fail)
$fdisplay(handle, "n1 rx fail");
always @ (posedge layer1.rx_req)
begin
$fdisplay(handle, "n1 rx success");
//$fdisplay(handle, "n1 data out =\t32'h%h", layer1.rx_data);
end
always @ (posedge layer1.tx_succ)
$fdisplay(handle, "n1 tx success\n");
always @ (posedge layer1.tx_fail)
$fdisplay(handle, "n1 tx fail\n");
// end of n1 interrupt control
// n2 interrupt control
wire [lc_int_depth-1:0] n2_int_clr_mask = (n2_clr_int & n2_int_vector);
always @ (posedge clk)
begin
if (n2_int_clr_mask)
n2_int_vector <= `sd (n2_int_vector & (~n2_int_clr_mask));
end
always @ (posedge layer2.rx_fail)
$fdisplay(handle, "n2 rx fail");
always @ (posedge layer2.rx_req)
begin
$fdisplay(handle, "n2 rx success");
//$fdisplay(handle, "n2 data out =\t32'h%h", layer2.rx_data);
end
always @ (posedge layer2.tx_succ)
$fdisplay(handle, "n2 tx success\n");
always @ (posedge layer2.tx_fail)
$fdisplay(handle, "n2 tx fail\n");
// end of n2 interrupt control
// n3 interrupt control
wire [lc_int_depth-1:0] n3_int_clr_mask = (n3_clr_int & n3_int_vector);
always @ (posedge clk)
begin
if (n3_int_clr_mask)
n3_int_vector <= `sd (n3_int_vector & (~n3_int_clr_mask));
end
always @ (posedge layer3.rx_fail)
$fdisplay(handle, "n3 rx fail");
always @ (posedge layer3.rx_req)
begin
$fdisplay(handle, "n3 rx success");
//$fdisplay(handle, "n3 data out =\t32'h%h", layer3.rx_data);
end
always @ (posedge layer3.tx_succ)
$fdisplay(handle, "n3 tx success\n");
always @ (posedge layer3.tx_fail)
$fdisplay(handle, "n3 tx fail\n");
// end of n3 interrupt control
// c0 rx tx ack control
always @ (negedge resetn)
begin
c0_rx_ack <= 0;
c0_tx_resp_ack <= 0;
end
always @ (posedge c0_rx_fail)
$fdisplay(handle, "c0 rx fail");
always @ (posedge c0_rx_req)
begin
$fdisplay(handle, "c0 rx success");
$fdisplay(handle, "c0 data out =\t32'h%h", c0_rx_data);
end
always @ (posedge clk)
begin
if ((c0_rx_req | c0_rx_fail) & c0_auto_rx_ack)
`sd c0_rx_ack <= 1;
if (c0_rx_ack & (~c0_rx_req))
`sd c0_rx_ack <= 0;
if (c0_rx_ack & (~c0_rx_fail))
`sd c0_rx_ack <= 0;
end
always @ (posedge c0_tx_succ)
$fdisplay(handle, "c0 tx success");
always @ (posedge c0_tx_fail)
$fdisplay(handle, "c0 tx fail");
always @ (posedge clk)
begin
if (c0_tx_succ | c0_tx_fail)
`sd c0_tx_resp_ack <= 1;
if (c0_tx_resp_ack & (~c0_tx_succ))
`sd c0_tx_resp_ack <= 0;
if (c0_tx_resp_ack & (~c0_tx_fail))
`sd c0_tx_resp_ack <= 0;
end
// end of c0 rx, tx ack control
always @ (posedge clk or negedge resetn) begin
if (~resetn) begin
rand_dat <= 0;
rand_dat2 <= 0;
end
else begin
rand_dat <= $random;
rand_dat2 <= $random;
end
end
// rf write output
wire [31:0] layer0_rf0_addr = log2long(layer0.rf0.load) - 1;
wire [31:0] layer1_rf0_addr = log2long(layer1.rf0.load) - 1;
wire [31:0] layer2_rf0_addr = log2long(layer2.rf0.load) - 1;
wire [31:0] layer3_rf0_addr = log2long(layer3.rf0.load) - 1;
genvar idx;
generate
for (idx=0; idx<lc_rf_depth; idx = idx+1)
begin: rf_write
always @ (posedge layer0.rf0.load[idx])
$fdisplay(handle, "layer 0, rf write, addr: 8'h%h,\tdata: 24'h%h", layer0_rf0_addr[7:0], layer0.rf0.din);
always @ (posedge layer1.rf0.load[idx])
$fdisplay(handle, "layer 1, rf write, addr: 8'h%h,\tdata: 24'h%h", layer1_rf0_addr[7:0], layer1.rf0.din);
always @ (posedge layer2.rf0.load[idx])
$fdisplay(handle, "layer 2, rf write, addr: 8'h%h,\tdata: 24'h%h", layer2_rf0_addr[7:0], layer2.rf0.din);
always @ (posedge layer3.rf0.load[idx])
$fdisplay(handle, "layer 3, rf write, addr: 8'h%h,\tdata: 24'h%h", layer3_rf0_addr[7:0], layer3.rf0.din);
end
endgenerate
// end of rf write output
// mem write output
always @ (posedge layer0.mem0.mem_ack_out)
if (layer0.mem0.mem_write)
$fdisplay(handle, "layer 0, mem write, addr: 30'h%h,\tdata: 32'h%h", layer0.mem0.addr, layer0.mem0.data_in);
else
$fdisplay(handle, "layer 0, mem read, addr: 30'h%h,\tdata: 32'h%h", layer0.mem0.addr, layer0.mem0.data_out);
always @ (posedge layer1.mem0.mem_ack_out)
if (layer1.mem0.mem_write)
$fdisplay(handle, "layer 1, mem write, addr: 30'h%h,\tdata: 32'h%h", layer1.mem0.addr, layer1.mem0.data_in);
else
$fdisplay(handle, "layer 1, mem read, addr: 30'h%h,\tdata: 32'h%h", layer1.mem0.addr, layer1.mem0.data_out);
always @ (posedge layer2.mem0.mem_ack_out)
if (layer2.mem0.mem_write)
$fdisplay(handle, "layer 2, mem write, addr: 30'h%h,\tdata: 32'h%h", layer2.mem0.addr, layer2.mem0.data_in);
else
$fdisplay(handle, "layer 2, mem read, addr: 30'h%h,\tdata: 32'h%h", layer2.mem0.addr, layer2.mem0.data_out);
always @ (posedge layer3.mem0.mem_ack_out)
if (layer3.mem0.mem_write)
$fdisplay(handle, "layer 3, mem write, addr: 30'h%h,\tdata: 32'h%h", layer3.mem0.addr, layer3.mem0.data_in);
else
$fdisplay(handle, "layer 3, mem read, addr: 30'h%h,\tdata: 32'h%h", layer3.mem0.addr, layer3.mem0.data_out);
// end of mem write output
endmodule // tb_layer_ctrl
|
// (C) 2001-2016 Intel Corporation. All rights reserved.
// Your use of Intel Corporation's design tools, logic functions and other
// software and tools, and its AMPP partner logic functions, and any output
// files any of the foregoing (including device programming or simulation
// files), and any associated documentation or information are expressly subject
// to the terms and conditions of the Intel Program License Subscription
// Agreement, Intel MegaCore Function License Agreement, or other applicable
// license agreement, including, without limitation, that your use is for the
// sole purpose of programming logic devices manufactured by Intel and sold by
// Intel or its authorized distributors. Please refer to the applicable
// agreement for further details.
// $File: //acds/rel/16.1/ip/avalon_st/altera_avalon_st_pipeline_stage/altera_avalon_st_pipeline_base.v $
// $Revision: #1 $
// $Date: 2016/08/07 $
// $Author: swbranch $
//------------------------------------------------------------------------------
`timescale 1ns / 1ns
module altera_avalon_st_pipeline_base (
clk,
reset,
in_ready,
in_valid,
in_data,
out_ready,
out_valid,
out_data
);
parameter SYMBOLS_PER_BEAT = 1;
parameter BITS_PER_SYMBOL = 8;
parameter PIPELINE_READY = 1;
localparam DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL;
input clk;
input reset;
output in_ready;
input in_valid;
input [DATA_WIDTH-1:0] in_data;
input out_ready;
output out_valid;
output [DATA_WIDTH-1:0] out_data;
reg full0;
reg full1;
reg [DATA_WIDTH-1:0] data0;
reg [DATA_WIDTH-1:0] data1;
assign out_valid = full1;
assign out_data = data1;
generate if (PIPELINE_READY == 1)
begin : REGISTERED_READY_PLINE
assign in_ready = !full0;
always @(posedge clk, posedge reset) begin
if (reset) begin
data0 <= {DATA_WIDTH{1'b0}};
data1 <= {DATA_WIDTH{1'b0}};
end else begin
// ----------------------------
// always load the second slot if we can
// ----------------------------
if (~full0)
data0 <= in_data;
// ----------------------------
// first slot is loaded either from the second,
// or with new data
// ----------------------------
if (~full1 || (out_ready && out_valid)) begin
if (full0)
data1 <= data0;
else
data1 <= in_data;
end
end
end
always @(posedge clk or posedge reset) begin
if (reset) begin
full0 <= 1'b0;
full1 <= 1'b0;
end else begin
// no data in pipeline
if (~full0 & ~full1) begin
if (in_valid) begin
full1 <= 1'b1;
end
end // ~f1 & ~f0
// one datum in pipeline
if (full1 & ~full0) begin
if (in_valid & ~out_ready) begin
full0 <= 1'b1;
end
// back to empty
if (~in_valid & out_ready) begin
full1 <= 1'b0;
end
end // f1 & ~f0
// two data in pipeline
if (full1 & full0) begin
// go back to one datum state
if (out_ready) begin
full0 <= 1'b0;
end
end // end go back to one datum stage
end
end
end
else
begin : UNREGISTERED_READY_PLINE
// in_ready will be a pass through of the out_ready signal as it is not registered
assign in_ready = (~full1) | out_ready;
always @(posedge clk or posedge reset) begin
if (reset) begin
data1 <= 'b0;
full1 <= 1'b0;
end
else begin
if (in_ready) begin
data1 <= in_data;
full1 <= in_valid;
end
end
end
end
endgenerate
endmodule
|
/*
* @file name: ialign
* @author: ruige lee
* @email: wut.ruigeli@gmail.com
* @date: 2021-01-11 10:11:32
* @last modified by: ruige lee
* @last modified time: 2021-03-10 17:29:14
*/
/*
copyright (c) 2020 - 2021 ruige lee <wut.ruigeli@gmail.com>
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and
limitations under the license.
*/
`timescale 1 ns / 1 ps
`include "define.vh"
//now if pc is 64bit align
module ialign (
input [63:0] ic_iq_pc,
input [63:0] ic_iq_instr,
output [63:0] align_instr,
output [3:0] align_instr_mask
);
wire [2:0] pc_lsb = ic_iq_pc[2:0];
assign align_instr =
( {64{pc_lsb == 3'b000}} & ic_iq_instr)
|
( {64{pc_lsb == 3'b010}} & {16'b0, ic_iq_instr[63:16]} )
|
( {64{pc_lsb == 3'b100}} & {32'b0, ic_iq_instr[63:32]})
|
( {64{pc_lsb == 3'b110}} & {48'b0, ic_iq_instr[63:48]});
assign align_instr_mask =
( {4{pc_lsb == 3'b000}} & 4'b1111)
|
( {4{pc_lsb == 3'b010}} & 4'b0111 )
|
( {4{pc_lsb == 3'b100}} & 4'b0011)
|
( {4{pc_lsb == 3'b110}} & 4'b0001);
endmodule
|
/*
* don't remove this header.
*
* decode jpeg
*
* copyright (c)2006-2015 aquaxis technology.
* when you use this source, there is a need to inherit this header.
*
* license: mit license
* license uri: http://github.com/aquaxis/aq_axis_djpeg/license
*
* for further information please contact.
* uri: http://www.aquaxis.com/
* e-mail: hidemi(at)aquaxis.com
*/
module aq_djpeg_regdata(
input rst,
input clk,
// read data
input [31:0] datain,
input datainenable, // data enable
output datainread, // data read
output datainreq, // data request
// dataout
output [31:0] dataout, // data out
output dataoutenable, // data out enable
output dataoutend,
input imageenable,
input processidle,
// usedata
input usebit, // used data bit
input [6:0] usewidth, // used data bit width
input usebyte, // used data byte
input useword, // used data word
input alignbyte // align to next byte boundary for rstn
);
wire regvalid;
reg [95:0] regdata;
reg [6:0] regwidth;
reg checkmode;
reg dataend;
wire preimageenable;
reg imageready;
// assign regvalid = (imageenable)?(regwidth > 7'd64):(regwidth > 7'd32);
assign regvalid = (imageready)?(regwidth > 7'd64):(regwidth > 7'd32);
assign datainreq = (~regvalid) & (dataend == 1'b0);
assign datainread = ((regvalid == 1'b0) & (datainenable == 1'b1) & (dataend == 1'b0));
assign preimageenable = ((imageenable == 1'b1) && (imageready == 1'b0))?1'b1:1'b0;
always @(posedge clk ) begin
if(!rst) begin
regdata <= 96'd0;
regwidth <= 7'd0;
checkmode <= 1'b0;
imageready <= 1'b0;
end else begin
if(dataend == 1'b1 & processidle == 1'b1) begin
regdata <= 96'd0;
regwidth <= 7'd0;
checkmode <= 1'b0;
imageready <= 1'b0;
end else if(regvalid == 1'b0 & (datainenable == 1'b1 | dataend == 1'b1)) begin
if(imageready == 1'b1) begin
// group 1
if(regdata[39: 8] == 32'hff00ff00 & checkmode != 1'b1) begin
regwidth <= regwidth + 7'd16;
regdata[95:64] <= {8'h00,regdata[71:48]};
regdata[63:32] <= {regdata[47:40],16'hffff,regdata[7:0]};
checkmode <= 1'b0;
end else if(regdata[39: 28] == 12'hffd & regdata[23: 8] == 16'hff00 & checkmode != 1'b1) begin
regwidth <= regwidth + 7'd8;
regdata[95:64] <= {16'h0000,regdata[71:56]};
regdata[63:32] <= {regdata[55:40],8'hff,regdata[7:0]};
checkmode <= 1'b0;
end else if(regdata[39: 24] == 16'hffd0 & regdata[23:12] == 12'hffd & checkmode != 1'b1) begin
regwidth <= regwidth + 7'd8;
regdata[95:64] <= {16'h0000,regdata[71:56]};
regdata[63:32] <= {regdata[55:40],8'hff,regdata[7:0]};
checkmode <= 1'b0;
// group 2
end else if(regdata[39:24] == 16'hff00 & regdata[15: 0] == 16'hff00 & checkmode != 1'b1) begin
regwidth <= regwidth + 7'd16;
regdata[95:64] <= {8'h00,regdata[71:48]};
regdata[63:32] <= {regdata[47:40],8'hff,regdata[23:16],8'hff};
checkmode <= 1'b1;
end else if(regdata[39:28] == 12'hffd & regdata[15: 0] == 16'hff00 & checkmode != 1'b1) begin
regwidth <= regwidth + 7'd8;
regdata[95:64] <= {16'h0000,regdata[71:56]};
regdata[63:32] <= {regdata[55:40],regdata[23:16],8'hff};
checkmode <= 1'b1;
end else if(regdata[39:24] == 16'hff00 & regdata[15: 4] == 16'hffd & checkmode != 1'b1) begin
regwidth <= regwidth + 7'd8;
regdata[95:64] <= {16'h0000,regdata[71:56]};
regdata[63:32] <= {regdata[55:40],8'hff,regdata[23:16]};
checkmode <= 1'b1;
// group 3
end else if(regdata[31: 0] == 32'hff00ff00) begin
regwidth <= regwidth + 7'd16;
regdata[95:64] <= {16'h0000,regdata[63:48]};
regdata[63:32] <= {regdata[47:32],16'hffff};
checkmode <= 1'b1;
end else if(regdata[31: 20] == 12'hffd & regdata[15: 0] == 16'hff00 & checkmode != 1'b1) begin
regwidth <= regwidth + 7'd8;
regdata[95:64] <= {24'h000000,regdata[63:56]};
regdata[63:32] <= {regdata[55:32],8'hff};
checkmode <= 1'b1;
end else if(regdata[31: 16] == 16'hff00 & regdata[15: 4] == 12'hffd & checkmode != 1'b1) begin
regwidth <= regwidth + 7'd8;
regdata[95:64] <= {24'h000000,regdata[63:56]};
regdata[63:32] <= {regdata[55:32],8'hff};
checkmode <= 1'b1;
// group 4
end else if(regdata[39:24] == 16'hff00 & checkmode != 1'b1) begin
regwidth <= regwidth + 7'd24;
regdata[95:64] <= {regdata[71:40]};
regdata[63:32] <= {8'hff,regdata[23:0]};
checkmode <= 1'b0;
end else if(regdata[39:28] == 12'hffd & checkmode != 1'b1) begin
regwidth <= regwidth + 7'd16;
regdata[95:64] <= {8'h00,regdata[71:48]};
regdata[63:32] <= {regdata[47:40], regdata[23:0]};
checkmode <= 1'b0;
// group 5
end else if(regdata[31:16] == 16'hff00) begin
regwidth <= regwidth + 7'd24;
regdata[95:64] <= {regdata[71:40]};
regdata[63:32] <= {regdata[39:32],8'hff,regdata[15:0]};
checkmode <= 1'b0;
end else if(regdata[31:20] == 12'hffd) begin
regwidth <= regwidth + 7'd16;
regdata[95:64] <= {8'h00,regdata[71:48]};
regdata[63:32] <= {regdata[47:32], regdata[15:0]};
checkmode <= 1'b0;
// group 6
end else if(regdata[23: 8] == 16'hff00) begin
regwidth <= regwidth + 7'd24;
regdata[95:64] <= {regdata[71:40]};
regdata[63:32] <= {regdata[39:32],regdata[31:24],8'hff,regdata[7:0]};
checkmode <= 1'b0;
end else if(regdata[23:12] == 12'hffd) begin
regwidth <= regwidth + 7'd16;
regdata[95:64] <= {8'h00,regdata[71:48]};
regdata[63:32] <= {regdata[47:24], regdata[7:0]};
checkmode <= 1'b0;
// group 7
end else if(regdata[15: 0] == 16'hff00) begin
regwidth <= regwidth + 7'd24;
regdata[95:64] <= {regdata[71:40]};
regdata[63:32] <= {regdata[39:32],regdata[31:16],8'hff};
checkmode <= 1'b1;
end else if(regdata[15: 4] == 12'hffd) begin
regwidth <= regwidth + 7'd16;
regdata[95:64] <= {8'h00,regdata[71:48]};
regdata[63:32] <= {regdata[47:16]};
checkmode <= 1'b0;
// group 8
end else begin
regwidth <= regwidth + 7'd32;
regdata[95:64] <= regdata[63:32];
regdata[63:32] <= regdata[31:0];
checkmode <= 1'b0;
end
end else begin
regwidth <= regwidth + 7'd32;
regdata[95:64] <= regdata[63:32];
regdata[63:32] <= regdata[31:0];
checkmode <= 1'b0;
end
regdata[31: 0] <= {datain[7:0],datain[15:8],datain[23:16],datain[31:24]};
end else if(preimageenable == 1'b1) begin
if((regdata[63:32] == 32'hff00ff00) && (regwidth == 7'd64)) begin
regwidth <= 7'd48;
regdata[63:32] <= {32'h0000ffff};
checkmode <= 1'b1;
end else if ((regdata[63:48] == 16'hff00) && (regwidth == 7'd64)) begin
regwidth <= 7'd56;
regdata[63:32] <= {16'h00ff, regdata[47:32]};
checkmode <= 1'b0;
end else if ((regdata[55:40] == 16'hff00) && (regwidth == 7'd64)) begin
regwidth <= 7'd56;
regdata[63:32] <= {8'h00,regdata[63:56],8'hff,regdata[39:32]};
checkmode <= 1'b0;
end else if ((regdata[47:32] == 16'hff00) && (regwidth == 7'd64)) begin
regwidth <= 7'd56;
regdata[63:32] <= {16'h00,regdata[55:48],8'hff};
checkmode <= 1'b1;
end else if ((regdata[55:40] == 16'hff00) && (regwidth == 7'd56)) begin
regwidth <= 7'd48;
regdata[63:32] <= {24'h0000ff, regdata[39:32]};
checkmode <= 1'b0;
end else if ((regdata[47:32] == 16'hff00) && (regwidth == 7'd56)) begin
regwidth <= 7'd48;
regdata[63:32] <= {16'h0000, regdata[55:48],8'hff};
checkmode <= 1'b1;
end else if ((regdata[47:32] == 16'hff00) && (regwidth == 7'd48)) begin
regwidth <= 7'd40;
regdata[63:32] <= {32'h000000ff};
checkmode <= 1'b1;
end
imageready <= 1'b1;
end else if(usebit == 1'b1) begin
regwidth <= regwidth - usewidth;
end else if(usebyte == 1'b1) begin
regwidth <= regwidth - 7'd8;
end else if(useword == 1'b1) begin
regwidth <= regwidth - 7'd16;
end else if(alignbyte == 1'b1) begin
regwidth <= {regwidth[6:3], 3'b0};
end
end
end
// pickup with end of jpeg data
always @(posedge clk ) begin
if(!rst) begin
dataend <= 1'b0;
end else begin
if(processidle) begin
dataend <= 1'b0;
end else if(imageenable == 1'b1 & ((regdata[39:24] == 16'hffd9 & checkmode != 1'b1) | regdata[31:16] == 16'hffd9 | regdata[23: 8] == 16'hffd9 | regdata[15: 0] == 16'hffd9)) begin
dataend <= 1'b1;
end
end
end
function [31:0] slicedata;
input [95:0] regdata;
input [7:0] regwidth;
case(regwidth)
//8'd33: slicedata = regdata[32: 1];
//8'd34: slicedata = regdata[33: 2];
//8'd35: slicedata = regdata[34: 3];
//8'd36: slicedata = regdata[35: 4];
//8'd37: slicedata = regdata[36: 5];
//8'd38: slicedata = regdata[37: 6];
//8'd39: slicedata = regdata[38: 7];
8'd40: slicedata = regdata[39: 8];
//8'd41: slicedata = regdata[40: 9];
//8'd42: slicedata = regdata[41:10];
//8'd43: slicedata = regdata[42:11];
//8'd44: slicedata = regdata[43:12];
//8'd45: slicedata = regdata[44:13];
//8'd46: slicedata = regdata[45:14];
//8'd47: slicedata = regdata[46:15];
8'd48: slicedata = regdata[47:16];
//8'd49: slicedata = regdata[48:17];
//8'd50: slicedata = regdata[49:18];
//8'd51: slicedata = regdata[50:19];
//8'd52: slicedata = regdata[51:20];
//8'd53: slicedata = regdata[52:21];
//8'd54: slicedata = regdata[53:22];
//8'd55: slicedata = regdata[54:23];
8'd56: slicedata = regdata[55:24];
//8'd57: slicedata = regdata[56:25];
//8'd58: slicedata = regdata[57:26];
//8'd59: slicedata = regdata[58:27];
//8'd60: slicedata = regdata[59:28];
//8'd61: slicedata = regdata[60:29];
//8'd62: slicedata = regdata[61:30];
//8'd63: slicedata = regdata[62:31];
8'd64: slicedata = regdata[63:32];
8'd65: slicedata = regdata[64:33];
8'd66: slicedata = regdata[65:34];
8'd67: slicedata = regdata[66:35];
8'd68: slicedata = regdata[67:36];
8'd69: slicedata = regdata[68:37];
8'd70: slicedata = regdata[69:38];
8'd71: slicedata = regdata[70:39];
8'd72: slicedata = regdata[71:40];
8'd73: slicedata = regdata[72:41];
8'd74: slicedata = regdata[73:42];
8'd75: slicedata = regdata[74:43];
8'd76: slicedata = regdata[75:44];
8'd77: slicedata = regdata[76:45];
8'd78: slicedata = regdata[77:46];
8'd79: slicedata = regdata[78:47];
8'd80: slicedata = regdata[79:48];
8'd81: slicedata = regdata[80:49];
8'd82: slicedata = regdata[81:50];
8'd83: slicedata = regdata[82:51];
8'd84: slicedata = regdata[83:52];
8'd85: slicedata = regdata[84:53];
8'd86: slicedata = regdata[85:54];
8'd87: slicedata = regdata[86:55];
8'd88: slicedata = regdata[87:56];
8'd89: slicedata = regdata[88:57];
8'd90: slicedata = regdata[89:58];
8'd91: slicedata = regdata[90:59];
8'd92: slicedata = regdata[91:60];
8'd93: slicedata = regdata[92:61];
8'd94: slicedata = regdata[93:62];
8'd95: slicedata = regdata[94:63];
8'd96: slicedata = regdata[95:64];
default: slicedata = 32'h00000000;
endcase
endfunction
reg outenable;
reg preenable;
reg [31:0] dataout;
always @(posedge clk ) begin
if(!rst) begin
outenable <= 1'b0;
preenable <= 1'b0;
dataout <= 32'h00000000;
end else begin
if(dataend == 1'b1 & processidle == 1'b1) begin
outenable <= 1'b0;
preenable <= 1'b0;
dataout <= 32'h00000000;
end else begin
outenable <= regvalid & !preimageenable; // avoid image data output before the first shift into higher bits
preenable <= (usebit == 1'b1 | usebyte == 1'b1 | useword == 1'b1 | alignbyte == 1'b1);
dataout <= slicedata(regdata,regwidth);
end
end
end
assign dataoutenable = (preenable == 1'b0)?outenable:1'b0;
assign dataoutend = dataend;
endmodule
|
/*
* Milkymist SoC
* Copyright (C) 2007, 2008, 2009, 2010 Sebastien Bourdeauducq
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, version 3 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
module tmu2_ctlif #(
parameter csr_addr = 4'h0,
parameter fml_depth = 26
) (
input sys_clk,
input sys_rst,
input [13:0] csr_a,
input csr_we,
input [31:0] csr_di,
output reg [31:0] csr_do,
output reg irq,
output reg start,
input busy,
output reg [6:0] vertex_hlast,
output reg [6:0] vertex_vlast,
output reg [5:0] brightness,
output reg chroma_key_en,
output reg additive_en,
output reg [15:0] chroma_key,
output reg [28:0] vertex_adr,
output reg [fml_depth-1-1:0] tex_fbuf,
output reg [10:0] tex_hres,
output reg [10:0] tex_vres,
output reg [17:0] tex_hmask,
output reg [17:0] tex_vmask,
output reg [fml_depth-1-1:0] dst_fbuf,
output reg [10:0] dst_hres,
output reg [10:0] dst_vres,
output reg signed [11:0] dst_hoffset,
output reg signed [11:0] dst_voffset,
output reg [10:0] dst_squarew,
output reg [10:0] dst_squareh,
output reg alpha_en,
output reg [5:0] alpha
);
reg old_busy;
always @(posedge sys_clk) begin
if(sys_rst)
old_busy <= 1'b0;
else
old_busy <= busy;
end
wire csr_selected = csr_a[13:10] == csr_addr;
always @(posedge sys_clk) begin
if(sys_rst) begin
csr_do <= 32'd0;
irq <= 1'b0;
start <= 1'b0;
vertex_hlast <= 7'd32;
vertex_vlast <= 7'd24;
brightness <= 6'd63;
chroma_key_en <= 1'b0;
additive_en <= 1'b0;
chroma_key <= 16'd0;
vertex_adr <= 29'd0;
tex_fbuf <= {fml_depth{1'b0}};
tex_hres <= 11'd512;
tex_vres <= 11'd512;
tex_hmask <= {18{1'b1}};
tex_vmask <= {18{1'b1}};
dst_fbuf <= {fml_depth{1'b0}};
dst_hres <= 11'd640;
dst_vres <= 11'd480;
dst_hoffset <= 12'd0;
dst_voffset <= 12'd0;
dst_squarew <= 11'd16;
dst_squareh <= 11'd16;
alpha_en <= 1'b0;
alpha <= 6'd63;
end else begin
irq <= old_busy & ~busy;
csr_do <= 32'd0;
start <= 1'b0;
if(csr_selected) begin
if(csr_we) begin
case(csr_a[4:0])
5'b00000: begin
start <= csr_di[0];
chroma_key_en <= csr_di[1];
additive_en <= csr_di[2];
end
5'b00001: vertex_hlast <= csr_di[6:0];
5'b00010: vertex_vlast <= csr_di[6:0];
5'b00011: brightness <= csr_di[5:0];
5'b00100: chroma_key <= csr_di[15:0];
5'b00101: vertex_adr <= csr_di[31:3];
5'b00110: tex_fbuf <= csr_di[fml_depth-1:1];
5'b00111: tex_hres <= csr_di[10:0];
5'b01000: tex_vres <= csr_di[10:0];
5'b01001: tex_hmask <= csr_di[17:0];
5'b01010: tex_vmask <= csr_di[17:0];
5'b01011: dst_fbuf <= csr_di[fml_depth-1:1];
5'b01100: dst_hres <= csr_di[10:0];
5'b01101: dst_vres <= csr_di[10:0];
5'b01110: dst_hoffset <= csr_di[11:0];
5'b01111: dst_voffset <= csr_di[11:0];
5'b10000: dst_squarew <= csr_di[10:0];
5'b10001: dst_squareh <= csr_di[10:0];
5'b10010: begin
alpha_en <= csr_di[5:0] != 6'd63;
alpha <= csr_di[5:0];
end
default:;
endcase
end
case(csr_a[4:0])
5'b00000: csr_do <= {chroma_key_en, busy};
5'b00001: csr_do <= vertex_hlast;
5'b00010: csr_do <= vertex_vlast;
5'b00011: csr_do <= brightness;
5'b00100: csr_do <= chroma_key;
5'b00101: csr_do <= {vertex_adr, 3'd0};
5'b00110: csr_do <= {tex_fbuf, 1'd0};
5'b00111: csr_do <= tex_hres;
5'b01000: csr_do <= tex_vres;
5'b01001: csr_do <= tex_hmask;
5'b01010: csr_do <= tex_vmask;
5'b01011: csr_do <= {dst_fbuf, 1'd0};
5'b01100: csr_do <= dst_hres;
5'b01101: csr_do <= dst_vres;
5'b01110: csr_do <= dst_hoffset;
5'b01111: csr_do <= dst_voffset;
5'b10000: csr_do <= dst_squarew;
5'b10001: csr_do <= dst_squareh;
5'b10010: csr_do <= alpha;
default: csr_do <= 32'bx;
endcase
end
end
end
endmodule
|
// this program was cloned from: https://github.com/modos-labs/caster
// license: cern open hardware licence version 2 - permissive
// copyright wenting zhang 2024
//
// this source describes open hardware and is licensed under the cern-ohl-p v2
//
// you may redistribute and modify this documentation and make products using
// it under the terms of the cern-ohl-p v2 (https:/cern.ch/cern-ohl). this
// documentation is distributed without any express or implied warranty,
// including of merchantability, satisfactory quality and fitness for a
// particular purpose. please see the cern-ohl-p v2 for applicable conditions
//
// vin_dvi.v
// dvi video input
`timescale 1ns / 1ps
`default_nettype none
module vin_dvi(
input wire rst,
output wire rst_out,
input wire dvi_cp,
input wire dvi_cn,
input wire [2:0] dvi_dp,
input wire [2:0] dvi_dn,
output reg v_vsync,
output reg v_hsync,
output reg v_pclk,
output reg v_de,
output wire [15:0] v_pixel,
output wire dbg_pclk,
output wire dbg_hsync,
output wire dbg_vsync,
output wire dbg_de,
output wire dbg_pll_lck
);
wire pclk, hsync, vsync, de;
wire [7:0] red, green, blue;
dvi_serdes_in dvi_serdes_in(
.rstin(rst),
.rst(rst_out),
.dvi_cp(dvi_cp),
.dvi_cn(dvi_cn),
.dvi_dp(dvi_dp),
.dvi_dn(dvi_dn),
.pclk(pclk),
.hsync(hsync),
.vsync(vsync),
.de(de),
.red(red),
.green(green),
.blue(blue),
.dbg_pll_lck(dbg_pll_lck)
);
wire [17:0] rgb666 = {red[7:2], green[7:2], blue[7:2]};
reg [35:0] pixel_rgb;
reg [1:0] ignore;
wire ignored = ignore != 'd0;
reg [17:0] pixbuf;
reg last_de, last_vsync;
always @(posedge pclk or posedge rst_out) begin
if (rst_out) begin
v_pclk <= 1'b0;
v_de <= 1'b0;
ignore <= 2'd3; // ignore first few frames
end
else begin
if (vsync && !last_vsync && (ignore != 'd0)) begin
ignore <= ignore - 'd1;
end
if ((de && !last_de) || v_pclk) begin
// re-sync when de is first high
pixbuf <= rgb666;
v_pclk <= 1'b0;
end
else begin
v_pclk <= 1'b1;
v_de <= de && !ignored;
pixel_rgb <= {pixbuf, rgb666};
v_hsync <= hsync && !ignored;
v_vsync <= vsync && !ignored;
end
last_de <= de;
last_vsync <= vsync;
end
end
rgb2y rgb2y_odd (
.r(pixel_rgb[17:12]),
.g(pixel_rgb[11:6]),
.b(pixel_rgb[5:0]),
.y(v_pixel[7:0])
);
rgb2y rgb2y_even (
.r(pixel_rgb[35:30]),
.g(pixel_rgb[29:24]),
.b(pixel_rgb[23:18]),
.y(v_pixel[15:8])
);
assign dbg_pclk = pclk;
assign dbg_hsync = hsync;
assign dbg_vsync = vsync;
assign dbg_de = de;
endmodule
|
/*.
* dsi shield
* copyright (c) 2013-2014 twl <twlostow@printf.cc>
*
* this library is free software; you can redistribute it and/or
* modify it under the terms of the gnu lesser general public
* license as published by the free software foundation; either
* version 3 of the license, or (at your option) any later version.
* this library is distributed in the hope that it will be useful,
* but without any warranty; without even the implied warranty of
* merchantability or fitness for a particular purpose. see the gnu
* lesser general public license for more details.
* you should have received a copy of the gnu lesser general public
* license along with this library; if not, write to the free software
* foundation, inc., 51 franklin street, fifth floor, boston, ma 02110-1301 usa
*/
`timescale 1ns/1ps
module pll_drp_ram
(
input clk_a_i,
input [4:0] aa_i,
input [39:0] da_i,
input wea_i,
input clk_b_i,
input [4:0] ab_i,
output reg [39:0] qb_o
);
reg [39:0] ram [0:31];
always@(posedge clk_a_i)
if(wea_i)
ram[aa_i] <= da_i;
always@(posedge clk_b_i)
qb_o <= ram[ab_i];
endmodule // reconf_ram
module pll_drp_sequencer
(
input clk_sys_i,
input clk_reconf_i,
input [31:0] r_pll_ctl0_i,
input [31:0] r_pll_ctl1_i,
output [31:0] r_pll_status_o,
input pll_locked_i,
output reg pll_rst_o,
output reg [15:0] pll_di_o,
input [15:0] pll_do_i,
input pll_drdy_i,
output reg [4:0] pll_daddr_o,
output reg pll_den_o,
output reg pll_dwe_o,
output busy_o
);
wire [4:0] r_cfg_addr = r_pll_ctl0_i[4:0];
wire [39:0] r_cfg_data = {r_pll_ctl0_i[23:16], r_pll_ctl1_i[31:0]};
wire r_cfg_we = r_pll_ctl0_i[5];
wire r_cfg_trigger = r_pll_ctl0_i[6];
wire [4:0] r_n_regs = r_pll_ctl0_i[11:7];
reg [4:0] index;
wire [39:0] reg_val;
pll_drp_ram confram
(
.clk_a_i(clk_sys_i),
.wea_i(r_cfg_we),
.da_i(r_cfg_data),
.aa_i(r_cfg_addr),
.clk_b_i(clk_reconf_i),
.ab_i(index),
.qb_o(reg_val)
);
`define st_idle 0
`define st_start_config 5
`define st_read_drp 1
`define st_wait_read_drp 2
`define st_write_drp 3
`define st_wait_write_drp 4
`define st_read_rom 6
`define st_wait_lock 7
reg [2:0] state;
reg trig_d0, trig_d1, trig_d2;
reg restart;
reg [15:0] readback;
reg [7:0] powerup_delay = 'hff;
reg rst_powerup = 1;
always@(posedge clk_reconf_i)
if(powerup_delay == 0)
rst_powerup <= 0;
else
powerup_delay <= powerup_delay - 1;
always@(posedge clk_reconf_i or posedge rst_powerup)
if (rst_powerup ) begin
trig_d0 <= 0;
trig_d1 <= 0;
trig_d2 <= 0;
restart <= 0;
end else begin
trig_d0 <= r_cfg_trigger;
trig_d1 <= trig_d0;
trig_d2 <= trig_d1;
restart <= ~trig_d2 & trig_d1;
end
reg [15:0] pll_do_reg;
always@(posedge clk_reconf_i or posedge rst_powerup)
if ( rst_powerup ) begin
state <= `st_idle;
pll_rst_o <= 0;
pll_dwe_o <= 0;
pll_den_o <= 0;
pll_daddr_o <= 0;
end else begin
if(restart)
state <= `st_start_config;
else case (state)
`st_idle:
begin
pll_rst_o <= 0;
pll_dwe_o <= 0;
pll_daddr_o <= 0;
pll_den_o <= 0;
index <= 0;
end
`st_start_config:
begin
pll_rst_o <= 1;
index <= 0;
state <= `st_read_rom;
end
`st_read_rom:
begin
pll_daddr_o <= reg_val[36:32];
state <= `st_read_drp;
end
`st_read_drp:
begin
pll_den_o <= 1;
pll_dwe_o <= 0;
state <= `st_wait_read_drp;
end
`st_wait_read_drp:
begin
pll_den_o <= 0;
if (pll_drdy_i)
begin
state <= `st_write_drp;
pll_do_reg <= pll_do_i;
end
end
`st_write_drp:
begin
pll_di_o <= (pll_do_reg & reg_val[31:16]) | reg_val[15:0];
index <= index + 1;
pll_den_o <= 1;
pll_dwe_o <= 1;
state <= `st_wait_write_drp;
end
`st_wait_write_drp:
begin
pll_den_o <= 0;
pll_dwe_o <= 0;
if(pll_drdy_i)
begin
if( index == r_n_regs )
state <= `st_wait_lock;
else
state <= `st_read_rom;
end
end // case: `st_wait_write_drp
`st_wait_lock:
begin
pll_rst_o <= 0;
if (pll_locked_i)
state <= `st_idle;
end
endcase // case (state)
end
assign r_pll_status_o[18] = pll_locked_i;
assign busy_o = (state != `st_idle);
endmodule // pll_drp_sequencer
|
/*
* Milkymist VJ SoC
* Copyright (C) 2007, 2008, 2009, 2010 Sebastien Bourdeauducq
*
* This program is free software: you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, version 3 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*/
module tmu2_pixout #(
parameter fml_depth = 26
) (
input sys_clk,
input sys_rst,
output reg busy,
input pipe_stb_i,
output reg pipe_ack_o,
input [fml_depth-5-1:0] burst_addr,
input [15:0] burst_sel,
input [255:0] burst_do,
output reg [fml_depth-1:0] fml_adr,
output reg fml_stb,
input fml_ack,
output reg [7:0] fml_sel,
output reg [63:0] fml_do
);
reg [15:0] burst_sel_r;
reg [255:0] burst_do_r;
reg load;
always @(posedge sys_clk) begin
if(load) begin
fml_adr = {burst_addr, 5'd0};
burst_sel_r = burst_sel;
burst_do_r = burst_do;
end
end
reg [1:0] bcounter;
always @(posedge sys_clk) begin
case(bcounter)
2'd0: begin
fml_sel <= {
burst_sel_r[15], burst_sel_r[15],
burst_sel_r[14], burst_sel_r[14],
burst_sel_r[13], burst_sel_r[13],
burst_sel_r[12], burst_sel_r[12]
};
fml_do <= burst_do_r[255:192];
end
2'd1: begin
fml_sel <= {
burst_sel_r[11], burst_sel_r[11],
burst_sel_r[10], burst_sel_r[10],
burst_sel_r[ 9], burst_sel_r[ 9],
burst_sel_r[ 8], burst_sel_r[ 8]
};
fml_do <= burst_do_r[191:128];
end
2'd2: begin
fml_sel <= {
burst_sel_r[ 7], burst_sel_r[ 7],
burst_sel_r[ 6], burst_sel_r[ 6],
burst_sel_r[ 5], burst_sel_r[ 5],
burst_sel_r[ 4], burst_sel_r[ 4]
};
fml_do <= burst_do_r[127: 64];
end
2'd3: begin
fml_sel <= {
burst_sel_r[ 3], burst_sel_r[ 3],
burst_sel_r[ 2], burst_sel_r[ 2],
burst_sel_r[ 1], burst_sel_r[ 1],
burst_sel_r[ 0], burst_sel_r[ 0]
};
fml_do <= burst_do_r[ 63: 0];
end
endcase
end
reg [1:0] state;
reg [1:0] next_state;
parameter IDLE = 2'd0;
parameter WAIT = 2'd1;
parameter XFER2 = 2'd2;
parameter XFER3 = 2'd3;
always @(posedge sys_clk) begin
if(sys_rst)
state <= IDLE;
else
state <= next_state;
end
always @(*) begin
next_state = state;
busy = 1'b1;
pipe_ack_o = 1'b0;
fml_stb = 1'b0;
load = 1'b0;
bcounter = 2'bxx;
case(state)
IDLE: begin
busy = 1'b0;
pipe_ack_o = 1'b1;
bcounter = 2'd0;
if(pipe_stb_i) begin
load = 1'b1;
next_state = WAIT;
end
end
WAIT: begin
fml_stb = 1'b1;
bcounter = 2'd0;
if(fml_ack) begin
bcounter = 2'd1;
next_state = XFER2;
end
end
XFER2: begin
bcounter = 2'd2;
next_state = XFER3;
end
XFER3: begin
bcounter = 2'd3;
next_state = IDLE;
end
endcase
end
endmodule
|
/*copyright 2019-2021 t-head semiconductor co., ltd.
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and
limitations under the license.
*/
// &modulebeg; @22
module ct_fadd_close_s0_h(
close_adder0,
close_adder1,
close_eq,
close_op_chg,
close_sum,
ff1_pred,
ff1_pred_onehot
);
// &ports; @23
input [10:0] close_adder0;
input [10:0] close_adder1;
output close_eq;
output close_op_chg;
output [10:0] close_sum;
output [3 :0] ff1_pred;
output [10:0] ff1_pred_onehot;
// ®s; @24
reg [3 :0] ff1_pred_8_0;
reg [10:0] ff1_pred_onehot_8_0;
// &wires; @25
wire [10:0] close_adder0;
wire [10:0] close_adder1;
wire close_eq;
wire [10:0] close_ff1_a;
wire [10:0] close_ff1_b;
wire [10:0] close_ff1_c;
wire [10:0] close_ff1_f;
wire [10:0] close_ff1_g;
wire [10:0] close_ff1_t;
wire [10:0] close_ff1_z;
wire close_op_chg;
wire [10:0] close_sum;
wire [11:0] close_sum0;
wire [11:0] close_sum1;
wire [3 :0] ff1_pred;
wire [3 :0] ff1_pred_10_9;
wire [3 :0] ff1_pred_nz;
wire [10:0] ff1_pred_nz_onehot;
wire [10:0] ff1_pred_onehot;
wire [10:0] ff1_pred_onehot_10_9;
//close_sum0 for f0-f1
assign close_sum0[11:0] = {1'b0,close_adder0[10:0]} - {1'b0,close_adder1[10:0]};
//close_sum0 for f1-f0
assign close_sum1[11:0] = {1'b0,close_adder1[10:0]} - {1'b0,close_adder0[10:0]};
//close_sum select, keep sum not negative
assign close_sum[10:0] = (close_sum0[11])
? close_sum1[10:0]
: close_sum0[10:0];
assign close_op_chg = close_sum0[11];
assign close_eq = !close_sum0[11] && !close_sum1[11];
//ff1 logic of close path s0
//if predict first 1 set at r[n]
//actual first 1 may set at r[n+1] or r[n]
//a and b are to oprand
assign close_ff1_a[10:0] = close_adder0[10:0];
assign close_ff1_b[10:0] = close_adder1[10:0];
//c = b && act_add || ~b && act_sub
assign close_ff1_c[10:0] = ~close_ff1_b[10:0];
//t = a^c g=a&c z=(~a)&(~c)
assign close_ff1_t[10:0] = close_ff1_a[10:0] ^ close_ff1_c[10:0];
assign close_ff1_g[10:0] = close_ff1_a[10:0] & close_ff1_c[10:0];
assign close_ff1_z[10:0] = (~close_ff1_a[10:0]) & (~close_ff1_c[10:0]);
//f :
//fn-1 = en[gi(~zi-1) + zi(~gi-1)] + (~en)[gi(~gi-1) + zi(~zi-1)], en=act_sub
//f0 = t1(g0en+z0) + (~t1)(z0en+g0)
//fi = ti+1[gi(~zi-1) + zi(~gi-1)] + (~ti+1)[gi(~gi-1) + zi(~zi-1)]
assign close_ff1_f[10] = ( close_ff1_g[10] & (~close_ff1_z[9])) |
( close_ff1_z[10] & (~close_ff1_g[9]));
assign close_ff1_f[0] = (( close_ff1_t[1]) & (close_ff1_g[0] | close_ff1_z[0])) |
((~close_ff1_t[1]) & (close_ff1_z[0] | close_ff1_g[0]));
assign close_ff1_f[9:1] = (( close_ff1_t[10:2]) & ((close_ff1_g[9:1] & (~close_ff1_z[8:0])) |
( close_ff1_z[9:1] & (~close_ff1_g[8:0])))) |
((~close_ff1_t[10:2]) & ((close_ff1_g[9:1] & (~close_ff1_g[8:0])) |
( close_ff1_z[9:1] & (~close_ff1_z[8:0]))));
// &combbeg; @62
always @( close_ff1_f[8:0])
begin
casez(close_ff1_f[8:0])
9'b1???????? : begin
ff1_pred_onehot_8_0[10:0] = 11'b00100_000000;
ff1_pred_8_0[3:0] = 4'd2;
end
9'b01??????? : begin
ff1_pred_onehot_8_0[10:0] = 11'b00010_000000;
ff1_pred_8_0[3:0] = 4'd3;
end
9'b001?????? : begin
ff1_pred_onehot_8_0[10:0] = 11'b00001_000000;
ff1_pred_8_0[3:0] = 4'd4;
end
9'b0001????? : begin
ff1_pred_onehot_8_0[10:0] = 11'b00000_100000;
ff1_pred_8_0[3:0] = 4'd5;
end
9'b00001???? : begin
ff1_pred_onehot_8_0[10:0] = 11'b00000_010000;
ff1_pred_8_0[3:0] = 4'd6;
end
9'b000001??? : begin
ff1_pred_onehot_8_0[10:0] = 11'b00000_001000;
ff1_pred_8_0[3:0] = 4'd7;
end
9'b0000001?? : begin
ff1_pred_onehot_8_0[10:0] = 11'b00000_000100;
ff1_pred_8_0[3:0] = 4'd8;
end
9'b00000001? : begin
ff1_pred_onehot_8_0[10:0] = 11'b00000_000010;
ff1_pred_8_0[3:0] = 4'd9;
end
9'b000000001 : begin
ff1_pred_onehot_8_0[10:0] = 11'b00000_000001;
ff1_pred_8_0[3:0] = 4'd10;
end
default : begin
ff1_pred_onehot_8_0[10:0] = 11'b00000_000000;
ff1_pred_8_0[3:0] = 4'd0;
end
endcase
// &combend; @105
end
assign ff1_pred_onehot_10_9[10:0] = (close_ff1_f[10])
? 11'b10000_000000
: 11'b01000_000000;
assign ff1_pred_10_9[3:0] = (close_ff1_f[10])
? 4'b0
: 4'b1;
assign ff1_pred_nz_onehot[10:0] = (|close_ff1_f[10:9])
? ff1_pred_onehot_10_9[10:0]
: ff1_pred_onehot_8_0[10:0];
assign ff1_pred_nz[3:0] = (|close_ff1_f[10:9])
? ff1_pred_10_9[3:0]
: ff1_pred_8_0[3:0];
assign ff1_pred_onehot[10:0] = ff1_pred_nz_onehot[10:0];
assign ff1_pred[3:0] = ff1_pred_nz[3:0];
// &moduleend; @124
endmodule
|
/*copyright 2020-2021 t-head semiconductor co., ltd.
licensed under the apache license, version 2.0 (the "license");
you may not use this file except in compliance with the license.
you may obtain a copy of the license at
http://www.apache.org/licenses/license-2.0
unless required by applicable law or agreed to in writing, software
distributed under the license is distributed on an "as is" basis,
without warranties or conditions of any kind, either express or implied.
see the license for the specific language governing permissions and
limitations under the license.
*/
// &modulebeg; @23
module aq_cp0_vector_inst(
iui_special_rs1_x0,
iui_special_vsetvl,
iui_special_vsetvl_dp,
iui_special_vsetvl_rs1,
iui_special_vsetvl_rs2,
special_iui_vsetvl_wdata,
special_regs_vsetvl_dp
);
// &ports; @25
input iui_special_rs1_x0;
input iui_special_vsetvl;
input iui_special_vsetvl_dp;
input [63:0] iui_special_vsetvl_rs1;
input [11:0] iui_special_vsetvl_rs2;
output [63:0] special_iui_vsetvl_wdata;
output special_regs_vsetvl_dp;
// ®s; @26
// &wires; @27
wire [63:0] special_iui_vsetvl_wdata;
wire special_regs_vsetvl_dp;
// &force("bus", "iui_special_vsetvl_rs2", 11, 0); @36
// &combbeg; @85
// &combend; @113
// &force("output","special_vsetvl_illegal"); @141
// &combbeg; @142
// &combend; @155
assign special_iui_vsetvl_wdata[63:0] = 64'b0;
// &force("input", "iui_special_vsetvl_rs1"); &force("bus", "iui_special_vsetvl_rs1", 63, 0); @178
// &force("input", "iui_special_vsetvl_rs2"); &force("bus", "iui_special_vsetvl_rs2", 11, 0); @179
// &force("input", "iui_special_rs1_x0"); @180
// &force("input", "iui_special_vsetvl"); @181
// &force("input", "iui_special_vsetvl_dp"); @182
assign special_regs_vsetvl_dp = 1'b0;
// &moduleend; @186
endmodule
|
/**********************************************************************
* File : fht_8xfht_bfly_noFF8_core.v
* Author: Ivan Rezki
* email : irezki@gmail.com
* Topic : RTL Core
* 2-Dimensional Fast Hartley Transform
*
* Function: Fast Hartley Transform ButterFly Unit
*
* RIGHT TO USE: This code example, or any portion thereof, may be
* used and distributed without restriction, provided that this entire
* comment block is included with the example.
*
* DISCLAIMER: THIS CODE EXAMPLE IS PROVIDED "AS IS" WITHOUT WARRANTY
* OF ANY KIND, EITHER EXPRESS OR IMPLIED, INCLUDING, BUT NOT LIMITED
* TO WARRANTIES OF MERCHANTABILITY, FITNESS OR CORRECTNESS. IN NO
* EVENT SHALL THE AUTHOR OR AUTHORS BE LIABLE FOR ANY DAMAGES,
* INCLUDING INCIDENTAL OR CONSEQUENTIAL DAMAGES, ARISING OUT OF THE
* USE OF THIS CODE.
**********************************************************************/
module fht_bfly_noFF(
rstn,
clk,
valid,
a,
b,
c,
d
);
parameter N = 8;
input rstn;
input clk;
input valid;
input [N-1:0] a; // input
input [N-1:0] b; // input
output [N :0] c; // additive output
output [N :0] d; // subtractive output
reg [N-1:0] a_FF;
always @(posedge clk)
if (!rstn) a_FF <= #1 0;
else if (valid) a_FF <= #1 a;
reg [N-1:0] b_FF;
always @(posedge clk)
if (!rstn) b_FF <= #1 0;
else if (valid) b_FF <= #1 b;
assign c = rca_N(a_FF,b_FF);
assign d = rca_N(a_FF,twos_complement(b_FF));
// +--------------------------------------------------+ \\\\
// +----------- Function's Description Part ----------+ \\\\
// +--------------------------------------------------+ \\\\
// Full Adder
function [1:0] full_adder;
input a, b, ci;
reg co, s;
begin
s = (a ^ b ^ ci);
co = (a & b) | (ci & (a ^ b));
full_adder = {co,s};
end
endfunction
// Half Adder, i.e. without carry in
function [1:0] half_adder;
input a, b;
reg co, s;
begin
s = (a ^ b);
co = (a & b);
half_adder = {co,s};
end
endfunction
// Ripple Carry Adder - rca
// Input vector = N bits
// Output vector = N + 1 bits
function [N:0] rca_N;
// parameter N = 8;
input [N-1:0] a;
input [N-1:0] b;
reg [N-1:0] co,sum;
begin : RCA // RIPPLE_CARRY_ADDER
integer i;
//for (i = 0; i <= N; i = i + 1)
for (i = 0; i < N; i = i + 1)
if (i == 0)
{co[i],sum[i]} = half_adder(a[i],b[i]);
else
{co[i],sum[i]} = full_adder(a[i],b[i],co[i-1]);
rca_N[N-1:0] = sum;
// MSB is a sign bit
rca_N[N] = (a[N-1]==b[N-1]) ? co[N-1] : sum[N-1];
end
endfunction
function [N-1:0] twos_complement;
input [N-1:0] a;
reg [N-1:0] ainv;
reg [N:0] plus1;
begin
ainv = ~a;
plus1 = rca_N(ainv,{{N-1{1'b0}},1'b1});
// pragma coverage block = off
// synopsys translate_off
// The only problem is absolute minumum negative value
if (a == {1'b1, {N-1{1'b0}}})
$display("--->>> 2's complement ERROR - absolute minimum negative value: %0b\\n\\t %m",a);
// synopsys translate_on
// pragma coverage block = on
twos_complement = plus1[N-1:0];
end
endfunction
endmodule
// Update Log:
// 27 Jul. 2011
// added pragmas for coverage
|
/*
* copyright (c) 2023 nukeykt
*
* this file is part of nuked-sms.
*
* this program is free software; you can redistribute it and/or
* modify it under the terms of the gnu general public license
* as published by the free software foundation; either version 2
* of the license, or (at your option) any later version.
*
* this program is distributed in the hope that it will be useful,
* but without any warranty; without even the implied warranty of
* merchantability or fitness for a particular purpose. see the
* gnu general public license for more details.
*
* yamaha ym2602 emulator
* thanks:
* furrtek:
* ym2602 decap & die shot.
* org, andkorzh, hardwareman (emu-russia):
* help & support.
*/
module ym2602
(
input mclk,
input xin,
input reset,
input [15:0] ad_i,
input hl,
input pal,
input rd,
input wr,
input iorq,
input [15:0] address,
input csync_i,
input nmi_i,
input mreq,
input [7:0] data_i,
output [7:0] data_o,
output data_d,
output zclk,
output [7:0] dac_r,
output [7:0] dac_g,
output [7:0] dac_b,
output csync_pull,
output pcp,
output cbt,
output nmi_o,
output kbsel,
output csram,
output exm1,
output exm2,
output ys,
output [15:0] ad_o,
output ad_d,
output oe,
output we0,
output we1,
output ce,
output int,
output [15:0] psg,
output vdp_hclk1,
output vsync,
output hsync,
output hblank,
output vblank
);
wire clk1, clk2;
wire hclk1, hclk2;
wire zclk;
wire reset1;
wire [15:0] w1;
wire [15:0] w2;
wire [7:0] w3;
wire [15:0] w4;
wire [15:0] w5;
wire [12:0] w6;
wire [15:0] w7;
wire w8;
wire w9;
wire w10;
wire w11;
wire w12;
wire w13;
wire w14;
wire w15;
wire w16;
wire w17;
wire w18;
wire w19;
wire w20;
wire w21;
wire w22;
wire w23;
wire w24;
wire w25;
wire w26;
wire w27;
wire w28;
wire w29;
wire w30;
wire w31;
wire w32;
wire w33;
wire w34;
wire w35_1, w35;
wire w36;
wire w37;
wire w38;
wire w39;
wire w40;
wire w41;
wire w42;
wire w43_1, w43;
wire w44;
wire w45;
wire w46;
wire w47;
wire [7:0] w49;
wire [7:0] w50;
wire [7:0] w51;
wire w52;
wire w53;
wire w54;
wire w55;
wire w56;
wire w57;
wire w58;
wire w59;
wire [7:0] w60;
wire [7:0] w62;
wire [7:0] w63;
wire [7:0] w64;
wire [7:0] w65;
wire [7:0] w66;
wire [1:0] w67;
wire w68;
wire w69;
wire w70;
wire w71;
wire w72;
wire w73;
wire w74;
wire w75;
wire w76;
wire w77;
wire w78;
wire w79;
wire w80;
wire w81;
wire w82;
wire w83;
wire w84;
wire w85;
wire w86;
wire w87;
wire w88;
wire w89;
wire [1:0] w90;
wire w91;
wire w92;
wire w93;
wire w94;
wire w95;
wire w96;
wire w97;
wire w98;
wire w99;
wire w100;
wire w101;
wire w102;
wire w103;
wire w104;
wire w105;
wire w106;
wire w107;
wire w108;
wire [3:0] w109;
wire [3:0] w110;
wire [3:0] w111;
wire [3:0] w112;
wire [3:0] w113;
wire [3:0] w114;
wire [3:0] w115;
wire [3:0] w116;
wire [3:0] w117_1, w117;
wire w118;
wire [7:0] w119_1, w119;
wire w120;
wire w121;
wire w122;
wire w123;
wire w124;
wire w125;
wire [4:0] w126;
wire w127;
wire [5:0] w128;
wire [5:0] w129;
wire [5:0] w130;
wire [5:0] w131_1, w131;
wire [5:0] w132;
wire [5:0] w133;
wire [5:0] w134;
wire [5:0] w135_1, w135;
wire w136;
wire w137;
wire w138;
wire w139;
wire w140;
wire w141;
wire [8:0] w142;
wire [8:0] w143;
wire [8:0] w144;
wire [8:0] w145;
wire [13:0] v_pla;
wire w146;
wire w147;
wire w148;
wire w149;
wire w150;
wire w151;
wire w152;
wire w153;
wire w154;
wire w155;
wire w156;
wire w157;
wire w158;
wire w159;
wire w160;
wire w161;
wire w162;
wire w163;
wire w164;
wire w164_;
wire w165;
wire w166;
wire w167;
wire w168;
wire w169;
wire w170;
wire w171;
wire w172;
wire w173;
wire w174;
wire w175;
wire w176;
wire w177;
wire w178;
wire w179;
wire w180;
wire w181;
wire w182;
wire w183;
wire w184;
wire w185, w185n;
wire w186, w186n;
wire w187;
wire w188;
wire w189;
wire w190;
wire w191;
wire w192;
wire w193;
wire w194_0, w194;
wire w195;
wire w196;
wire w197;
wire w198;
wire w199;
wire w200;
wire w201;
wire w201_;
wire w202;
wire w203;
wire w204;
wire w205;
wire w206, w206n;
wire w207;
wire w208;
wire w209;
wire w210;
wire w211;
wire w212;
reg [7:0] w213;
reg [7:0] w214;
wire w215;
reg [5:0] w216;
reg [5:0] w217;
wire [1:0] reg_code;
wire [13:0] reg_addr;
wire [10:0] reg_sel;
wire reg_80_b0;
wire reg_80_b1;
wire reg_80_b2;
wire reg_80_b3;
wire reg_80_b4;
wire reg_80_b5;
wire reg_80_b6;
wire reg_80_b7;
wire reg_81_b0;
wire reg_81_b1;
wire reg_81_b2;
wire reg_81_b3;
wire reg_81_b4;
wire reg_81_b5;
wire reg_81_b6;
wire [3:0] reg_nt;
wire [7:0] reg_ct;
wire [2:0] reg_bg;
wire [6:0] reg_sat;
wire [2:0] reg_spr;
wire w218;
wire w219;
wire w220;
wire w221;
wire w222;
wire w223;
wire w224;
wire w225;
wire w226;
wire w227;
wire w228;
wire w229;
wire w230;
wire w231;
wire w232;
wire w233;
wire w234;
wire w235;
wire w236;
wire w237;
wire w238;
wire w239;
wire w240;
wire w241;
wire w242;
wire w243;
wire w244;
wire w245;
wire w246;
wire w247;
wire w248;
wire w249;
wire w250;
wire w251;
wire w252;
wire w253;
wire w254;
wire w255;
wire w256;
wire w257;
wire w258;
wire w259;
wire w260;
wire w261;
wire w262;
wire w263;
wire w264;
wire w265;
wire w266;
wire w267;
wire w268;
wire w269;
reg [7:0] w270;
wire w271;
wire w272;
wire w273;
wire w274;
reg [4:0] w275;
wire w276;
wire w277;
wire w278;
reg [7:0] w279;
wire w280;
wire w281;
reg w282;
reg w283;
wire w284;
wire w285;
reg [7:0] w286;
wire w287;
wire w288;
wire w289;
wire w290;
wire w291;
wire w292;
wire w293;
wire w294;
wire w295;
wire w296;
wire w297;
wire [7:0] spr_mask;
wire [3:0] spr_mask2;
reg w298;
wire w299;
wire w300;
wire [8:0] w301;
wire w302;
wire [7:0] w303;
wire w304;
wire w305;
wire [2:0] w306_0, w306;
wire [2:0] w307;
wire w308;
wire w309;
wire [5:0] w310_0, w310;
wire [5:0] w311;
wire w312;
wire [8:0] w313;
wire w314;
wire w315_0, w315;
wire w316;
wire w317;
wire w318;
wire w319;
wire w320;
wire w321;
wire w322;
wire w323;
wire w324;
wire w325;
wire w326;
wire w327;
wire w328;
wire w329;
wire w330;
wire w331;
wire w332;
wire w333;
wire w334;
wire w335;
wire w336;
wire w337;
wire w338;
wire w339;
wire [56:0] hpla;
wire [21:0] w340;
wire [20:0] w341;
wire [12:0] w342;
wire [12:0] w343;
wire w344;
wire w345;
wire w346;
wire w347;
wire w348;
wire w349;
wire w350;
wire w351;
wire w352;
wire w353;
wire w354;
wire w355;
wire w356;
wire w357;
wire w358;
wire w359;
wire w360;
wire w361;
wire w362;
wire w363;
wire w364;
wire w365;
wire w366;
wire w367;
wire w368;
wire w369;
wire w370;
wire w371;
wire w372;
wire w373;
wire w374;
wire w375;
wire w376;
wire w377;
wire w378;
wire w379;
wire w380;
wire w381;
wire w382;
wire w383;
wire w384;
wire w385;
wire w386;
wire w387;
wire [7:0] w388;
wire [7:0] w389;
wire [7:0] w390;
wire w391;
wire w392;
wire w393;
wire w394;
wire w395;
wire [4:0] w396;
wire [7:0] w397;
wire [7:0] w398;
wire w399;
wire w400;
wire [7:0] w401;
wire [8:0] w402;
wire w403;
wire w404;
wire w405;
wire [2:0] w406;
wire w407;
wire w408;
wire w409;
wire w410;
wire [2:0] w411;
wire w412;
wire w413;
wire w414;
wire w415;
wire w416;
wire w417;
wire w418;
wire [15:0] w419;
wire [15:0] w420;
wire [15:0] w421;
wire [15:0] w422;
wire [15:0] w423;
wire [15:0] w424;
wire [15:0] w425;
wire [1:0] w426;
wire [1:0] w427;
wire w428;
wire w429;
wire [8:0] w430;
wire [3:0] w431;
wire w432;
wire w433;
wire w434;
wire w435;
wire w436;
wire color_palette;
wire w437;
wire w438;
wire [1:0] w438_;
wire [1:0] w439;
wire [1:0] w440;
wire [3:0] w441;
wire [3:0] w442;
wire w443;
wire w444;
wire w445;
wire w446;
wire w447;
wire w448;
reg [7:0] w449;
wire w450;
wire w451;
wire w452;
wire w453;
wire w454;
wire w455;
wire w456;
wire w457;
wire w458;
wire [3:0] reg_bc;
wire [3:0] reg_fc;
wire w459;
wire w460;
wire w461;
wire w462;
wire w463;
wire w464;
wire w465;
wire w466;
wire w467;
wire w468;
wire w469;
wire w470;
wire w471;
wire w472;
wire w473;
wire w474;
wire w475;
wire w476;
wire w477;
reg [7:0] w478;
wire [7:0] w479;
wire w480;
wire w481;
wire w482;
wire w483;
wire [7:0] w484;
wire [7:0] w485;
wire w486;
wire w487;
wire [7:0] w488;
wire w489;
wire [5:0] w490;
wire w491;
wire [2:0] w492;
wire [4:0] w493;
wire [2:0] w494;
wire w495;
wire [3:0] w496;
wire w497;
wire w498;
wire w499;
wire w500;
wire w501_3, w501;
wire w502;
wire w503;
wire w504;
wire w505;
wire w506;
wire w507;
wire w508;
wire w509;
wire w510;
wire w511;
wire w512;
wire w513;
wire w514;
wire w515;
wire w516;
wire w517;
wire w518;
wire w519;
wire w520;
wire w521;
wire [3:0] w522_0, w522;
wire w523;
wire w524;
wire w525;
wire w526;
wire w527;
wire w528;
wire w529;
wire [4:0] w530;
wire [4:0] w531_0, w531;
wire [4:0] w532;
wire [4:0] w533;
wire [4:0] w534;
wire [4:0] w535;
wire w536;
wire [1:0] w537;
wire w538;
wire w539;
wire w540;
wire w541;
wire w542;
wire w543;
wire w544;
wire [4:0] w545;
wire w546;
wire w547;
wire w548;
wire [2:0] w549;
wire [7:0] w550;
wire [7:0] w551;
wire [3:0] w552;
wire [7:0] w553;
wire [3:0] w554;
wire [3:0] w555;
wire w556;
wire w557;
wire w558;
wire w559;
wire [4:0] w560;
wire w588;
wire [4:0] w641;
wire w642;
wire w643;
//wire w644;
wire [4:0] w645;
wire [4:0] color_ram_index;
wire [5:0] w646;
wire w649;
reg [5:0] color_ram[0:31];
reg [5:0] w650;
wire [5:0] w651;
wire [3:0] w652;
wire [3:0] w653;
wire w654;
wire w655;
wire w656;
wire w657;
wire w658;
wire w659;
wire [3:0] w660;
wire [5:0] w661;
wire [5:0] w662;
wire [5:0] dac_sel;
wire w723;
wire w724;
wire w725;
wire w726;
wire w727;
wire w728;
wire w729;
wire w730;
wire w731;
wire w732;
wire w733;
wire w734;
wire w735;
wire w736;
wire w737;
wire w738;
wire w739;
wire w740;
wire w741;
wire w742;
wire w743;
wire w744;
wire w745;
wire w746;
wire w747;
wire w748;
wire w749;
wire w750;
wire w751;
wire w752;
wire w753;
wire w754;
wire w755;
wire w756;
wire w757;
reg nmi_dff_0, nmi_dff;
wire w758;
wire w759;
wire w760;
wire w761;
wire sprite0_w604;
wire sprite1_w604;
wire sprite2_w604;
wire sprite3_w604;
wire sprite4_w636;
wire sprite5_w636;
wire sprite6_w636;
wire sprite7_w636;
wire sprite0_w564;
wire sprite1_w564;
wire sprite2_w564;
wire sprite3_w564;
wire sprite0_w606;
wire sprite1_w606;
wire sprite2_w606;
wire sprite3_w606;
wire sprite0_w566;
wire sprite1_w566;
wire sprite2_w566;
wire sprite3_w566;
wire [3:0] sprite0_w568;
wire [3:0] sprite1_w568;
wire [3:0] sprite2_w568;
wire [3:0] sprite3_w568;
wire [3:0] sprite0_w639;
wire [3:0] sprite1_w639;
wire [3:0] sprite2_w639;
wire [3:0] sprite3_w639;
wire [3:0] sprite4_w637;
wire [3:0] sprite5_w637;
wire [3:0] sprite6_w637;
wire [3:0] sprite7_w637;
wire sprite4_w638;
wire sprite5_w638;
wire sprite6_w638;
wire sprite7_w638;
wire [3:0] color_index;
wire [7:0] reg_hit;
wire [15:0] vram_data;
wire [13:0] vram_address;
reg [7:0] io_data;
wire cpu_pal = pal;
wire cpu_rd = rd;
wire cpu_wr = wr;
wire cpu_iorq = iorq;
wire cpu_a0 = address[0];
wire cpu_a6 = address[6];
wire cpu_a7 = address[7];
assign clk1 = ~xin;
assign clk2 = xin;
ymn_sr_bit l723(.mclk(mclk), .c1(clk2), .c2(clk1), .inp(reset), .val(w723));
ymn_sr_bit l724(.mclk(mclk), .c1(clk2), .c2(clk1), .inp(~w723), .val(w724));
ymn_dlatch l725(.mclk(mclk), .en(clk2), .inp(w724 | reset), .val(w725));
ymn_dlatch l726(.mclk(mclk), .en(clk1), .inp(~w725), .val(w726));
assign w727 = ~(w726 | w728 | w729);
ymn_sr_bit l728(.mclk(mclk), .c1(clk2), .c2(clk1), .inp(w727), .val(w728));
ymn_sr_bit l729(.mclk(mclk), .c1(clk2), .c2(clk1), .inp(w728), .val(w729));
ymn_sr_bit l730(.mclk(mclk), .c1(clk2), .c2(clk1), .inp(w729), .val(w730));
ymn_dlatch l731(.mclk(mclk), .en(clk2), .inp(w728), .val(w731));
ymn_rs_trig rs_zclk(.mclk(mclk), .rst(w731), .set(w730), .q(zclk));
assign zclk = zclk;
ymn_dlatch l739(.mclk(mclk), .en(clk1), .inp(~w725), .val(w739));
ymn_dlatch l741(.mclk(mclk), .en(clk1), .inp(~w740), .val(w741));
ymn_dlatch l740(.mclk(mclk), .en(clk2), .inp(w739 | w741), .val(w740));
ymn_sr_bit l742(.mclk(mclk), .c1(clk1), .c2(clk2), .inp(~w740), .val(w742));
assign hclk1 = w742;
assign hclk2 = ~w742;
ymn_dlatch l732(.mclk(mclk), .en(hclk2), .inp(reset), .val(w732));
assign w733 = ~w728;
ymn_sr_bit l734(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w733), .val(w734));
ymn_sr_bit l735(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(~w734), .val(w735));
assign w736 = w735 & hclk2;
ymn_dlatch l737(.mclk(mclk), .en(hclk1), .inp(w732), .val(w737));
ymn_dlatch l738(.mclk(mclk), .en(w736), .inp(w737), .val(w738));
assign reset1 = ~w738;
ymn_dlatch #(.data_width(16)) l1(.mclk(mclk), .en(hclk2), .inp(ad_i), .val(w1));
ymn_dlatch #(.data_width(16)) l2(.mclk(mclk), .en(hclk1), .inp(w1), .val(w2));
ymn_dlatch #(.data_width(8)) l3(.mclk(mclk), .en(w25), .inp(ad_i[15:8]), .val(w3));
ymn_dlatch #(.data_width(16)) l4(.mclk(mclk), .en(hclk2), .inp({vram_data[7:0],vram_data[7:0]}), .val(w4));
ymn_dlatch #(.data_width(16)) l5(.mclk(mclk), .en(w30), .inp(w4), .val(w5));
ymn_dlatch #(.data_width(13)) l6(.mclk(mclk), .en(w30), .inp(vram_address[13:1]), .val(w6));
assign w7 = w47 ? { 3'h0, w6 } : w5;
assign ad_d = w46;
assign ad_o = w7;
assign w8 = ~(w11 & w15);
assign oe = w8;
assign w9 = ~(w14 & w15);
assign we1 = w9;
assign w10 = ~(w13 & w15);
assign we0 = w10;
ymn_dlatch l11(.mclk(mclk), .en(hclk2), .inp(~w24), .val(w11));
ymn_dlatch l12(.mclk(mclk), .en(hclk2), .inp(w28), .val(w12));
assign w13 = ~(w11 | w12);
assign w14 = ~(w11 | ~w12);
ymn_dlatch l15(.mclk(mclk), .en(clk1), .inp(w20), .val(w15));
ymn_dlatch l16(.mclk(mclk), .en(hclk1), .inp(~w343[0]), .val(w16));
ymn_dlatch l17(.mclk(mclk), .en(clk1), .inp(~w16), .val(w17));
ymn_dlatch l18(.mclk(mclk), .en(clk2), .inp(~w17), .val(w18));
ymn_dlatch l19(.mclk(mclk), .en(clk1), .inp(~w18), .val(w19));
ymn_dlatch l20(.mclk(mclk), .en(clk2), .inp(w19), .val(w20));
assign w21 = ~(w19 | w20);
assign ce = w21;
ymn_sr_bit l22(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w208), .val(w22));
assign w23 = ~(w208 | w22);
ymn_dlatch l24(.mclk(mclk), .en(hclk1), .inp(~w23), .val(w24));
assign w25 = w27 & hclk2;
ymn_sr_bit l26(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w544), .val(w26));
ymn_sr_bit l27(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w26), .val(w27));
ymn_dlatch l28(.mclk(mclk), .en(w30), .inp(vram_address[0]), .val(w28));
ymn_sr_bit l29(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w343[0]), .val(w29));
assign w30 = w29 & hclk1;
ymn_dlatch l31(.mclk(mclk), .en(hclk1), .inp(~w35 & w343[0]), .val(w31));
assign w32 = w31 & hclk2;
ymn_dlatch l33(.mclk(mclk), .en(hclk1), .inp(w35 & w343[0]), .val(w33));
assign w34 = w33 & hclk2;
ymn_sr_bit l35_1(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(~(w28 & w38)), .val(w35_1));
ymn_dlatch l35(.mclk(mclk), .en(hclk2), .inp(w35_1), .val(w35));
ymn_dlatch l36(.mclk(mclk), .en(hclk1), .inp(~w343[10]), .val(w36));
assign w37 = ~(w36 | ~reg_80_b2);
ymn_sr_bit l38(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(~w37), .val(w38));
ymn_dlatch l39(.mclk(mclk), .en(hclk2), .inp(w538 & ~reg_81_b1), .val(w39));
assign w40 = w39 & hclk1;
ymn_dlatch l41(.mclk(mclk), .en(hclk2), .inp(w538), .val(w41));
assign w42 = w41 & hclk1;
ymn_dlatch l43_1(.mclk(mclk), .en(hclk1), .inp(w343[0]), .val(w43_1));
ymn_dlatch l43(.mclk(mclk), .en(clk1), .inp(w43_1), .val(w43));
ymn_sr_bit l44(.mclk(mclk), .c1(clk2), .c2(clk1), .inp(w43), .val(w44));
assign w45 = ~(w44 ? w24 : w43);
ymn_dlatch l46(.mclk(mclk), .en(clk2), .inp(w45), .val(w46));
ymn_dlatch l47(.mclk(mclk), .en(clk2), .inp(~w44), .val(w47));
ymn_slatch_r #(.data_width(8)) l_hit(.mclk(mclk), .en(reg_sel[10]), .rst(reset1), .inp(~reg_addr[7:0]), .val(reg_hit));
assign w49 = ~(w53 ? reg_hit : w51);
ymn_dlatch #(.data_width(8)) l50(.mclk(mclk), .en(hclk1), .inp(w49), .val(w50));
ymn_dlatch #(.data_width(8)) l51(.mclk(mclk), .en(hclk2), .inp(~w50 + {7'h0, ~w54}), .val(w51));
assign w52 = ~(w160 | w159);
assign w53 = w52 | w55;
ymn_dlatch l54(.mclk(mclk), .en(hclk1), .inp(~w370), .val(w54));
ymn_sr_bit l55(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w56), .val(w55));
assign w56 = ~(w52 | ~w370 | (w49 != 8'h0));
assign w743 = ~hl;
ymn_sr_bit l744(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w743), .val(w744));
ymn_sr_bit l745(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w744), .val(w745));
assign w746 = ~(w745 & w744);
ymn_dlatch l57(.mclk(mclk), .en(hclk1), .inp(~w746), .val(w57));
ymn_dlatch l58(.mclk(mclk), .en(hclk2), .inp(w57), .val(w58));
assign w59 = w58 & hclk1;
ymn_dlatch #(.data_width(8)) l60(.mclk(mclk), .en(w59), .inp(w313[8:1]), .val(w60));
ymn_dlatch #(.data_width(8)) l62(.mclk(mclk), .en(hclk1), .inp((w74 | w80) ? 8'h0 : (w63 + { 7'h0, w76 })), .val(w62));
ymn_dlatch #(.data_width(8)) l63(.mclk(mclk), .en(hclk2), .inp(w62 | (w65 & w66)), .val(w63));
ymn_dlatch #(.data_width(8)) l64(.mclk(mclk), .en(w81), .inp(w63), .val(w64));
ymn_dlatch #(.data_width(8)) l65(.mclk(mclk), .en(hclk1), .inp(w64), .val(w65));
ymn_dlatch #(.data_width(8)) l66(.mclk(mclk), .en(hclk1), .inp({8{w80}}), .val(w66));
assign w67 = w85 ? w63[6:5] : 2'h0;
ymn_dlatch l68(.mclk(mclk), .en(hclk1), .inp(~w160), .val(w68));
ymn_dlatch l69(.mclk(mclk), .en(hclk2), .inp(~w68), .val(w69));
ymn_dlatch l70(.mclk(mclk), .en(hclk1), .inp(w69), .val(w70));
ymn_dlatch l71(.mclk(mclk), .en(hclk2), .inp(~(w70 | w68)), .val(w71));
assign w72 = ~(w71 | reset1 | (w370 & w467));
ymn_dlatch l73(.mclk(mclk), .en(hclk1), .inp(w72), .val(w73));
ymn_dlatch l74(.mclk(mclk), .en(hclk2), .inp(~w73), .val(w74));
assign w75 = ~(w77 ? w78 : w79);
ymn_dlatch l76(.mclk(mclk), .en(hclk2), .inp(~w75), .val(w76));
ymn_dlatch l77(.mclk(mclk), .en(hclk1), .inp(w467), .val(w77));
ymn_dlatch l78(.mclk(mclk), .en(hclk1), .inp(w343[2]), .val(w78));
ymn_dlatch l79(.mclk(mclk), .en(hclk1), .inp(w94), .val(w79));
assign w80 = ~(w146 | ~w379);
assign w81 = w378;
ymn_dlatch l82(.mclk(mclk), .en(hclk2), .inp(w84), .val(w82));
assign w83 = w82 & hclk1;
ymn_dlatch l84(.mclk(mclk), .en(hclk1), .inp(~(~reg_80_b2 | w163)), .val(w84));
ymn_sr_bit l85(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w343[11]), .val(w85));
assign w86 = ~(reg_80_b2 | ~w343[11]);
ymn_sr_bit l87(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w86), .val(w87));
assign w88 = w87 & hclk1;
ymn_sr_bit l89(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w343[1]), .val(w89));
ymn_sr_bit_array #(.data_width(2)) l90(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w74 ? 2'h0 : (w90 + {1'h0, w89})), .val(w90));
assign w91 = ~(w90[1] | ~w90[0] | ~w89);
assign w92 = ~(~w90[1] | ~w90[0] | ~w89);
assign w93 = w91;
assign w94 = w92;
assign w95 = ~(w98 | reg_80_b2);
ymn_sr_bit l96(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w95), .val(w96));
assign w97 = w96 & hclk1;
assign w98 = ~w343[2];
assign w99 = ~(w98 | w343[1] | reg_80_b2);
assign w100 = ~w343[1];
assign w101 = ~(w100 | reg_80_b2);
ymn_sr_bit l102(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w99), .val(w102));
assign w103 = w102 & hclk1;
ymn_sr_bit l104(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w101), .val(w104));
assign w105 = w104 & hclk1;
ymn_dlatch l106(.mclk(mclk), .en(hclk2), .inp(w538), .val(w106));
assign w107 = w106 & hclk1;
ymn_dlatch l108(.mclk(mclk), .en(hclk1), .inp(~w519), .val(w108));
ymn_dlatch #(.data_width(4)) l109(.mclk(mclk), .en(hclk1), .inp(w496[3:0]), .val(w109));
ymn_sr_bit_array #(.data_width(4)) l110(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? w109 : w110), .val(w110));
ymn_sr_bit_array #(.data_width(4)) l111(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? w110 : w111), .val(w111));
ymn_sr_bit_array #(.data_width(4)) l112(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? w111 : w112), .val(w112));
ymn_sr_bit_array #(.data_width(4)) l113(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? w112 : w113), .val(w113));
ymn_sr_bit_array #(.data_width(4)) l114(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? w113 : w114), .val(w114));
ymn_sr_bit_array #(.data_width(4)) l115(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? w114 : w115), .val(w115));
ymn_sr_bit_array #(.data_width(4)) l116(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? w115 : w116), .val(w116));
ymn_dlatch #(.data_width(4)) l117_1(.mclk(mclk), .en(hclk2), .inp(w108 ? w116 : w117), .val(w117_1));
ymn_dlatch #(.data_width(4)) l117(.mclk(mclk), .en(hclk1), .inp(w117_1), .val(w117));
ymn_dlatch l118(.mclk(mclk), .en(hclk1), .inp(~w518), .val(w118));
ymn_dlatch #(.data_width(8)) l119_1(.mclk(mclk), .en(hclk2), .inp( w108 ? { w119[6:0], w118 } : w119 ), .val(w119_1));
ymn_dlatch #(.data_width(8)) l119(.mclk(mclk), .en(hclk1), .inp(w119_1), .val(w119));
assign w120 = w119_1[7];
assign w121 = ~(~w119_1[3] | reg_80_b2);
assign w122 = ~(~w119_1[4] | reg_80_b2);
assign w123 = ~(w121 | (reg_80_b2 & w120));
ymn_dlatch l124(.mclk(mclk), .en(hclk2), .inp(w538 & reg_81_b1), .val(w124));
assign w125 = w124 & hclk1;
ymn_sr_bit_array #(.data_width(5)) l126(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w532), .val(w126));
ymn_dlatch l127(.mclk(mclk), .en(hclk1), .inp(~w343[0]), .val(w127));
ymn_sr_bit_array #(.data_width(6)) l128(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? { w126, w127 } : w128), .val(w128));
ymn_sr_bit_array #(.data_width(6)) l129(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? w128 : w129), .val(w129));
ymn_sr_bit_array #(.data_width(6)) l130(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? w129 : w130), .val(w130));
ymn_dlatch #(.data_width(6)) l131_1(.mclk(mclk), .en(hclk2), .inp(w108 ? w130 : w131), .val(w131_1));
ymn_dlatch #(.data_width(6)) l131(.mclk(mclk), .en(hclk1), .inp(w131_1), .val(w131));
ymn_sr_bit_array #(.data_width(6)) l132(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? w131 : w132), .val(w132));
ymn_sr_bit_array #(.data_width(6)) l133(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? w132 : w133), .val(w133));
ymn_sr_bit_array #(.data_width(6)) l134(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w108 ? w133 : w134), .val(w134));
ymn_dlatch #(.data_width(6)) l135_1(.mclk(mclk), .en(hclk2), .inp(w108 ? w134 : w135), .val(w135_1));
ymn_dlatch #(.data_width(6)) l135(.mclk(mclk), .en(hclk1), .inp(w135_1), .val(w135));
ymn_dlatch l136(.mclk(mclk), .en(hclk2), .inp(w544), .val(w136));
assign w137 = w136 & hclk1;
ymn_dlatch l138(.mclk(mclk), .en(hclk1), .inp(w343[3] & ~reg_80_b2), .val(w138));
ymn_dlatch l139(.mclk(mclk), .en(hclk2), .inp(w138), .val(w139));
assign w140 = w139 & hclk1;
ymn_dlatch l141(.mclk(mclk), .en(hclk1), .inp(w370), .val(w141));
ymn_dlatch #(.data_width(9)) l142(.mclk(mclk), .en(hclk2), .inp(w162 ? 9'h0 : w143 + {8'h0, w141}), .val(w142));
ymn_dlatch l144(.mclk(mclk), .en(hclk2), .inp(w162), .val(w144));
assign w145 = w142 | (w144 ? { 2'h3, ~cpu_pal, cpu_pal, 1'h1, cpu_pal, ~cpu_pal, cpu_pal, ~cpu_pal } : 9'h0);
ymn_dlatch #(.data_width(9)) l143(.mclk(mclk), .en(hclk1), .inp(w145), .val(w143));
wire [13:0] v_pla_i;
assign v_pla_i[0] = (w145 & 9'h107) == 9'h7;
assign v_pla_i[1] = cpu_pal & w145 == 9'h1bd;
assign v_pla_i[2] = ~cpu_pal & w145 == 9'h1d8;
assign v_pla_i[3] = cpu_pal & w145 == 9'h1ba;
assign v_pla_i[4] = ~cpu_pal & w145 == 9'h1d5;
assign v_pla_i[5] = cpu_pal & w145 == 9'h1ca;
assign v_pla_i[6] = ~cpu_pal & w145 == 9'h1e5;
assign v_pla_i[7] = cpu_pal & w145 == 9'hf0;
assign v_pla_i[8] = ~cpu_pal & w145 == 9'hd8;
assign v_pla_i[9] = w145 == 9'hc0;
assign v_pla_i[10] = w145 == 9'h0;
assign v_pla_i[11] = w145 == 9'h1ff;
assign v_pla_i[12] = cpu_pal & w145 == 9'hf2;
assign v_pla_i[13] = ~cpu_pal & w145 == 9'hda;
ymn_dlatch #(.data_width(14)) l_vpla(.mclk(mclk), .en(hclk1), .inp(v_pla_i), .val(v_pla));
ymn_dlatch l146(.mclk(mclk), .en(hclk2), .inp(v_pla[0]), .val(w146));
ymn_dlatch l147(.mclk(mclk), .en(hclk2), .inp(v_pla[1]), .val(w147));
ymn_dlatch l148(.mclk(mclk), .en(hclk2), .inp(v_pla[2]), .val(w148));
ymn_dlatch l149(.mclk(mclk), .en(hclk2), .inp(v_pla[3]), .val(w149));
ymn_dlatch l150(.mclk(mclk), .en(hclk2), .inp(v_pla[4]), .val(w150));
ymn_dlatch l152(.mclk(mclk), .en(hclk2), .inp(v_pla[5]), .val(w152));
ymn_dlatch l153(.mclk(mclk), .en(hclk2), .inp(v_pla[6]), .val(w153));
ymn_dlatch l154(.mclk(mclk), .en(hclk2), .inp(v_pla[7]), .val(w154));
ymn_dlatch l155(.mclk(mclk), .en(hclk2), .inp(v_pla[8]), .val(w155));
ymn_dlatch l157(.mclk(mclk), .en(hclk2), .inp(v_pla[9]), .val(w157));
ymn_dlatch l158(.mclk(mclk), .en(hclk2), .inp(v_pla[10]), .val(w158));
ymn_dlatch l160(.mclk(mclk), .en(hclk2), .inp(v_pla[11]), .val(w160));
ymn_dlatch l161(.mclk(mclk), .en(hclk2), .inp(v_pla[12] | v_pla[13]), .val(w161));
ymn_rs_trig2 rs151(.mclk(mclk), .set(reset1 | w150 | w149), .rst(w148 | w147), .q(w151));
ymn_rs_trig2 rs156(.mclk(mclk), .set(reset1 | w155 | w154), .rst(w153 | w152), .q(w156));
ymn_rs_trig2 rs159(.mclk(mclk), .set(w158), .rst(reset1 | w157), .q(w159));
ymn_dlatch l162(.mclk(mclk), .en(hclk1), .inp(w331 | (w161 & w370)), .val(w162));
assign w163 = ~w343[2];
assign w164 = w159 | w160;
assign w164_ = ~cpu_a6 | cpu_iorq | cpu_a7 | cpu_rd;
assign w165 = ~(cpu_rd | cpu_iorq | cpu_a6 | ~cpu_a7);
assign w166 = ~w165;
assign w167 = ~(cpu_wr | cpu_iorq | cpu_a6 | ~cpu_a7);
assign w168 = ~w167;
assign w169 = w165 | w167;
ymn_slatch l170(.mclk(mclk), .en(w166), .inp(cpu_a0), .val(w170));
assign w171 = ~(w166 | w170);
ymn_slatch l172(.mclk(mclk), .en(w168), .inp(~cpu_a0), .val(w172));
assign w173 = ~(w166 | ~cpu_a0);
assign w174 = ~(w166 | cpu_a0);
assign w175 = ~(w168 | ~w172);
assign w176 = ~(w168 | w172 | w186);
assign w177 = ~(w168 | w172 | w186n);
assign w178 = w173;
assign w179 = w174;
assign w180 = w175;
assign w181 = ~(w178 | w179 | w180 | w177);
assign w182 = ~w177;
assign w183 = ~w176;
ymn_rs_trig rs184(.mclk(mclk), .set(reset1 | w194), .rst(w177), .q(w184));
ymn_rs_trig rs185(.mclk(mclk), .set(w176), .rst(reset1 | w188), .q(w185), .nq(w185n));
ymn_rs_trig rs186(.mclk(mclk), .set(w194 & w185), .rst(reset1 | (w194 & w185n)), .q(w186), .nq(w186n));
ymn_rs_trig rs187(.mclk(mclk), .set(reset1 | ~w191), .rst(w169), .q(w187));
assign w188 = ~w181;
ymn_slatch l189(.mclk(mclk), .en(hclk1), .inp(w187), .val(w189));
ymn_slatch l190(.mclk(mclk), .en(hclk2), .inp(~w189), .val(w190));
ymn_sr_bit l191(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w190), .val(w191));
assign w192 = ~(reset1 | w190 | w191);
ymn_sr_bit l193(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w192), .val(w193));
ymn_dlatch l194_0(.mclk(mclk), .en(hclk1), .inp(w193), .val(w194_0));
ymn_dlatch l194_1(.mclk(mclk), .en(hclk2), .inp(w194_0), .val(w194));
assign w195 = w192 & reg_code == 2'h0 & ~w184;
ymn_rs_trig rs196(.mclk(mclk), .set(w201_ | reset1), .rst(w195 | w180 | w171), .q(w196));
ymn_dlatch l197(.mclk(mclk), .en(hclk2), .inp(~w194_0), .val(w197));
assign w198 = ~(w196 | w197);
ymn_rs_trig rs199(.mclk(mclk), .set(w201_ | reset1), .rst(w198), .q(w199));
assign w200 = ~(w199 | w343[10]);
assign w201 = ~w200;
ymn_sr_bit l201_(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w200), .val(w201_));
ymn_sr_bit l202(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w201_), .val(w202));
assign w203 = ~w202;
ymn_sr_bit l204(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w202), .val(w204));
ymn_sr_bit l205(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w204), .val(w205));
ymn_rs_trig rs206(.mclk(mclk), .set(reset1 | w205), .rst(w180), .q(w206), .nq(w206n));
assign w207 = w206 & w204;
assign w208 = w206n & w212 & w201_;
assign w209 = w206n & ~w212 & w201_;
assign w210 = ~(w194 | w193);
assign w211 = reg_code == 2'h2 & ~w184 & ~w210;
assign w212 = reg_code != 2'h3;
always @(posedge mclk)
begin
if (~w183)
begin
w214 <= io_data;
w213 <= io_data;
end
else
begin
if (w215)
w213 <= w214 + 8'h1;
else
w214 <= w213;
end
end
ymn_sr_bit l215(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w203), .val(w215));
always @(posedge mclk)
begin
if (~w182)
begin
w217 <= io_data[5:0];
w216 <= io_data[5:0];
end
else
begin
if (w214[7])
w216 <= w217 + 6'h1;
else
w217 <= w216;
end
end
ymn_slatch #(.data_width(2)) l_reg_code(.mclk(mclk), .en(~w182), .inp(io_data[7:6]), .val(reg_code));
assign reg_addr = { w217, w214 };
assign reg_sel[0] = reg_addr[11:8] == 4'h0 & w211;
assign reg_sel[1] = reg_addr[11:8] == 4'h1 & w211;
assign reg_sel[2] = reg_addr[11:8] == 4'h2 & w211;
assign reg_sel[3] = reg_addr[11:8] == 4'h3 & w211;
assign reg_sel[4] = reg_addr[11:8] == 4'h4 & w211;
assign reg_sel[5] = reg_addr[11:8] == 4'h5 & w211;
assign reg_sel[6] = reg_addr[11:8] == 4'h6 & w211;
assign reg_sel[7] = reg_addr[11:8] == 4'h7 & w211;
assign reg_sel[8] = reg_addr[11:8] == 4'h8 & w211;
assign reg_sel[9] = reg_addr[11:8] == 4'h9 & w211;
assign reg_sel[10] = reg_addr[11:8] == 4'ha & w211;
ymn_slatch_r2 l_reg_80_b0(.mclk(mclk), .en(reg_sel[0]), .rst(reset1), .inp(reg_addr[0]), .val(reg_80_b0));
ymn_slatch_r2 l_reg_80_b1(.mclk(mclk), .en(reg_sel[0]), .rst(reset1), .inp(reg_addr[1]), .val(reg_80_b1));
ymn_slatch_r2 l_reg_80_b2(.mclk(mclk), .en(reg_sel[0]), .rst(reset1), .inp(reg_addr[2]), .val(reg_80_b2));
ymn_slatch_r2 l_reg_80_b3(.mclk(mclk), .en(reg_sel[0]), .rst(reset1), .inp(reg_addr[3]), .val(reg_80_b3));
ymn_slatch_r2 l_reg_80_b4(.mclk(mclk), .en(reg_sel[0]), .rst(reset1), .inp(reg_addr[4]), .val(reg_80_b4));
ymn_slatch_r2 l_reg_80_b5(.mclk(mclk), .en(reg_sel[0]), .rst(reset1), .inp(reg_addr[5]), .val(reg_80_b5));
ymn_slatch_r2 l_reg_80_b6(.mclk(mclk), .en(reg_sel[0]), .rst(reset1), .inp(reg_addr[6]), .val(reg_80_b6));
ymn_slatch_r2 l_reg_80_b7(.mclk(mclk), .en(reg_sel[0]), .rst(reset1), .inp(reg_addr[7]), .val(reg_80_b7));
ymn_slatch_r2 l_reg_81_b0(.mclk(mclk), .en(reg_sel[1]), .rst(reset1), .inp(reg_addr[0]), .val(reg_81_b0));
ymn_slatch_r2 l_reg_81_b1(.mclk(mclk), .en(reg_sel[1]), .rst(reset1), .inp(reg_addr[1]), .val(reg_81_b1));
ymn_slatch_r2 l_reg_81_b2(.mclk(mclk), .en(reg_sel[1]), .rst(reset1), .inp(reg_addr[2]), .val(reg_81_b2));
ymn_slatch_r2 l_reg_81_b3(.mclk(mclk), .en(reg_sel[1]), .rst(reset1), .inp(reg_addr[3]), .val(reg_81_b3));
ymn_slatch_r2 l_reg_81_b4(.mclk(mclk), .en(reg_sel[1]), .rst(reset1), .inp(reg_addr[4]), .val(reg_81_b4));
ymn_slatch_r2 l_reg_81_b5(.mclk(mclk), .en(reg_sel[1]), .rst(reset1), .inp(reg_addr[5]), .val(reg_81_b5));
ymn_slatch_r2 l_reg_81_b6(.mclk(mclk), .en(reg_sel[1]), .rst(reset1), .inp(reg_addr[6]), .val(reg_81_b6));
ymn_slatch #(.data_width(4)) l_reg_nt(.mclk(mclk), .en(reg_sel[2]), .inp(reg_addr[3:0]), .val(reg_nt));
ymn_slatch #(.data_width(8)) l_reg_ct(.mclk(mclk), .en(reg_sel[3]), .inp(reg_addr[7:0]), .val(reg_ct));
ymn_slatch #(.data_width(3)) l_reg_bg(.mclk(mclk), .en(reg_sel[4]), .inp(reg_addr[2:0]), .val(reg_bg));
ymn_slatch #(.data_width(7)) l_reg_sat(.mclk(mclk), .en(reg_sel[5]), .inp(reg_addr[6:0]), .val(reg_sat));
ymn_slatch #(.data_width(3)) l_reg_spr(.mclk(mclk), .en(reg_sel[6]), .inp(reg_addr[2:0]), .val(reg_spr));
ymn_sr_bit l218(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w343[6]), .val(w218));
assign w219 = w218 & hclk1;
ymn_dlatch l220(.mclk(mclk), .en(hclk1), .inp(w343[4]), .val(w220));
ymn_dlatch l221(.mclk(mclk), .en(hclk2), .inp(reg_80_b2 ? w542 : w220), .val(w221));
assign w222 = w221 & hclk1;
ymn_sr_bit l223(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w343[7]), .val(w223));
assign w224 = w223 & hclk1;
ymn_sr_bit l225(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w343[5]), .val(w225));
assign w226 = w225 & hclk1;
ymn_dlatch l227(.mclk(mclk), .en(hclk1), .inp(w343[8]), .val(w227));
ymn_dlatch l228(.mclk(mclk), .en(hclk2), .inp(reg_80_b2 ? w538 : w227), .val(w228));
assign w229 = w228 & hclk1;
ymn_sr_bit l230(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w201), .val(w230));
assign w231 = w230 & hclk1;
assign w232 = ~(w240 | reg_80_b2 | ~w343[6]);
ymn_sr_bit l233(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w232), .val(w233));
assign w234 = w233 & hclk1;
assign w235 = ~(~w343[12] | reg_80_b2);
ymn_sr_bit l236(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w235), .val(w236));
assign w237 = w236 & hclk1;
assign w238 = ~w464;
assign w239 = ~w343[7];
assign w240 = ~reg_80_b1;
assign w241 = ~(w239 | reg_80_b2 | w240);
ymn_sr_bit l242(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w241), .val(w242));
assign w243 = w242 & hclk1;
assign w244 = ~(reg_80_b2 | w464 | w239);
ymn_sr_bit l245(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w244), .val(w245));
assign w246 = w245 & hclk1;
assign w247 = ~(w238 | w239 | reg_80_b2);
ymn_sr_bit l248(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w247), .val(w248));
assign w249 = w248 & hclk1;
ymn_rs_trig2 rs250(.mclk(mclk), .set(w300), .rst(w263), .q(w250));
ymn_rs_trig2 rs251(.mclk(mclk), .set(w258), .rst(w263), .q(w251));
ymn_rs_trig2 rs252(.mclk(mclk), .set(w255), .rst(w263), .q(w252));
assign w253 = w251;
assign w254 = ~w178;
assign w255 = w157 & w370;
assign w257 = ~w252;
assign w256 = w257 | ~reg_81_b5;
assign w258 = ~(~w257 | ~w516);
ymn_rs_trig rs259(.mclk(mclk), .set(~w261), .rst(w178 | reset1), .q(w259));
ymn_sr_bit l260(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w259), .val(w260));
ymn_sr_bit l261(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w260), .val(w261));
assign w262 = ~(reset1 | w261 | w260);
ymn_dlatch l263(.mclk(mclk), .en(hclk1), .inp(w262), .val(w263));
ymn_dlatch l264(.mclk(mclk), .en(hclk1), .inp(~w343[0]), .val(w264));
assign w265 = w264 & hclk2;
ymn_dlatch l266(.mclk(mclk), .en(hclk1), .inp(w207), .val(w266));
assign w267 = w266 & hclk2;
//assign w268 = ~(w267 | w180);
assign w269 = ~w179;
always @(posedge mclk)
begin
if (w180)
w270 <= io_data;
else if (w267)
w270 <= vram_data[7:0];
end
assign w271 = ~(reg_81_b2 | w253);
ymn_dlatch l272(.mclk(mclk), .en(hclk1), .inp(reg_81_b2), .val(w272));
assign w273 = w272 & hclk2;
//assign w274 = ~(w273 | w271);
always @(posedge mclk)
begin
if (w273)
w275 <= { color_palette, color_index };
else if (w271)
w275 <= w533;
end
assign w276 = ~reg_80_b2;
ymn_dlatch l277(.mclk(mclk), .en(hclk1), .inp((w276 & w464 & w359) | (w276 & ~w464 & w358)), .val(w277));
assign w278 = w277 & hclk2;
always @(posedge mclk)
begin
if (w278)
w279 <= vram_data[7:0];
end
assign w280 = w279[3:0] == 4'h0;
assign w281 = w279[7:4] == 4'h0;
always @(posedge mclk)
begin
if (w285)
begin
w282 <= w280;
w283 <= w281;
end
end
ymn_dlatch l284(.mclk(mclk), .en(hclk1), .inp(w289), .val(w284));
assign w285 = w284 & hclk2;
always @(posedge mclk)
begin
if (w291)
w286 <= w279;
end
ymn_dlatch l287(.mclk(mclk), .en(hclk1), .inp(w276), .val(w287));
ymn_dlatch l288(.mclk(mclk), .en(hclk1), .inp(w359), .val(w288));
ymn_dlatch l289(.mclk(mclk), .en(hclk2), .inp(w288 & w287), .val(w289));
ymn_sr_bit #(.sr_length(2)) l290(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w289), .val(w290));
assign w291 = w290 & hclk1;
ymn_dlatch l292(.mclk(mclk), .en(hclk1), .inp(w560[0]), .val(w292));
assign w293 = w292 & hclk2;
ymn_dlatch l294(.mclk(mclk), .en(hclk1), .inp(w560[1]), .val(w294));
assign w295 = w294 & hclk2;
ymn_dlatch l296(.mclk(mclk), .en(hclk1), .inp(w357), .val(w296));
ymn_dlatch l297(.mclk(mclk), .en(hclk2), .inp(w296 & ~reg_80_b2), .val(w297));
assign spr_mask = { sprite7_w636, sprite6_w636, sprite5_w636, sprite4_w636,
sprite3_w604, sprite2_w604, sprite1_w604, sprite0_w604 };
assign spr_mask2 = { sprite3_w566, sprite2_w566, sprite1_w566, sprite0_w566 };
always @(posedge mclk)
begin
if (hclk2)
w298 <= 1'h1;
else if (hclk1)
begin
if (w559 |
(spr_mask & 8'd254) == 8'd254 |
(spr_mask & 8'd253) == 8'd253 |
(spr_mask & 8'd251) == 8'd251 |
(spr_mask & 8'd247) == 8'd247 |
(spr_mask & 8'd239) == 8'd239 |
(spr_mask & 8'd223) == 8'd223 |
(spr_mask & 8'd191) == 8'd191 |
(spr_mask & 8'd127) == 8'd127)
w298 <= 1'h0;
end
end
ymn_dlatch l299(.mclk(mclk), .en(hclk1), .inp(w298), .val(w299));
ymn_dlatch l300(.mclk(mclk), .en(hclk2), .inp(w299), .val(w300));
assign w301[0] = ~(w559 | w469 | w444 | spr_mask[0] | spr_mask2[0]);
assign w301[1] = ~(w559 | w469 | w444 | w301[0] | spr_mask[1] | spr_mask2[1]);
assign w301[2] = ~(w559 | w469 | w444 | |w301[1:0] | spr_mask[2] | spr_mask2[2]);
assign w301[3] = ~(w559 | w469 | w444 | |w301[2:0] | spr_mask[3] | spr_mask2[3]);
assign w301[4] = ~(w559 | w469 | w444 | |w301[3:0] | spr_mask[4]);
assign w301[5] = ~(w559 | w469 | w444 | |w301[4:0] | spr_mask[5]);
assign w301[6] = ~(w559 | w469 | w444 | |w301[5:0] | spr_mask[6]);
assign w301[7] = ~(w559 | w469 | w444 | |w301[6:0] | spr_mask[7]);
assign w301[8] = ~(|w301[7:0]);
assign w302 = ~w301[8];
ymn_sr_bit_array #(.data_width(8)) l303(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w301[7:0]), .val(w303));
ymn_dlatch l304(.mclk(mclk), .en(hclk1), .inp(~w317), .val(w304));
ymn_dlatch l305(.mclk(mclk), .en(hclk2), .inp(w304), .val(w305));
ymn_dlatch #(.data_width(3)) l306_0(.mclk(mclk), .en(hclk2), .inp(w304 ? 3'h0 : w306 + 3'h1), .val(w306_0));
assign w307 = w306_0 | (w305 ? { 1'h0, w315, 1'h0 } : 3'h0);
ymn_dlatch #(.data_width(3)) l306(.mclk(mclk), .en(hclk1), .inp(w307), .val(w306));
ymn_dlatch l308(.mclk(mclk), .en(hclk1), .inp(~w320), .val(w308));
ymn_dlatch l309(.mclk(mclk), .en(hclk2), .inp(w308), .val(w309));
ymn_dlatch #(.data_width(6)) l310_0(.mclk(mclk), .en(hclk2), .inp(w308 ? 6'h0 : w310 + { 5'h0, ~w312 }), .val(w310_0));
assign w311 = w310_0 | (w309 ? { 1'h1, w315, w315, 1'h0, w315, w314 } : 6'h0);
ymn_dlatch #(.data_width(6)) l310(.mclk(mclk), .en(hclk1), .inp(w311), .val(w310));
ymn_dlatch l312(.mclk(mclk), .en(hclk1), .inp(w318), .val(w312));
assign w313 = { w311, w307 };
ymn_sr_bit l314(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w381), .val(w314));
ymn_dlatch l315_0(.mclk(mclk), .en(hclk1), .inp(w333), .val(w315_0));
ymn_dlatch l315(.mclk(mclk), .en(hclk2), .inp(w315_0), .val(w315));
assign w316 = w315_0;
assign w317 = ~(w319 | w381 | w333);
assign w318 = ~((w337 & w336) | w319);
assign w319 = w335 & w321;
assign w320 = ~(w333 | w381);
assign w321 = ~(~w380 | w338);
ymn_sr_bit l322(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w321), .val(w322));
ymn_dlatch l323(.mclk(mclk), .en(hclk1), .inp(w322 | w338), .val(w323));
ymn_sr_bit l324(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w328), .val(w324));
assign w325 = ~(w328 | w324 | w326);
assign w747 = csync_i;
ymn_sr_bit l748(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w747), .val(w748));
assign w326 = ~reg_80_b0;
assign w327 = ~(reg_80_b0 & w748);
ymn_sr_bit l328(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w327), .val(w328));
assign w329 = ~(w326 | ~w368 | w328);
ymn_sr_bit l330(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(reset1), .val(w330));
assign w331 = w330 | w329;
assign w332 = w330 | w325;
assign w333 = w332 | (w382 & w327);
assign w334 = ~w339;
ymn_sr_bit l335(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w334), .val(w335));
assign w336 = ~(w335 | w333 | w338);
ymn_dlatch l337(.mclk(mclk), .en(hclk2), .inp(~w323), .val(w337));
ymn_sr_bit l338(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w333), .val(w338));
ymn_rs_trig2 rs339(.mclk(mclk), .set(w379 | w338), .rst(w378 & w469), .q(w339));
assign w749 = reg_80_b2 | w122;
assign w750 = reg_80_b2 | w121;
assign hpla[0] = (w313 & 9'b111111101) == 9'b111101000 & reg_80_b2;
assign hpla[1] = (w313 & 9'b100010111) == 9'b000010010 & ~w468;
assign hpla[2] = (w313 & 9'b100011111) == 9'b000001010 & ~w468;
assign hpla[3] = (w313 & 9'b000000001) == 9'b000000001;
assign hpla[4] = (w313 & 9'b111110001) == 9'b111110000 & ~w468;
assign hpla[5] = (w313 & 9'b111111101) == 9'b100000100 & ~w468 & ~reg_80_b2;
assign hpla[6] = (w313 & 9'b111111101) == 9'b100001000 & ~w468;
assign hpla[7] = (w313 & 9'b111111001) == 9'b100010000 & ~w468;
assign hpla[8] = (w313 & 9'b111111101) == 9'b100011100 & ~w468;
assign hpla[9] = (w313 & 9'b111111101) == 9'b111010100 & ~w468;
assign hpla[10] = (w313 & 9'b111111101) == 9'b111011100 & ~w468;
assign hpla[11] = (w313 & 9'b111111101) == 9'b111100000 & ~w468;
assign hpla[12] = (w313 & 9'b100000011) == 9'b000000000 & ~w466;
assign hpla[13] = (w313 & 9'b000000111) == 9'b000000000 & w334;
assign hpla[14] = (w313 & 9'b111111101) == 9'b100001100 & ~w468;
assign hpla[15] = (w313 & 9'b100000111) == 9'b000000000 & ~w468;
assign hpla[16] = (w313 & 9'b111111101) == 9'b100011000 & ~w468;
assign hpla[17] = (w313 & 9'b100000111) == 9'b000000100 & ~w468;
assign hpla[18] = (w313 & 9'b100000111) == 9'b000000110 & ~w468;
assign hpla[19] = (w313 & 9'b000000111) == 9'b000000100 & w334;
assign hpla[20] = (w313 & 9'b111111101) == 9'b111011000 & ~w468;
assign hpla[21] = (w313 & 9'b111111101) == 9'b111100100 & ~w468;
assign hpla[22] = (w313 & 9'b111111111) == 9'b111100111 & ~w749;
assign hpla[23] = (w313 & 9'b111111111) == 9'b111100101;
assign hpla[24] = (w313 & 9'b111111111) == 9'b111100001 & ~w750;
assign hpla[25] = (w313 & 9'b111111111) == 9'b111011011 & ~w749;
assign hpla[26] = (w313 & 9'b111111111) == 9'b111011001;
assign hpla[27] = (w313 & 9'b111111111) == 9'b100100001 & ~w750;
assign hpla[28] = (w313 & 9'b111111111) == 9'b100011011 & ~w749;
assign hpla[29] = (w313 & 9'b111111111) == 9'b100011001;
assign hpla[30] = (w313 & 9'b111111111) == 9'b100010101 & ~w750;
assign hpla[31] = (w313 & 9'b111111111) == 9'b100001111 & ~w749;
assign hpla[32] = (w313 & 9'b111111111) == 9'b100001101;
assign hpla[33] = (w313 & 9'b111111111) == 9'b100001001 & ~w750;
assign hpla[34] = (w313 & 9'b111111111) == 9'b000001001;
assign hpla[35] = (w313 & 9'b111111111) == 9'b111101001;
assign hpla[36] = (w313 & 9'b000000111) == 9'b000000111;
assign hpla[37] = (w313 & 9'b000000111) == 9'b000000001;
assign hpla[38] = (w313 & 9'b111111111) == 9'b111010111;
assign hpla[39] = (w313 & 9'b111111111) == 9'b100010111;
assign hpla[40] = (w313 & 9'b000000111) == 9'b000000011;
assign hpla[41] = (w313 & 9'b100010000) == 9'b100010000 & ~w469;
assign hpla[42] = (w313 & 9'b111111111) == 9'b111111000;
assign hpla[43] = (w313 & 9'b111111111) == 9'b111101010;
assign hpla[44] = (w313 & 9'b111111111) == 9'b111101000;
assign hpla[45] = (w313 & 9'b111111111) == 9'b100100100;
assign hpla[46] = (w313 & 9'b111111111) == 9'b000000000;
assign hpla[47] = (w313 & 9'b111111111) == 9'b100011100;
assign hpla[48] = (w313 & 9'b111111111) == 9'b100001010;
assign hpla[49] = (w313 & 9'b111111111) == 9'b101011000;
assign hpla[50] = (w313 & 9'b111111111) == 9'b000010010;
assign hpla[51] = (w313 & 9'b111111111) == 9'b000001010;
assign hpla[52] = (w313 & 9'b111111111) == 9'b101000100;
assign hpla[53] = (w313 & 9'b111111111) == 9'b000000110;
assign hpla[54] = (w313 & 9'b000000111) == 9'b000000100;
assign hpla[55] = (w313 & 9'b111111111) == 9'b101011110;
assign hpla[56] = (w313 & 9'b111111111) == 9'b100100110;
ymn_dlatch #(.data_width(22)) l340(.mclk(mclk), .en(hclk1), .inp(hpla[21:0]), .val(w340));
assign w341[0] = w340[1] | w340[2];
assign w341[1] = w340[0];
assign w341[2] = w340[3];
assign w341[3] = w340[4];
assign w341[4] = w340[5];
assign w341[5] = w340[6];
assign w341[6] = w340[7];
assign w341[7] = w340[8];
assign w341[8] = w340[9];
assign w341[9] = w340[10];
assign w341[10] = w340[11];
assign w341[11] = w340[12];
assign w341[12] = w340[13];
assign w341[13] = w340[14];
assign w341[14] = w340[15];
assign w341[15] = w340[16];
assign w341[16] = w340[17];
assign w341[17] = w340[18];
assign w341[18] = w340[19];
assign w341[19] = w340[20];
assign w341[20] = w340[21];
assign w342[0] = w341[2];
assign w342[1] = w341[4] | w341[5] | w341[6] | w341[7] | w341[8]
| w341[9] | w341[10] | w341[11] | w341[12];
assign w342[2] = w341[0] | w341[3] | w341[11] | w341[12];
assign w342[3] = w341[4] | w341[5] | w341[6] | w341[7] | w341[8]
| w341[9] | w341[10];
assign w342[4] = w341[0] | w341[3] | w341[4] | w341[5] | w341[6]
| w341[7] | w341[8] | w341[9] | w341[10];
assign w342[5] = w341[12] | w341[14];
assign w342[6] = w341[16];
assign w342[7] = w341[17] | w341[18];
assign w342[8] = w341[1] | w341[13] | w341[15] | w341[19] | w341[20];
assign w342[9] = w341[13] | w341[15] | w341[17] | w341[18] | w341[19]
| w341[20];
assign w342[10] = w341[0] | w341[1] | w341[2] | w341[3] | w341[4]
| w341[5] | w341[6] | w341[7] | w341[8] | w341[9]
| w341[10] | w341[11] | w341[12] | w341[13] | w341[14]
| w341[15] | w341[16] | w341[17] | w341[18] | w341[19]
| w341[20] | w316;
assign w342[11] = w341[11] | w341[12];
assign w342[12] = w341[14];
ymn_dlatch #(.data_width(13)) l343(.mclk(mclk), .en(hclk2), .inp(w342), .val(w343));
ymn_sr_bit l344(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[22]), .val(w344));
ymn_sr_bit l345(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[23]), .val(w345));
ymn_sr_bit l346(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[24]), .val(w346));
ymn_sr_bit l347(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[25]), .val(w347));
ymn_sr_bit l348(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[26]), .val(w348));
ymn_sr_bit l349(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[27]), .val(w349));
ymn_sr_bit l350(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[28]), .val(w350));
ymn_sr_bit l351(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[29]), .val(w351));
ymn_sr_bit l352(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[30]), .val(w352));
ymn_sr_bit l353(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[31]), .val(w353));
ymn_sr_bit l354(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[32]), .val(w354));
ymn_sr_bit l355(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[33]), .val(w355));
ymn_sr_bit l356(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[34]), .val(w356));
ymn_sr_bit l357(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[35]), .val(w357));
ymn_sr_bit l358(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[36]), .val(w358));
ymn_sr_bit l359(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[37]), .val(w359));
ymn_dlatch l360(.mclk(mclk), .en(hclk1), .inp(hpla[38]), .val(w360));
ymn_dlatch l361(.mclk(mclk), .en(hclk1), .inp(hpla[39]), .val(w361));
ymn_dlatch l362(.mclk(mclk), .en(hclk1), .inp(hpla[40]), .val(w362));
ymn_dlatch l363(.mclk(mclk), .en(hclk1), .inp(hpla[41]), .val(w363));
ymn_dlatch l364(.mclk(mclk), .en(hclk2), .inp(w360 | w361 | (w362 & ~w363)), .val(w364));
assign w365 = reg_80_b3 ? w367 : w356;
ymn_sr_bit l366(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w365), .val(w366));
ymn_sr_bit l367(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w372), .val(w367));
ymn_sr_bit l368(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[42]), .val(w368));
ymn_sr_bit l369(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[43]), .val(w369));
ymn_sr_bit l370(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[44]), .val(w370));
ymn_sr_bit l371(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[45]), .val(w371));
ymn_sr_bit l372(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[46]), .val(w372));
ymn_sr_bit l373(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[47]), .val(w373));
ymn_sr_bit l374(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[48]), .val(w374));
ymn_sr_bit l375(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[49]), .val(w375));
ymn_sr_bit l376(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[50]), .val(w376));
ymn_sr_bit l377(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[51]), .val(w377));
ymn_sr_bit l378(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[53]), .val(w378));
ymn_sr_bit l379(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[52]), .val(w379));
ymn_sr_bit l380(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[54]), .val(w380));
ymn_sr_bit l381(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[55]), .val(w381));
ymn_sr_bit l382(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(hpla[56]), .val(w382));
ymn_rs_trig2 rs383(.mclk(mclk), .set(w369), .rst(w368), .q(w383));
ymn_rs_trig2 rs384(.mclk(mclk), .set(w371 & ~w334), .rst(w370), .q(w384));
ymn_rs_trig2 rs385(.mclk(mclk), .set(w373 & ~w334), .rst(w372), .q(w385));
ymn_rs_trig rs386(.mclk(mclk), .set(w376 | (~w334 & w377 & ~reg_80_b5)), .rst(w375 | (w374 & ~w334)), .q(w386));
assign w387 = w386 & reg_81_b6 & w159;
ymn_slatch_r2 #(.data_width(8)) l388(.mclk(mclk), .en(reg_sel[8]), .rst(reset1), .inp(reg_addr[7:0]), .val(w388));
ymn_dlatch #(.data_width(8)) l389(.mclk(mclk), .en(w370), .inp(w388), .val(w389));
assign w390 = w404 ? 8'h0 : w389;
ymn_dlatch l391(.mclk(mclk), .en(hclk1), .inp(w390[2:0] == w313[2:0]), .val(w391));
assign w392 = ~(w391 & reg_80_b2);
ymn_dlatch l393(.mclk(mclk), .en(hclk1), .inp(w343[12]), .val(w393));
ymn_dlatch l394(.mclk(mclk), .en(hclk2), .inp(w393 & reg_80_b2), .val(w394));
assign w395 = w394 & hclk1;
assign w396 = w313[7:3] + ~w390[7:3] + 5'h1;
ymn_slatch_r2 #(.data_width(8)) l397(.mclk(mclk), .en(reg_sel[9]), .rst(reset1), .inp(reg_addr[7:0]), .val(w397));
ymn_dlatch #(.data_width(8)) l398(.mclk(mclk), .en(w403), .inp(w397), .val(w398));
assign w399 = ~(reg_80_b7 & w313[7:6] == 2'h3);
ymn_sr_bit l400(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w399), .val(w400));
assign w401 = w400 ? w398 : 8'h0;
assign w402 = { 1'h0, w401 } + { 1'h0, w143[7:0] };
assign w403 = w370 & w160;
assign w404 = reg_80_b6 & w143[7:4] == 4'h0;
ymn_sr_bit l405(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w343[7]), .val(w405));
assign w406 = ~w431[1] ? ~w402[2:0] : w402[2:0];
assign w407 = ~(reg_80_b2 & (w343[6] | w343[7]));
ymn_sr_bit l408(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w407), .val(w408));
assign w409 = w408 & hclk1;
assign w410 = ~w402[8] & w402[7:5] != 3'h7;
assign w411 = w402[7:5] + { 2'h0, ~w410 };
ymn_dlatch l412(.mclk(mclk), .en(hclk1), .inp(w445), .val(w412));
assign w413 = w412 & hclk2;
ymn_dlatch l414(.mclk(mclk), .en(hclk1), .inp(w446), .val(w414));
assign w415 = w414 & hclk2;
assign w416 = w431[0];
ymn_dlatch l417(.mclk(mclk), .en(hclk2), .inp(~w392), .val(w417));
ymn_sr_bit #(.sr_length(2)) l418(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w417), .val(w418));
assign vram_data[15:8] = w2[15:8];
wire [15:0] vram_flipped;
genvar i;
generate
for (i = 0; i < 8; i = i + 1)
begin : loop1
assign vram_flipped[i] = vram_data[7-i];
assign vram_flipped[i+8] = vram_data[15-i];
end
endgenerate
assign w419 = w416 ? vram_data : vram_flipped;
ymn_dlatch #(.data_width(16)) l420(.mclk(mclk), .en(w413), .inp(w419), .val(w420));
ymn_dlatch #(.data_width(16)) l421(.mclk(mclk), .en(w415), .inp(w419), .val(w421));
ymn_dlatch #(.data_width(16)) l422(.mclk(mclk), .en(hclk2), .inp({ w424[14:8], 1'h0, w424[6:0], 1'h0 }), .val(w422));
ymn_dlatch #(.data_width(2)) l439(.mclk(mclk), .en(hclk2), .inp({ w424[15], w424[7] }), .val(w439));
ymn_dlatch #(.data_width(16)) l423(.mclk(mclk), .en(hclk2), .inp({ w425[14:8], 1'h0, w425[6:0], 1'h0 }), .val(w423));
ymn_dlatch #(.data_width(2)) l440(.mclk(mclk), .en(hclk2), .inp({ w425[15], w425[7] }), .val(w440));
ymn_dlatch #(.data_width(16)) l424(.mclk(mclk), .en(hclk1), .inp(w417 ? w420 : w422), .val(w424));
ymn_dlatch #(.data_width(16)) l425(.mclk(mclk), .en(hclk1), .inp(w418 ? w421 : w423), .val(w425));
ymn_dlatch #(.data_width(2)) l426(.mclk(mclk), .en(w415), .inp(~w431[3:2]), .val(w426));
ymn_sr_bit_array #(.data_width(2)) l427(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w418 ? w426 : w427), .val(w427));
ymn_dlatch l428(.mclk(mclk), .en(hclk1), .inp(reg_80_b2 & w364), .val(w428));
assign w429 = w428 & hclk2;
ymn_dlatch #(.data_width(9)) l430(.mclk(mclk), .en(w429), .inp(vram_data[8:0]), .val(w430));
ymn_dlatch #(.data_width(4)) l431(.mclk(mclk), .en(w429), .inp(~vram_data[12:9]), .val(w431));
ymn_dlatch l432(.mclk(mclk), .en(hclk1), .inp(~(reg_80_b2 & (w343[6] | w343[7]))), .val(w432));
ymn_dlatch l433(.mclk(mclk), .en(hclk2), .inp(~w432), .val(w433));
assign w434 = w433 & hclk1;
ymn_sr_bit l435(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w427[0]), .val(w435));
assign w436 = ~(w560[3] & w435);
ymn_dlatch l_color_palette(.mclk(mclk), .en(hclk1), .inp(w436), .val(color_palette));
ymn_dlatch l437(.mclk(mclk), .en(hclk1), .inp(w560[3]), .val(w437));
assign w438 = w437 & hclk2;
ymn_sr_bit_array #(.sr_length(2), .data_width(2)) l438_(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w439), .val(w438_));
assign w441 = { w440, w438_ };
ymn_sr_bit_array #(.sr_length(2), .data_width(4)) l442(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w441), .val(w442));
ymn_dlatch l443(.mclk(mclk), .en(hclk2), .inp(w441 == 4'h0), .val(w443));
assign w444 = ~(w443 | ~w427[1]);
assign w445 = reg_80_b2 & w358;
assign w446 = reg_80_b2 & w359;
ymn_dlatch l447(.mclk(mclk), .en(hclk1), .inp(w364), .val(w447));
assign w448 = w447 & hclk2;
always @(posedge mclk)
begin
if (w448)
w449 <= vram_data[7:0];
end
ymn_dlatch l450(.mclk(mclk), .en(hclk1), .inp(~reg_80_b2 & ((reg_80_b1 & w343[6]) | w343[9])), .val(w450));
ymn_dlatch l451(.mclk(mclk), .en(hclk2), .inp(w450), .val(w451));
assign w452 = w451 & hclk1;
ymn_dlatch l453(.mclk(mclk), .en(hclk1), .inp(~reg_80_b2 & ((reg_80_b1 & w343[6]) | w343[7] | (~reg_81_b1 & w343[8]))), .val(w453));
ymn_dlatch l454(.mclk(mclk), .en(hclk2), .inp(w453), .val(w454));
assign w455 = w454 & hclk1;
ymn_dlatch l456(.mclk(mclk), .en(hclk1), .inp(~reg_80_b2 & ~reg_80_b1 & w343[6]), .val(w456));
ymn_dlatch l457(.mclk(mclk), .en(hclk2), .inp(w456), .val(w457));
assign w458 = w457 & hclk1;
ymn_slatch_r2 #(.data_width(4)) l_reg_bc(.mclk(mclk), .en(reg_sel[7]), .inp(reg_addr[3:0]), .rst(reset1), .val(reg_bc));
ymn_slatch_r2 #(.data_width(4)) l_reg_fc(.mclk(mclk), .en(reg_sel[7]), .inp(reg_addr[7:4]), .rst(reset1), .val(reg_fc));
assign w459 = reg_fc != 4'h0;
ymn_dlatch l460(.mclk(mclk), .en(hclk1), .inp(w560[4]), .val(w460));
assign w461 = w460 & hclk2;
ymn_dlatch l462(.mclk(mclk), .en(hclk1), .inp(w560[2]), .val(w462));
assign w463 = w462 & hclk2;
assign w464 = ~(w465 | ~reg_81_b3);
assign w465 = ~(reg_81_b6 & w164);
assign w466 = ~w465;
assign w467 = ~reg_81_b4 & ~w465;
assign w468 = ~w467;
assign w469 = ~w465 & reg_81_b4;
assign w470 = ~reg_80_b2;
ymn_dlatch l471(.mclk(mclk), .en(hclk1), .inp(~(w359 & w470)), .val(w471));
assign w472 = ~(w359 & w470);
ymn_dlatch l473(.mclk(mclk), .en(hclk1), .inp(~(w472 | w464)), .val(w473));
ymn_dlatch l474(.mclk(mclk), .en(hclk1), .inp(~(w472 | ~w464)), .val(w474));
assign w475 = w471 & hclk2;
assign w476 = w473 & hclk2;
assign w477 = w474 & hclk2;
always @(posedge mclk)
begin
if (w476)
w478 <= vram_data[7:0];
else if (w477)
w478 <= 8'hf0;
else if (w475)
w478 <= { w479[6:0], 1'h0 };
end
ymn_dlatch #(.data_width(8)) l479(.mclk(mclk), .en(hclk1), .inp(w478), .val(w479));
ymn_dlatch l480(.mclk(mclk), .en(hclk2), .inp(~w479[7]), .val(w480));
assign w481 = w93 | w501_3;
ymn_dlatch l482(.mclk(mclk), .en(hclk1), .inp(w481), .val(w482));
assign w483 = w482 & hclk2;
ymn_dlatch #(.data_width(8)) l484(.mclk(mclk), .en(w483), .inp(vram_data[7:0]), .val(w484));
ymn_dlatch #(.data_width(8)) l485(.mclk(mclk), .en(w483), .inp(vram_data[15:8]), .val(w485));
ymn_sr_bit #(.sr_length(2)) l486(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w487), .val(w486));
assign w487 = w481 & reg_80_b2;
assign w488 = w486 ? w485 : w484;
assign w489 = w488 == 8'd208 & ~w505;
assign w490 = { 1'h0, w145[4:0] } + { 1'h0, ~w488[4:0] } + 6'h1;
ymn_dlatch l491(.mclk(mclk), .en(hclk1), .inp(w490[5]), .val(w491));
ymn_dlatch #(.data_width(3)) l492(.mclk(mclk), .en(hclk1), .inp(w488[7:5]), .val(w492));
ymn_dlatch #(.data_width(5)) l493(.mclk(mclk), .en(hclk1), .inp(w490[4:0]), .val(w493));
assign w494 = w145[7:5] + ~w492 + { 2'h0, w491 };
assign w495 = w494 == 3'h0 & ~w506 & (~w497 | ~w493[4]) & (~w498 | ~w493[3]);
ymn_dlatch #(.data_width(4)) l496(.mclk(mclk), .en(hclk2), .inp(reg_81_b0 ? w493[4:1] : w493[3:0]), .val(w496));
assign w497 = ~(reg_81_b0 & reg_81_b1);
assign w498 = ~(reg_81_b0 | reg_81_b1);
assign w499 = (w160 | w159) & w500;
ymn_sr_bit l500(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w343[2]), .val(w500));
ymn_sr_bit #(.sr_length(2)) l501_3(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w499), .val(w501_3));
ymn_sr_bit l501(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w501_3), .val(w501));
assign w502 = ~w499 & ~w501_3;
assign w503 = ~(w501 & reg_80_b2);
ymn_sr_bit l504(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w503), .val(w504));
assign w505 = ~(w504 | w501);
ymn_dlatch l506(.mclk(mclk), .en(hclk1), .inp(w505 | ~w510), .val(w506));
ymn_dlatch l507(.mclk(mclk), .en(hclk2), .inp(~w495), .val(w507));
assign w508 = ~w507 & ~w123;
assign w509 = w123 & ~w507;
ymn_rs_trig rs510(.mclk(mclk), .set(w515), .rst(w512 | w520), .q(w510));
assign w511 = w509 | ~w510 | w502;
ymn_sr_bit l512(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w509), .val(w512));
ymn_rs_trig rs513(.mclk(mclk), .set(w515), .rst(w512), .q(w513));
assign w514 = ~w370;
ymn_sr_bit l515(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w514), .val(w515));
assign w516 = ~(w513 | w514);
assign w517 = ~(w546 | (w94 & ~reg_80_b2));
assign w518 = w517;
assign w519 = w518 & ~w508;
ymn_sr_bit l520(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w489), .val(w520));
ymn_sr_bit #(.sr_length(2)) l521(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w481), .val(w521));
ymn_dlatch #(.data_width(4)) l522_0(.mclk(mclk), .en(hclk1), .inp(w521 ? w496 : w522), .val(w522_0));
ymn_dlatch #(.data_width(4)) l522(.mclk(mclk), .en(hclk2), .inp(w522_0), .val(w522));
assign w523 = ~(~reg_80_b2 & w343[8]);
ymn_sr_bit l524(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w523), .val(w524));
assign w525 = w524 & hclk1;
assign w526 = ~(~reg_80_b2 & w343[8] & reg_81_b1);
ymn_sr_bit l527(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(~w526), .val(w527));
assign w528 = w527 & hclk1;
ymn_dlatch l529(.mclk(mclk), .en(hclk1), .inp(w511), .val(w529));
ymn_sr_bit_array #(.data_width(5)) l530(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w529 ? w530 : w535), .val(w530));
ymn_dlatch #(.data_width(5)) l531_0(.mclk(mclk), .en(hclk2), .inp(w529 ? w531 : w530), .val(w531_0));
ymn_dlatch #(.data_width(5)) l531(.mclk(mclk), .en(hclk1), .inp(w531_0), .val(w531));
assign w532 = w531;
assign w533 = w531_0;
assign w534 = reg_80_b2 ? vram_address[5:1] : vram_address[6:2];
ymn_dlatch #(.data_width(5)) l535(.mclk(mclk), .en(hclk1), .inp(w534), .val(w535));
assign w536 = ~(reg_80_b2 & (w343[3] | w343[8]));
ymn_sr_bit_array #(.data_width(2)) l537(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w548 ? 2'h0 : (w537 + { 1'h0, ~w536 })), .val(w537));
ymn_dlatch l538(.mclk(mclk), .en(hclk1), .inp(w545[1] | w545[2]), .val(w538));
ymn_dlatch l539(.mclk(mclk), .en(hclk2), .inp(w538), .val(w539));
assign w540 = w539 & hclk1;
assign w541 = ~(w545[3] | (w343[4] & ~w343[3]));
ymn_dlatch l542(.mclk(mclk), .en(hclk1), .inp(~w541), .val(w542));
assign w543 = ~w545[3];
ymn_dlatch l544(.mclk(mclk), .en(hclk1), .inp(~w543), .val(w544));
assign w545[0] = ~w536 & w537[1];
assign w545[1] = ~w536 & w537[1];
assign w545[2] = ~w536 & w537[0];
assign w545[3] = ~w536 & w537 == 2'h0;
assign w545[4] = ~w536 & w537[0] & ~w120;
ymn_sr_bit l546(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w545[0]), .val(w546));
ymn_sr_bit #(.sr_length(2)) l547(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w370), .val(w547));
assign w548 = ~(~w547 & ~w545[0]);
ymn_sr_bit_array #(.data_width(3)) l549(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w547 ? 3'h0 : (w549 + { 2'h0, w545[0] })), .val(w549));
assign w550[0] = w549 == 3'h0 & w545[4];
assign w550[1] = w549 == 3'h1 & w545[4];
assign w550[2] = w549 == 3'h2 & w545[4];
assign w550[3] = w549 == 3'h3 & w545[4];
assign w550[4] = w549 == 3'h4 & w545[4];
assign w550[5] = w549 == 3'h5 & w545[4];
assign w550[6] = w549 == 3'h6 & w545[4];
assign w550[7] = w549 == 3'h7 & w545[4];
ymn_sr_bit_array #(.data_width(8)) l551(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w550), .val(w551));
ymn_sr_bit_array #(.data_width(8), .sr_length(2)) l553(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w551), .val(w553));
assign w552[0] = w551[0] | w355;
assign w552[1] = w551[1] | w352;
assign w552[2] = w551[2] | w349;
assign w552[3] = w551[3] | w346;
assign w554[0] = w553[0] | w353;
assign w554[1] = w553[1] | w350;
assign w554[2] = w553[2] | w347;
assign w554[3] = w553[3] | w344;
assign w555[0] = ~w302 & ~w283 & ~w480 & ~w469 & ~w557 & ~reg_80_b2;
assign w555[1] = ~w302 & ~w282 & w480 & ~w469 & ~w557 & ~reg_80_b2;
assign w555[2] = ~w302 & w459 & ~w480 & w469 & ~w557 & ~reg_80_b2;
assign w555[3] = ~w302 & ~w557 & reg_80_b2;
assign w556 = ~w387;
ymn_sr_bit l557(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w556), .val(w557));
assign w558 = ~w302 & ~w555[0] & ~w555[1] & ~w555[2] & ~w555[3];
assign w559 = w557;
ymn_sr_bit_array #(.data_width(5)) l560(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp({ w558, w555 }), .val(w560));
assign w588 = ~(reg_81_b1 & ~reg_80_b2);
sprite_unit1 sprite0(.mclk(mclk), .hclk1(hclk1), .hclk2(hclk2), .vram_data(vram_data), .w297(w297), .w366(w366), .w588(w588),
.reg_80_b2(reg_80_b2), .reg_81_b0(reg_81_b0), .i1(w354), .i2(w303[0]), .i3(w552[0]), .i4(w554[0]),
.w564(sprite0_w564), .w566(sprite0_w566), .w568(sprite0_w568), .w604(sprite0_w604), .w606(sprite0_w606), .w639(sprite0_w639));
sprite_unit1 sprite1(.mclk(mclk), .hclk1(hclk1), .hclk2(hclk2), .vram_data(vram_data), .w297(w297), .w366(w366), .w588(w588),
.reg_80_b2(reg_80_b2), .reg_81_b0(reg_81_b0), .i1(w351), .i2(w303[1]), .i3(w552[1]), .i4(w554[1]),
.w564(sprite1_w564), .w566(sprite1_w566), .w568(sprite1_w568), .w604(sprite1_w604), .w606(sprite1_w606), .w639(sprite1_w639));
sprite_unit1 sprite2(.mclk(mclk), .hclk1(hclk1), .hclk2(hclk2), .vram_data(vram_data), .w297(w297), .w366(w366), .w588(w588),
.reg_80_b2(reg_80_b2), .reg_81_b0(reg_81_b0), .i1(w348), .i2(w303[2]), .i3(w552[2]), .i4(w554[2]),
.w564(sprite2_w564), .w566(sprite2_w566), .w568(sprite2_w568), .w604(sprite2_w604), .w606(sprite2_w606), .w639(sprite2_w639));
sprite_unit1 sprite3(.mclk(mclk), .hclk1(hclk1), .hclk2(hclk2), .vram_data(vram_data), .w297(w297), .w366(w366), .w588(w588),
.reg_80_b2(reg_80_b2), .reg_81_b0(reg_81_b0), .i1(w345), .i2(w303[3]), .i3(w552[3]), .i4(w554[3]),
.w564(sprite3_w564), .w566(sprite3_w566), .w568(sprite3_w568), .w604(sprite3_w604), .w606(sprite3_w606), .w639(sprite3_w639));
sprite_unit2 sprite4(.mclk(mclk), .hclk1(hclk1), .hclk2(hclk2), .vram_data(vram_data), .w366(w366),
.i1(w551[4]), .i2(w553[4]), .i3(w303[4]), .w636(sprite4_w636), .w637(sprite4_w637), .w638(sprite4_w638));
sprite_unit2 sprite5(.mclk(mclk), .hclk1(hclk1), .hclk2(hclk2), .vram_data(vram_data), .w366(w366),
.i1(w551[5]), .i2(w553[5]), .i3(w303[5]), .w636(sprite5_w636), .w637(sprite5_w637), .w638(sprite5_w638));
sprite_unit2 sprite6(.mclk(mclk), .hclk1(hclk1), .hclk2(hclk2), .vram_data(vram_data), .w366(w366),
.i1(w551[6]), .i2(w553[6]), .i3(w303[6]), .w636(sprite6_w636), .w637(sprite6_w637), .w638(sprite6_w638));
sprite_unit2 sprite7(.mclk(mclk), .hclk1(hclk1), .hclk2(hclk2), .vram_data(vram_data), .w366(w366),
.i1(w551[7]), .i2(w553[7]), .i3(w303[7]), .w636(sprite7_w636), .w637(sprite7_w637), .w638(sprite7_w638));
ymn_dlatch #(.data_width(5)) l641(.mclk(mclk), .en(hclk2), .inp({ color_palette, color_index }), .val(w641));
assign w642 = w209;
assign w643 = ~w642 & ~w385 & ~w156;
//assign w644 = ~w642 & ~w643;
assign w645 = w642 ? reg_addr[4:0] : (w643 ? w641 : w313[5:1]);
ymn_dlatch #(.data_width(5)) l_color_ram_index(.mclk(mclk), .en(hclk1), .inp(w645), .val(color_ram_index));
ymn_sr_bit_array #(.data_width(6)) l646(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(vram_data[5:0]), .val(w646));
ymn_dlatch l649(.mclk(mclk), .en(hclk1), .inp(~w209), .val(w649));
wire color_ram_write = ~w649;
always @(posedge mclk)
begin
if (hclk2)
begin
if (color_ram_write)
color_ram[color_ram_index] <= w646;
w650 <= color_ram[color_ram_index];
end
end
assign w651 = reg_80_b2 ? w650 : 6'h0;
ymn_sr_bit_array #(.data_width(4)) l652(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(color_index), .val(w652));
assign w653 = reg_80_b2 ? 4'h0 : w652;
assign w654 = w652 != 4'h0;
ymn_dlatch l655(.mclk(mclk), .en(hclk1), .inp(w385 | w156), .val(w655));
ymn_dlatch l656(.mclk(mclk), .en(hclk2), .inp(w654 | w655), .val(w656));
ymn_sr_bit l657(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w655), .val(w657));
ymn_dlatch l658(.mclk(mclk), .en(hclk1), .inp(~(w384 | w151)), .val(w658));
ymn_dlatch l659(.mclk(mclk), .en(hclk1), .inp(~(w156 | ~w383)), .val(w659));
ymn_dlatch #(.data_width(4)) l660(.mclk(mclk), .en(hclk2), .inp(w653), .val(w660));
reg [5:0] tms_color;
always @(*)
begin
case (w660)
4'h0: tms_color <= 6'b000000;
4'h1: tms_color <= 6'b000000;
4'h2: tms_color <= 6'b001000;
4'h3: tms_color <= 6'b001100;
4'h4: tms_color <= 6'b010000;
4'h5: tms_color <= 6'b110000;
4'h6: tms_color <= 6'b000001;
4'h7: tms_color <= 6'b111100;
4'h8: tms_color <= 6'b000010;
4'h9: tms_color <= 6'b000011;
4'ha: tms_color <= 6'b000101;
4'hb: tms_color <= 6'b001111;
4'hc: tms_color <= 6'b000100;
4'hd: tms_color <= 6'b110011;
4'he: tms_color <= 6'b010101;
4'hf: tms_color <= 6'b111111;
endcase
end
ymn_dlatch #(.data_width(6)) l661(.mclk(mclk), .en(hclk1), .inp(w651 | tms_color), .val(w661));
assign w662 = w657 ? 6'h0 : w661;
ymn_dlatch #(.data_width(6)) l_dac_sel(.mclk(mclk), .en(hclk2), .inp(w662), .val(dac_sel));
assign dac_r = ((dac_sel[1:0] == 2'h0) ? 8'd0 : 8'd0) |
((dac_sel[1:0] == 2'h1) ? 8'd85 : 8'd0) |
((dac_sel[1:0] == 2'h2) ? 8'd170 : 8'd0) |
((dac_sel[1:0] == 2'h3) ? 8'd255 : 8'd0);
assign dac_g = ((dac_sel[3:2] == 2'h0) ? 8'd0 : 8'd0) |
((dac_sel[3:2] == 2'h1) ? 8'd85 : 8'd0) |
((dac_sel[3:2] == 2'h2) ? 8'd170 : 8'd0) |
((dac_sel[3:2] == 2'h3) ? 8'd255 : 8'd0);
assign dac_b = ((dac_sel[5:4] == 2'h0) ? 8'd0 : 8'd0) |
((dac_sel[5:4] == 2'h1) ? 8'd103 : 8'd0) |
((dac_sel[5:4] == 2'h2) ? 8'd170 : 8'd0) |
((dac_sel[5:4] == 2'h3) ? 8'd255 : 8'd0);
// expose blank for digital displays
wire hb1, vb1, hb2, vb2;
ymn_dlatch l_hb1(.mclk(mclk), .en(hclk1), .inp(w385), .val(hb1));
ymn_dlatch l_vb1(.mclk(mclk), .en(hclk1), .inp(w156), .val(vb1));
ymn_sr_bit l_hb2(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(hb1), .val(hb2));
ymn_sr_bit l_vb2(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(vb1), .val(vb2));
ymn_dlatch l_hblank(.mclk(mclk), .en(hclk2), .inp(hb2), .val(hblank));
ymn_dlatch l_vblank(.mclk(mclk), .en(hclk2), .inp(vb2), .val(vblank));
ympsg psg(.mclk(mclk), .clk(zclk), .reset(reset), .write(~(cpu_wr | cpu_iorq | cpu_a7 | ~cpu_a6)), .data(io_data),
.psg(psg));
ymn_sr_bit l751(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w658), .val(w751));
ymn_dlatch l752(.mclk(mclk), .en(hclk1), .inp(reg_80_b0), .val(w752));
ymn_dlatch l753(.mclk(mclk), .en(hclk2), .inp(~(w751 | w752)), .val(w753));
assign csync_pull = w753;
// expose h/vsync
wire w_hs1, w_hs2, w_vs1, w_vs2;
ymn_dlatch l_hs1(.mclk(mclk), .en(hclk1), .inp(~w384), .val(w_hs1));
ymn_dlatch l_vs1(.mclk(mclk), .en(hclk1), .inp(~w151), .val(w_vs1));
ymn_sr_bit l_hs2(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w_hs1), .val(w_hs2));
ymn_sr_bit l_vs2(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w_vs1), .val(w_vs2));
ymn_dlatch l_hs (.mclk(mclk), .en(hclk2), .inp(~w_hs2), .val(hsync));
ymn_dlatch l_vs (.mclk(mclk), .en(hclk2), .inp(~w_vs2), .val(vsync));
ymn_sr_bit l754(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w659), .val(w754));
ymn_sr_bit #(.sr_length(4)) l755(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp(w754), .val(w755));
ymn_dlatch l756(.mclk(mclk), .en(hclk2), .inp(w754), .val(w756));
assign cbt = ~w756;
ymn_dlatch l757(.mclk(mclk), .en(hclk2), .inp(w755), .val(w757));
assign pcp = ~w757;
always @(posedge mclk)
begin
if (~reset)
begin
nmi_dff_0 <= 1'h0;
nmi_dff <= 1'h0;
end
else
begin
if (~w160)
nmi_dff_0 <= ~nmi_i;
else
nmi_dff <= nmi_dff_0;
end
end
assign nmi_o = ~nmi_dff;
assign kbsel = ~(~iorq & address[6] & address[7]);
assign csram = ~(~mreq & address[14] & address[15]);
assign exm1 = ~(~mreq & ~address[14] & address[15]);
assign exm2 = ~(~mreq & ~address[15]);
ymn_sr_bit l758(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w656), .val(w758));
assign ys = w758;
ymn_rs_trig rs759(.mclk(mclk), .set(w263), .rst(w55), .q(w759));
assign w760 = ~(w256 & (w759 | ~reg_80_b4));
assign int = ~w760;
assign w761 = w166 & w164_;
assign data_d = w761;
always @(posedge mclk)
begin
if (w761)
io_data <= data_i;
else if (~w164_)
io_data <= cpu_a0 ? w60 : w145[7:0];
else if (~w254)
io_data <= { w252, w251, w250, w275 };
else if (~w269)
io_data <= w270;
end
reg [7:0] vram_data_mem;
assign vram_data[7:0] = (w32 ? w2[15:8] : 8'hff) &
(w34 ? w2[7:0] : 8'hff) &
(w265 ? w270 : 8'hff) &
(~(w32 | w34 | w265) ? vram_data_mem : 8'hff);
always @(posedge mclk)
begin
vram_data_mem <= vram_data[7:0];
end
wire [13:0] va_update = (hclk2 ? 14'h3fff : 14'h0) |
(w42 ? 14'h1fc0 : 14'h0) |
(w40 ? 14'h0020 : 14'h0) |
(w83 ? 14'h00fe : 14'h0) |
(w97 ? 14'h007c : 14'h0) |
(w88 ? 14'h0380 : 14'h0) |
(w105 ? 14'h0003 : 14'h0) |
(w103 ? 14'h0003 : 14'h0) |
(w107 ? 14'h001c : 14'h0) |
(w125 ? 14'h0020 : 14'h0) |
(w140 ? 14'h007c : 14'h0) |
(w137 ? 14'h007e : 14'h0) |
(w237 ? 14'h03ff : 14'h0) |
(w243 ? 14'h1800 : 14'h0) |
(w234 ? 14'h1807 : 14'h0) |
(w249 ? 14'h0007 : 14'h0) |
(w246 ? 14'h0007 : 14'h0) |
(w226 ? 14'h3c00 : 14'h0) |
(w219 ? 14'h3fc0 : 14'h0) |
(w224 ? 14'h3800 : 14'h0) |
(w222 ? 14'h3f80 : 14'h0) |
(w229 ? 14'h3800 : 14'h0) |
(w231 ? 14'h3fff : 14'h0) |
(w395 ? 14'h07fe : 14'h0) |
(w409 ? 14'h001e : 14'h0) |
(w434 ? 14'h3fe0 : 14'h0) |
(w458 ? 14'h003f : 14'h0) |
(w452 ? 14'h07e0 : 14'h0) |
(w455 ? 14'h0018 : 14'h0) |
(w525 ? 14'h0007 : 14'h0) |
(w528 ? 14'h0018 : 14'h0) |
(w540 ? 14'h0002 : 14'h0);
wire [13:0] va_value = (w42 ? { 1'h1, w3[7:1], 6'h3f } : 14'h3fff) &
(w40 ? { 8'hff, w3[0], 5'h1f } : 14'h3fff) &
(w83 ? { 6'h3f, w67[1:0], w63[4:0], 1'h1 } : 14'h3fff) &
(w97 ? { 7'h7f, w63[4:0], 2'h3 } : 14'h3fff) &
(w88 ? { 4'hf, w63[7:5], 7'h7f } : 14'h3fff) &
(w105 ? { 12'hfff, w90[1:0] } : 14'h3fff) &
(w103 ? { 14'h3ffc } : 14'h3fff) &
(w107 ? { 9'h1ff, w117_1[2:0], 2'h3 } : 14'h3fff) &
(w125 ? { 8'hff, w117_1[3], 5'h1f } : 14'h3fff) &
(w140 ? { 7'h7f, w131_1[5:1], 2'h3 } : 14'h3fff) &
(w137 ? { 7'h7f, w135_1[5:0], 1'h1 } : 14'h3fff) &
(w237 ? { 4'hf, w145[7:3], w313[7:3] } : 14'h3fff) &
(w243 ? { 1'h1, w145[7:6], 11'h7ff } : 14'h3fff) &
(w234 ? { 1'h1, w145[7:6], 8'hff, w145[2:0] } : 14'h3fff) &
(w249 ? { 11'h7ff, w145[4:2] } : 14'h3fff) &
(w246 ? { 11'h7ff, w145[2:0] } : 14'h3fff) &
(w226 ? { reg_nt, 10'h3ff } : 14'h3fff) &
(w219 ? { reg_ct, 6'h3f } : 14'h3fff) &
(w224 ? { reg_bg, 11'h7ff } : 14'h3fff) &
(w222 ? { reg_sat, 7'h7f } : 14'h3fff) &
(w229 ? { reg_spr, 11'h7ff } : 14'h3fff) &
(w231 ? reg_addr : 14'h3fff) &
(w395 ? { 3'h7, w411[2:0], w402[4:3], w396[4:0], 1'h1 } : 14'h3fff) &
(w409 ? { 9'h1ff, w406[2:0], w405, 1'h1 } : 14'h3fff) &
(w434 ? { w430[8:0], 5'h1f } : 14'h3fff) &
(w458 ? { 8'hff, 1'h0, w449[7:3] } : 14'h3fff) &
(w452 ? { 3'h7, w449[7:2], 5'h1f } : 14'h3fff) &
(w455 ? { 9'h1ff, w449[1:0], 3'h7 } : 14'h3fff) &
(w525 ? { 11'h7ff, w522_0[2:0] } : 14'h3fff) &
(w528 ? { 9'h1ff, ~w313[1], w522_0[3], 3'h7 } : 14'h3fff) &
(w540 ? { 12'hfff, ~w537[1], 1'h1 } : 14'h3fff);
reg [13:0] vram_address_mem;
assign vram_address = (va_update & va_value)
| (~va_update & vram_address_mem);
always @(posedge mclk)
begin
vram_address_mem <= vram_address;
end
reg [3:0] color_index_mem;
assign color_index = (w293 ? w286[7:4] : 4'hf) &
(w295 ? w286[3:0] : 4'hf) &
(w438 ? w442 : 4'hf) &
(w461 ? reg_bc : 4'hf) &
(w463 ? reg_fc : 4'hf) &
(sprite0_w564 ? sprite0_w568 : 4'hf) &
(sprite0_w606 ? sprite0_w639 : 4'hf) &
(sprite1_w564 ? sprite1_w568 : 4'hf) &
(sprite1_w606 ? sprite1_w639 : 4'hf) &
(sprite2_w564 ? sprite2_w568 : 4'hf) &
(sprite2_w606 ? sprite2_w639 : 4'hf) &
(sprite3_w564 ? sprite3_w568 : 4'hf) &
(sprite3_w606 ? sprite3_w639 : 4'hf) &
(sprite4_w638 ? sprite4_w637 : 4'hf) &
(sprite5_w638 ? sprite5_w637 : 4'hf) &
(sprite6_w638 ? sprite6_w637 : 4'hf) &
(sprite7_w638 ? sprite7_w637 : 4'hf) &
(~(w293|w295|hclk1|w438|w461|w463|
sprite0_w564|sprite0_w606|sprite1_w564|sprite1_w606|
sprite2_w564|sprite2_w606|sprite3_w564|sprite3_w606|
sprite4_w638|sprite5_w638|sprite6_w638|sprite7_w638) ? color_index_mem : 4'hf);
always @(posedge mclk)
begin
color_index_mem <= color_index;
end
assign data_o = io_data;
assign vdp_hclk1 = hclk1;
endmodule
module sprite_unit1
(
input mclk,
input hclk1,
input hclk2,
input [15:0] vram_data,
input w297,
input w366,
input w588,
input reg_80_b2,
input reg_81_b0,
input i1,
input i2,
input i3,
input i4,
output w564,
output w566,
output reg [3:0] w568,
output w604,
output w606,
output [3:0] w639
);
wire w561;
wire w562;
wire w563;
wire w565;
wire w567;
reg w569;
wire w570;
wire w571;
wire w572;
wire w573;
wire w574;
wire w575;
wire [7:0] w576;
wire [7:0] w577_0, w577;
wire w578;
wire w579;
wire w580;
wire w581;
wire w582;
wire w583;
//wire w584;
//wire w585;
//wire w586;
//wire w587;
wire w589;
wire [8:0] w590;
wire [8:0] w591_0, w591;
wire [8:0] w592;
wire [8:0] w593_0, w593;
wire w594;
wire w595;
wire [8:0] w596;
wire [8:0] w597_0, w597;
wire [8:0] w598;
wire [8:0] w599_0, w599;
wire w600;
wire w601;
wire w602;
wire [3:0] w603;
wire w605;
wire [3:0] w639_1;
ymn_dlatch l561(.mclk(mclk), .en(hclk1), .inp(i1), .val(w561));
assign w562 = w561 & hclk2;
ymn_dlatch l563(.mclk(mclk), .en(hclk1), .inp(w567), .val(w563));
assign w564 = w563 & hclk2;
assign w565 = w568 == 4'h0;
assign w566 = w565 & ~reg_80_b2;
assign w567 = i2 & ~reg_80_b2;
always @(posedge mclk)
begin
if (w562)
begin
w568 <= vram_data[3:0];
w569 <= vram_data[7];
end
end
ymn_rs_trig rs570(.mclk(mclk), .set((w569 & w297) | w366), .rst(i3), .q(w570));
ymn_dlatch l571(.mclk(mclk), .en(hclk1), .inp(w570 & w577_0 == 8'hff), .val(w571));
ymn_rs_trig rs572(.mclk(mclk), .set(w573), .rst(w571), .q(w572));
ymn_dlatch l573(.mclk(mclk), .en(hclk1), .inp(i3), .val(w573));
assign w574 = ~((reg_81_b0 & w578) | w572);
ymn_dlatch l575(.mclk(mclk), .en(hclk1), .inp(w570), .val(w575));
assign w576 = w577 + { 7'h0, w575 };
ymn_dlatch #(.data_width(8)) l577_0(.mclk(mclk), .en(hclk2), .inp(w573 ? ~vram_data[7:0] : w576), .val(w577_0));
ymn_dlatch #(.data_width(8)) l577(.mclk(mclk), .en(hclk1), .inp(w577_0), .val(w577));
ymn_dlatch l578(.mclk(mclk), .en(hclk1), .inp(~w577_0[0]), .val(w578));
assign w579 = ~i4;
ymn_sr_bit #(.sr_length(2)) l580(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w579), .val(w580));
ymn_dlatch l581(.mclk(mclk), .en(hclk1), .inp(~w580), .val(w581));
assign w582 = w574;
ymn_dlatch l583(.mclk(mclk), .en(hclk1), .inp(~w579), .val(w583));
//assign w584 = ~(w581 | w582);
//assign w585 = ~(w581 | ~w582);
//assign w586 = ~(w583 | w582);
//assign w587 = ~(w583 | ~w582);
assign w589 = ~(w588 | w602);
assign w590 = w583 ? { 1'h0, vram_data[7:0] } : (w582 ? { w591[7:0] , w589 } : w591);
ymn_dlatch #(.data_width(9)) l591_0(.mclk(mclk), .en(hclk2), .inp(w590), .val(w591_0));
ymn_dlatch #(.data_width(9)) l591(.mclk(mclk), .en(hclk1), .inp(w591_0), .val(w591));
assign w594 = ~w591_0[8];
assign w592 = w581 ? { 1'h0, vram_data[7:0] } : (w582 ? { w593[7:0] , 1'h0 } : w593);
ymn_dlatch #(.data_width(9)) l593_0(.mclk(mclk), .en(hclk2), .inp(w592), .val(w593_0));
ymn_dlatch #(.data_width(9)) l593(.mclk(mclk), .en(hclk1), .inp(w593_0), .val(w593));
assign w595 = ~w593_0[8];
assign w596 = w583 ? { 1'h0, vram_data[15:8] } : (w582 ? { w597[7:0] , 1'h0 } : w597);
ymn_dlatch #(.data_width(9)) l597_0(.mclk(mclk), .en(hclk2), .inp(w596), .val(w597_0));
ymn_dlatch #(.data_width(9)) l597(.mclk(mclk), .en(hclk1), .inp(w597_0), .val(w597));
assign w600 = ~w597_0[8];
assign w598 = w581 ? { 1'h0, vram_data[15:8] } : (w582 ? { w599[7:0] , 1'h0 } : w599);
ymn_dlatch #(.data_width(9)) l599_0(.mclk(mclk), .en(hclk2), .inp(w598), .val(w599_0));
ymn_dlatch #(.data_width(9)) l599(.mclk(mclk), .en(hclk1), .inp(w599_0), .val(w599));
assign w601 = ~w599_0[8];
ymn_dlatch l602(.mclk(mclk), .en(hclk1), .inp(~w593_0[7]), .val(w602));
assign w603 = reg_80_b2 ? { ~w601, ~w595, ~w600, ~w594 } : { 3'h0, ~w594 };
ymn_sr_bit_array #(.data_width(4)) l639_1(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w603), .val(w639_1));
ymn_dlatch #(.data_width(4)) l639(.mclk(mclk), .en(hclk1), .inp(w639_1), .val(w639));
assign w604 = w603 == 4'h0;
ymn_dlatch l605(.mclk(mclk), .en(hclk1), .inp(~(~reg_80_b2 | ~i2)), .val(w605));
assign w606 = w605 & hclk2;
endmodule
module sprite_unit2
(
input mclk,
input hclk1,
input hclk2,
input [15:0] vram_data,
input w366,
input i1,
input i2,
input i3,
output w636,
output [3:0] w637,
output w638
);
wire w607;
wire w608;
wire w609;
wire w610;
wire w611;
wire [7:0] w612_0, w612;
wire [7:0] w613;
wire w614;
wire w615;
wire w616;
wire w617;
wire w618;
//wire w619;
//wire w620;
//wire w621;
//wire w621;
wire [8:0] w623;
wire [8:0] w624_0, w624;
wire w625;
wire [8:0] w626;
wire [8:0] w627_0, w627;
wire w628;
wire [8:0] w629;
wire [8:0] w630_0, w630;
wire w631;
wire [8:0] w632;
wire [8:0] w633_0, w633;
wire w634;
wire [3:0] w635;
wire [3:0] w637_1;
wire w640;
ymn_rs_trig rs607(.mclk(mclk), .set(w366), .rst(i1), .q(w607));
ymn_dlatch l608(.mclk(mclk), .en(hclk1), .inp(~w607), .val(w608));
ymn_dlatch l609(.mclk(mclk), .en(hclk1), .inp(w607 & w612_0 == 8'hff), .val(w609));
ymn_rs_trig rs610(.mclk(mclk), .set(w611), .rst(w609), .q(w610));
ymn_dlatch l611(.mclk(mclk), .en(hclk1), .inp(i1), .val(w611));
assign w613 = w612 + { 7'h0, ~w608 };
ymn_dlatch #(.data_width(8)) l612_0(.mclk(mclk), .en(hclk2), .inp(w611 ? ~vram_data[7:0] : w613), .val(w612_0));
ymn_dlatch #(.data_width(8)) l612(.mclk(mclk), .en(hclk1), .inp(w612_0), .val(w612));
assign w614 = ~i2;
ymn_sr_bit #(.sr_length(2)) l615(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w614), .val(w615));
ymn_dlatch l616(.mclk(mclk), .en(hclk1), .inp(~w614), .val(w616));
ymn_dlatch l617(.mclk(mclk), .en(hclk1), .inp(~w615), .val(w617));
assign w618 = w610;
//assign w619 = ~(~w618 | w616);
//assign w620 = ~(w618 | w616);
//assign w621 = ~(~w618 | w617);
//assign w622 = ~(w618 | w617);
assign w623 = w616 ? { 1'h0, vram_data[7:0] } : (~w618 ? { w624[7:0] , 1'h0 } : w624);
ymn_dlatch #(.data_width(9)) l624_0(.mclk(mclk), .en(hclk2), .inp(w623), .val(w624_0));
ymn_dlatch #(.data_width(9)) l624(.mclk(mclk), .en(hclk1), .inp(w624_0), .val(w624));
assign w625 = ~w624_0[8];
assign w626 = w617 ? { 1'h0, vram_data[7:0] } : (~w618 ? { w627[7:0] , 1'h0 } : w627);
ymn_dlatch #(.data_width(9)) l627_0(.mclk(mclk), .en(hclk2), .inp(w626), .val(w627_0));
ymn_dlatch #(.data_width(9)) l627(.mclk(mclk), .en(hclk1), .inp(w627_0), .val(w627));
assign w628 = ~w627_0[8];
assign w629 = w616 ? { 1'h0, vram_data[15:8] } : (~w618 ? { w630[7:0] , 1'h0 } : w630);
ymn_dlatch #(.data_width(9)) l630_0(.mclk(mclk), .en(hclk2), .inp(w629), .val(w630_0));
ymn_dlatch #(.data_width(9)) l630(.mclk(mclk), .en(hclk1), .inp(w630_0), .val(w630));
assign w631 = ~w630_0[8];
assign w632 = w617 ? { 1'h0, vram_data[15:8] } : (~w618 ? { w633[7:0] , 1'h0 } : w633);
ymn_dlatch #(.data_width(9)) l633_0(.mclk(mclk), .en(hclk2), .inp(w632), .val(w633_0));
ymn_dlatch #(.data_width(9)) l633(.mclk(mclk), .en(hclk1), .inp(w633_0), .val(w633));
assign w634 = ~w633_0[8];
assign w635 = { ~w634, ~w628, ~w631, ~w625 };
assign w636 = w635 == 4'h0;
ymn_sr_bit_array #(.data_width(4)) l637_1(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w635), .val(w637_1));
ymn_dlatch #(.data_width(4)) l637(.mclk(mclk), .en(hclk1), .inp(w637_1), .val(w637));
ymn_dlatch l640(.mclk(mclk), .en(hclk1), .inp(i3), .val(w640));
assign w638 = w640 & hclk2;
endmodule
module ympsg
(
input mclk,
input clk,
input reset,
input write,
input [7:0] data,
output [15:0] psg
);
wire clk1 = ~clk;
wire clk2 = clk;
wire hclk1;
wire hclk2;
wire w663;
wire w664;
wire w665;
wire w666;
wire w667;
wire w668_0, w668;
wire w669;
wire w670;
wire w671;
wire w672;
wire w673;
wire [7:0] data_latch;
wire w674;
wire [2:0] w675;
wire w676;
wire w677;
wire w678;
wire w679;
wire w680;
wire w681;
wire w682;
wire w683;
wire w684;
wire w685;
wire w686;
wire [2:0] w687;
wire [9:0] w688;
wire [9:0] w689;
wire [9:0] w690;
wire [9:0] w691;
wire [9:0] w692;
wire w693;
wire [9:0] w694;
wire [9:0] w695;
wire [9:0] w696;
wire [9:0] w697;
wire [9:0] w698_0, w698;
wire w699;
wire w700;
wire w701;
wire w702;
wire w703;
wire [3:0] w704;
wire w705;
wire [3:0] w706;
wire [3:0] w707_0, w707;
wire w708_1, w708;
wire w709;
wire w710;
reg [15:0] noise_lfsr_0, noise_lfsr;
wire w711;
wire w712;
wire w713;
wire w714;
reg [3:0] w715;
reg [3:0] w716;
reg [3:0] w717;
reg [3:0] w718;
wire [3:0] w719;
wire [3:0] w720;
wire [3:0] w721;
wire [3:0] w722;
wire [15:0] dac[0:3];
ymn_sr_bit l663(.mclk(mclk), .c1(clk1), .c2(clk2), .inp(~reset), .val(w663));
assign w664 = ~w663;
ymn_sr_bit l665(.mclk(mclk), .c1(clk1), .c2(clk2), .inp(~w664), .val(w665));
assign w666 = ~(w665 | w664);
ymn_sr_bit l667(.mclk(mclk), .c1(clk1), .c2(clk2), .inp(~(w666 | w667)), .val(w667));
ymn_dlatch l668_0(.mclk(mclk), .en(clk1), .inp(~(w666 ? 1'h0 : (w668 ^ w667))), .val(w668_0));
ymn_dlatch l668(.mclk(mclk), .en(clk2), .inp(~w668_0), .val(w668));
ymn_dlatch l669(.mclk(mclk), .en(clk2), .inp(~w668_0), .val(w669));
assign hclk1 = w668_0 & ~w669;
assign hclk2 = ~w668_0 & w669;
ymn_rs_trig rs670(.mclk(mclk), .set(w672), .rst(write), .q(w670));
assign w671 = ~(w670 | write);
ymn_sr_bit l672(.mclk(mclk), .c1(clk1), .c2(clk2), .inp(w671), .val(w672));
ymn_sr_bit l673(.mclk(mclk), .c1(clk1), .c2(clk2), .inp(w672), .val(w673));
ymn_slatch #(.data_width(8)) l_data_latch(.mclk(mclk), .en(write), .inp(data), .val(data_latch));
assign w674 = w672 & data_latch[7];
ymn_slatch #(.data_width(3)) l675(.mclk(mclk), .en(w674), .inp(data_latch[6:4]), .val(w675));
assign w676 = w673 & w675 == 3'h0 & data_latch[7];
assign w677 = w673 & w675 == 3'h2 & data_latch[7];
assign w678 = w673 & w675 == 3'h4 & data_latch[7];
assign w679 = w673 & w675 == 3'h4 & ~data_latch[7];
assign w680 = w673 & w675 == 3'h2 & ~data_latch[7];
assign w681 = w673 & w675 == 3'h0 & ~data_latch[7];
assign w682 = w673 & w675 == 3'h1;
assign w683 = w673 & w675 == 3'h3;
assign w684 = w673 & w675 == 3'h5;
assign w685 = w673 & w675 == 3'h7;
assign w686 = w673 & w675 == 3'h6;
ymn_slatch_r2 #(.data_width(3)) l687(.mclk(mclk), .en(w686), .rst(w663), .inp(data_latch[2:0]), .val(w687));
ymn_slatch_r #(.data_width(4)) l688_0(.mclk(mclk), .en(w676), .rst(w663), .inp(data_latch[3:0]), .val(w688[3:0]));
ymn_slatch_r #(.data_width(6)) l688_1(.mclk(mclk), .en(w681), .rst(w663), .inp(data_latch[5:0]), .val(w688[9:4]));
ymn_slatch_r #(.data_width(4)) l689_0(.mclk(mclk), .en(w677), .rst(w663), .inp(data_latch[3:0]), .val(w689[3:0]));
ymn_slatch_r #(.data_width(6)) l689_1(.mclk(mclk), .en(w680), .rst(w663), .inp(data_latch[5:0]), .val(w689[9:4]));
ymn_slatch_r #(.data_width(4)) l690_0(.mclk(mclk), .en(w678), .rst(w663), .inp(data_latch[3:0]), .val(w690[3:0]));
ymn_slatch_r #(.data_width(6)) l690_1(.mclk(mclk), .en(w679), .rst(w663), .inp(data_latch[5:0]), .val(w690[9:4]));
assign w691 = (w700 ? w688 : 10'h0) |
(w701 ? w689 : 10'h0) |
(w702 ? w690 : 10'h0) |
(w703 ? { 3'h0, w687[1:0] == 2'h2, w687[1:0] == 2'h1, w687[1:0] == 2'h0, 4'h0 } : 10'h0);
ymn_dlatch #(.data_width(10)) l692(.mclk(mclk), .en(hclk1), .inp(w691), .val(w692));
assign w693 = w692 <= w698_0;
ymn_sr_bit_array #(.data_width(10)) l694(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w709 ? 10'h0 : w698), .val(w694));
ymn_sr_bit_array #(.data_width(10)) l695(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w694), .val(w695));
ymn_sr_bit_array #(.data_width(10)) l696(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w695), .val(w696));
ymn_dlatch #(.data_width(10)) l698_0(.mclk(mclk), .en(hclk1), .inp(w697), .val(w698_0));
ymn_dlatch #(.data_width(10)) l698(.mclk(mclk), .en(hclk2), .inp(w698_0), .val(w698));
assign w697 = w696 + 10'h1;
assign w699 = ~(w663 | w700 | w701 | w702);
ymn_sr_bit l700(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w699), .val(w700));
ymn_sr_bit l701(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w700), .val(w701));
ymn_sr_bit l702(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w701), .val(w702));
ymn_sr_bit l703(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w702), .val(w703));
ymn_sr_bit_array #(.data_width(4)) l704(.mclk(mclk), .c1(hclk2), .c2(hclk1), .inp({ w704[2:0], w693}), .val(w704));
ymn_dlatch l705(.mclk(mclk), .en(hclk1), .inp(w700), .val(w705));
assign w706 = w705 ? w704 : 4'h0;
ymn_dlatch #(.data_width(4)) l707_0(.mclk(mclk), .en(hclk2), .inp(~(w708 ? 4'h0 : (w707 ^ w706))), .val(w707_0));
ymn_dlatch #(.data_width(4)) l707(.mclk(mclk), .en(hclk1), .inp(~w707_0), .val(w707));
ymn_sr_bit l708_1(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w663), .val(w708_1));
ymn_dlatch l708(.mclk(mclk), .en(hclk1), .inp(w708_1), .val(w708));
ymn_dlatch l709(.mclk(mclk), .en(hclk2), .inp(w708 | w693), .val(w709));
ymn_sr_bit l710(.mclk(mclk), .c1(hclk1), .c2(hclk2), .inp(w711), .val(w710));
always @(posedge mclk)
begin
if (w712)
begin
noise_lfsr_0 <= 16'h0;
noise_lfsr <= 16'h0;
end
else
begin
if (w710)
noise_lfsr_0 <= { noise_lfsr[14:0], w713 };
else
noise_lfsr <= noise_lfsr_0;
end
end
assign w711 = ~(w687[1:0] == 2'h3 ? ~w707_0[1] : ~w707_0[0]);
assign w712 = w663 | w686;
assign w714 = noise_lfsr[15] ^ noise_lfsr[12];
assign w713 = w712 ? 1'h0 : (noise_lfsr[14:0] == 15'h0 || (w687[2] & w714));
always @(posedge mclk)
begin
if (w663)
begin
w715 <= 4'hf;
w716 <= 4'hf;
w717 <= 4'hf;
w718 <= 4'hf;
end
else
begin
if (w682)
w715 <= data_latch[3:0];
if (w683)
w716 <= data_latch[3:0];
if (w684)
w717 <= data_latch[3:0];
if (w685)
w718 <= data_latch[3:0];
end
end
assign w719 = w707_0[3] ? 4'hf : w715;
assign w720 = w707_0[2] ? 4'hf : w716;
assign w721 = w707_0[1] ? 4'hf : w717;
assign w722 = noise_lfsr[14] ? w718 : 4'hf;
function [15:0] psg_vol;
input [3:0] value;
begin
case (value)
4'h0 : psg_vol = 16'd1200;
4'h1 : psg_vol = 16'd0942;
4'h2 : psg_vol = 16'd0734;
4'h3 : psg_vol = 16'd0578;
4'h4 : psg_vol = 16'd0480;
4'h5 : psg_vol = 16'd0379;
4'h6 : psg_vol = 16'd0297;
4'h7 : psg_vol = 16'd0236;
4'h8 : psg_vol = 16'd0196;
4'h9 : psg_vol = 16'd0157;
4'ha : psg_vol = 16'd0123;
4'hb : psg_vol = 16'd0099;
4'hc : psg_vol = 16'd0082;
4'hd : psg_vol = 16'd0067;
4'he : psg_vol = 16'd0054;
4'hf : psg_vol = 16'd0000;
default: psg_vol = 16'd0000;
endcase
end
endfunction
assign dac[0] = psg_vol(w719);
assign dac[1] = psg_vol(w720);
assign dac[2] = psg_vol(w721);
assign dac[3] = psg_vol(w722);
assign psg = dac[0] + dac[1] + dac[2] + dac[3];
endmodule
|
//
// Copyright (c) 1999 Steven Wilson (stevew@home.com)
//
// This source code is free software; you can redistribute it
// and/or modify it in source code form under the terms of the GNU
// General Public License as published by the Free Software
// Foundation; either version 2 of the License, or (at your option)
// any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
//
// SDW - Validate the ? operator - use [var] as bit selector also
module main;
reg globvar;
reg [3:0] bvec,var1,var2,var3;
reg cond, a,b,out1,out2;
reg error;
initial
begin
error = 0;
bvec = 4'bzx10 ;
for(var1 = 0; var1 <= 3; var1 = var1 + 1)
for(var2 = 0; var2 <= 3; var2 = var2 + 1)
for(var3 = 0; var3 <= 3; var3 = var3 + 1)
begin
// Tried both bvec[var1] and bvec[var1:var1]
// but believe these HAVE to be a constant expression?
if(var1 == 4'h0)
cond = bvec[0];
else
if(var1 == 4'h1)
cond = bvec[1];
else
if(var1 == 4'h2)
cond = bvec[2];
else
cond = bvec[3];
if (var2 == 4'h0)
a = bvec[0];
else
if (var2 == 4'h1)
a = bvec[1];
else
if (var2 == 4'h2)
a = bvec[2];
else
a = bvec[3];
if (var3 == 4'h0)
a = bvec[0];
else
if (var3 == 4'h1)
a = bvec[1];
else
if (var3 == 4'h2)
a = bvec[2];
else
a = bvec[3];
out1 = cond ? a: b ;
if(cond) out2 = a ;
else out2 = b;
if(out1 != out2)
begin
$display("FAILED - qmark1 - %b %b %b %b %b",
cond,a,b,out1,out2);
error = 1;
end
end
if(error == 0)
$display("PASSED");
end
endmodule // main
|
//
// Copyright (c) 1999 Steven Wilson (stevew@home.com)
//
// This source code is free software; you can redistribute it
// and/or modify it in source code form under the terms of the GNU
// General Public License as published by the Free Software
// Foundation; either version 2 of the License, or (at your option)
// any later version.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
//
// SDW - Validate Modulus operator
module top;
reg [7:0] a,b;
wire [7:0] wa,wb;
reg [7:0] result;
wire [7:0] wresult;
reg [15:0] work;
reg error;
assign wa = a;
assign wresult = work % a;
always @ (work or wa)
result = work % a;
initial
begin
error = 0;
/* Try mod div by 0 */
#1;
a = 0;
work = 16'd1235;
#1;
if(wresult !== 8'hxx)
begin
$display("FAILED - wire 1235 mod 0: wresult = %h",wresult);
error =1;
end
if(result !== 8'hxx)
begin
$display("FAILED - reg 1235 mod 0: result = %h",result);
error =1;
end
#1;
a = 8'd10;
#1;
if(wresult !== 8'h05)
begin
$display("FAILED - wire 1235 mod 10: wresult = %h",wresult);
error =1;
end
if(result !== 8'h05)
begin
$display("FAILED - reg 1235 mod 10: result = %h",result);
error =1;
end
#1;
a = 8'b0000_x001;
#1;
if(wresult !== 8'bxxxx_xxxx)
begin
$display("FAILED - wire 1235 mod 10: wresult = %h",wresult);
error =1;
end
if(result !== 8'bxxxx_xxxx)
begin
$display("FAILED - reg 1235 mod 10: result = %h",result);
error =1;
end
if(error == 0)
$display("PASSED");
end
endmodule
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.